From b8b042faa843d0bfb7fd6f21985af130bea962eb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Tue, 22 Dec 2020 15:24:39 +0500 Subject: [PATCH] lsu with newer release --- firrtl_black_box_resource_files.f | 4 +- lsu.anno.json | 547 + lsu.fir | 16186 ++++++++++++++++ lsu.v | 12034 ++++++++++++ lsu_addrcheck.anno.json | 111 + lsu_addrcheck.fir | 253 + lsu_addrcheck.v | 193 + lsu_bus_buffer.anno.json | 183 + lsu_bus_buffer.fir | 6481 +++++++ lsu_bus_buffer.v | 4665 +++++ lsu_bus_intf.anno.json | 113 + lsu_bus_intf.fir | 7126 +++++++ lsu_bus_intf.v | 5287 +++++ lsu_clkdomain.anno.json | 43 + lsu_clkdomain.fir | 443 + lsu_clkdomain.v | 385 + lsu_dccm_ctl.anno.json | 386 + lsu_dccm_ctl.fir | 2252 +++ lsu_dccm_ctl.v | 1308 ++ lsu_ecc.anno.json | 339 + lsu_ecc.fir | 1670 ++ lsu_ecc.v | 674 + lsu_lsc_ctl.anno.json | 309 + lsu_lsc_ctl.fir | 953 + lsu_lsc_ctl.v | 1394 ++ lsu_stbuf.anno.json | 142 + lsu_stbuf.fir | 1853 ++ lsu_stbuf.v | 1174 ++ lsu_trigger.anno.json | 56 + lsu_trigger.fir | 1269 ++ lsu_trigger.v | 645 + src/main/scala/lsu/lsu.scala | 49 +- src/main/scala/lsu/lsu_addrcheck.scala | 7 +- src/main/scala/lsu/lsu_bus_buffer.scala | 53 +- src/main/scala/lsu/lsu_bus_intf.scala | 42 +- src/main/scala/lsu/lsu_clkdomain.scala | 27 +- src/main/scala/lsu/lsu_dccm_ctl.scala | 63 +- src/main/scala/lsu/lsu_ecc.scala | 16 +- src/main/scala/lsu/lsu_lsc_ctl.scala | 26 +- src/main/scala/lsu/lsu_stbuf.scala | 92 +- src/main/scala/lsu/lsu_trigger.scala | 8 +- .../classes/QUASAR$delayedInit$body.class | Bin 697 -> 0 bytes .../scala-2.12/classes/lsu/lsu$$anon$1.class | Bin 7339 -> 7467 bytes target/scala-2.12/classes/lsu/lsu.class | Bin 754567 -> 825534 bytes .../classes/lsu/lsu_addrcheck.class | Bin 105482 -> 105764 bytes .../classes/lsu/lsu_bus_buffer$$anon$1.class | Bin 7953 -> 8216 bytes .../classes/lsu/lsu_bus_buffer.class | Bin 549111 -> 550092 bytes .../classes/lsu/lsu_bus_intf$$anon$1.class | Bin 7108 -> 7352 bytes .../scala-2.12/classes/lsu/lsu_bus_intf.class | Bin 170991 -> 177030 bytes .../classes/lsu/lsu_clkdomain$$anon$1.class | Bin 5553 -> 5826 bytes .../classes/lsu/lsu_clkdomain.class | Bin 92291 -> 95527 bytes .../classes/lsu/lsu_dccm_ctl$$anon$1.class | Bin 13166 -> 13711 bytes .../scala-2.12/classes/lsu/lsu_dccm_ctl.class | Bin 389688 -> 436531 bytes .../classes/lsu/lsu_ecc$$anon$1.class | Bin 8319 -> 8447 bytes target/scala-2.12/classes/lsu/lsu_ecc.class | Bin 101393 -> 104321 bytes .../classes/lsu/lsu_lsc_ctl$$anon$1.class | Bin 8962 -> 9644 bytes .../scala-2.12/classes/lsu/lsu_lsc_ctl.class | Bin 289117 -> 335181 bytes .../{QUASAR$.class => lsu/lsu_main$.class} | Bin 3815 -> 3844 bytes .../lsu/lsu_main$delayedInit$body.class | Bin 0 -> 730 bytes .../{QUASAR.class => lsu/lsu_main.class} | Bin 758 -> 773 bytes .../classes/lsu/lsu_stbuf$$anon$1.class | Bin 6965 -> 7090 bytes target/scala-2.12/classes/lsu/lsu_stbuf.class | Bin 194201 -> 192547 bytes .../scala-2.12/classes/lsu/lsu_trigger.class | Bin 60300 -> 62324 bytes 63 files changed, 68701 insertions(+), 160 deletions(-) create mode 100644 lsu.anno.json create mode 100644 lsu.fir create mode 100644 lsu.v create mode 100644 lsu_addrcheck.anno.json create mode 100644 lsu_addrcheck.fir create mode 100644 lsu_addrcheck.v create mode 100644 lsu_bus_buffer.anno.json create mode 100644 lsu_bus_buffer.fir create mode 100644 lsu_bus_buffer.v create mode 100644 lsu_bus_intf.anno.json create mode 100644 lsu_bus_intf.fir create mode 100644 lsu_bus_intf.v create mode 100644 lsu_clkdomain.anno.json create mode 100644 lsu_clkdomain.fir create mode 100644 lsu_clkdomain.v create mode 100644 lsu_dccm_ctl.anno.json create mode 100644 lsu_dccm_ctl.fir create mode 100644 lsu_dccm_ctl.v create mode 100644 lsu_ecc.anno.json create mode 100644 lsu_ecc.fir create mode 100644 lsu_ecc.v create mode 100644 lsu_lsc_ctl.anno.json create mode 100644 lsu_lsc_ctl.fir create mode 100644 lsu_lsc_ctl.v create mode 100644 lsu_stbuf.anno.json create mode 100644 lsu_stbuf.fir create mode 100644 lsu_stbuf.v create mode 100644 lsu_trigger.anno.json create mode 100644 lsu_trigger.fir create mode 100644 lsu_trigger.v delete mode 100644 target/scala-2.12/classes/QUASAR$delayedInit$body.class rename target/scala-2.12/classes/{QUASAR$.class => lsu/lsu_main$.class} (63%) create mode 100644 target/scala-2.12/classes/lsu/lsu_main$delayedInit$body.class rename target/scala-2.12/classes/{QUASAR.class => lsu/lsu_main.class} (50%) diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index 89983276..2e65d9e4 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1,3 +1 @@ -/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.sv -/home/laraibkhan/Desktop/SweRV-Chislified/dmi_wrapper.sv -/home/laraibkhan/Desktop/SweRV-Chislified/mem.sv \ No newline at end of file +/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.sv \ No newline at end of file diff --git a/lsu.anno.json b/lsu.anno.json new file mode 100644 index 00000000..774ce490 --- /dev/null +++ b/lsu.anno.json @@ -0,0 +1,547 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu|lsu>io_axi_ar_ready", + "~lsu|lsu>io_axi_aw_ready", + "~lsu|lsu>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_mken", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_single_ecc_error_incr", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dccm_ready", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_trigger_match_m", + "sources":[ + "~lsu|lsu>io_trigger_pkt_any_0_store", + "~lsu|lsu>io_trigger_pkt_any_1_store", + "~lsu|lsu>io_trigger_pkt_any_3_m", + "~lsu|lsu>io_trigger_pkt_any_0_load", + "~lsu|lsu>io_trigger_pkt_any_0_select", + "~lsu|lsu>io_trigger_pkt_any_3_store", + "~lsu|lsu>io_trigger_pkt_any_2_store", + "~lsu|lsu>io_trigger_pkt_any_1_load", + "~lsu|lsu>io_trigger_pkt_any_1_select", + "~lsu|lsu>io_trigger_pkt_any_2_m", + "~lsu|lsu>io_trigger_pkt_any_3_load", + "~lsu|lsu>io_trigger_pkt_any_3_select", + "~lsu|lsu>io_trigger_pkt_any_2_load", + "~lsu|lsu>io_trigger_pkt_any_2_select", + "~lsu|lsu>io_trigger_pkt_any_0_m", + "~lsu|lsu>io_trigger_pkt_any_1_m", + "~lsu|lsu>io_trigger_pkt_any_0_tdata2", + "~lsu|lsu>io_trigger_pkt_any_0_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_1_tdata2", + "~lsu|lsu>io_trigger_pkt_any_1_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_3_tdata2", + "~lsu|lsu>io_trigger_pkt_any_3_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_2_tdata2", + "~lsu|lsu>io_trigger_pkt_any_2_match_pkt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wren", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu|lsu>io_axi_ar_ready", + "~lsu|lsu>io_axi_aw_ready", + "~lsu|lsu>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_data_hi", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_addr_lo", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_store_stall_any", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata", + "sources":[ + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_addr_hi", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_fastint_stall_any", + "sources":[ + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rd_addr_hi", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_result_m", + "sources":[ + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_data_lo", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wren", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error", + "sources":[ + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_rden", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_load_stall_any", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rden", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rd_addr_lo", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wr_data", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wraddr", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dma_mem_addr", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_rdaddr", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_tlu_force_halt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_force_halt" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu.fir b/lsu.fir new file mode 100644 index 00000000..eeee4b26 --- /dev/null +++ b/lsu.fir @@ -0,0 +1,16186 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu : + module lsu_addrcheck : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>} + + node _T = bits(io.start_addr_d, 31, 28) @[lib.scala 356:27] + node start_addr_in_dccm_region_d = eq(_T, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_1 = bits(io.start_addr_d, 31, 16) @[lib.scala 361:24] + node _T_2 = eq(_T_1, UInt<16>("h0f004")) @[lib.scala 361:39] + start_addr_in_dccm_d <= _T_2 @[lib.scala 361:16] + node _T_3 = bits(io.end_addr_d, 31, 28) @[lib.scala 356:27] + node end_addr_in_dccm_region_d = eq(_T_3, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_4 = bits(io.end_addr_d, 31, 16) @[lib.scala 361:24] + node _T_5 = eq(_T_4, UInt<16>("h0f004")) @[lib.scala 361:39] + end_addr_in_dccm_d <= _T_5 @[lib.scala 361:16] + wire addr_in_iccm : UInt<1> + addr_in_iccm <= UInt<1>("h00") + node _T_6 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 42:37] + node _T_7 = eq(_T_6, UInt<4>("h0e")) @[lsu_addrcheck.scala 42:45] + addr_in_iccm <= _T_7 @[lsu_addrcheck.scala 42:18] + node _T_8 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 50:89] + node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] + node start_addr_in_pic_region_d = eq(_T_9, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_10 = bits(_T_8, 31, 15) @[lib.scala 361:24] + node _T_11 = eq(_T_10, UInt<17>("h01e018")) @[lib.scala 361:39] + start_addr_in_pic_d <= _T_11 @[lib.scala 361:16] + node _T_12 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 52:83] + node _T_13 = bits(_T_12, 31, 28) @[lib.scala 356:27] + node end_addr_in_pic_region_d = eq(_T_13, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_14 = bits(_T_12, 31, 15) @[lib.scala 361:24] + node _T_15 = eq(_T_14, UInt<17>("h01e018")) @[lib.scala 361:39] + end_addr_in_pic_d <= _T_15 @[lib.scala 361:16] + node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 54:60] + node _T_16 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:49] + node _T_17 = eq(_T_16, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:55] + node _T_18 = and(_T_17, UInt<1>("h01")) @[lsu_addrcheck.scala 55:74] + node _T_19 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:109] + node _T_20 = eq(_T_19, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:115] + node base_reg_dccm_or_pic = or(_T_18, _T_20) @[lsu_addrcheck.scala 55:91] + node _T_21 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 56:57] + io.addr_in_dccm_d <= _T_21 @[lsu_addrcheck.scala 56:32] + node _T_22 = and(start_addr_in_pic_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 57:56] + io.addr_in_pic_d <= _T_22 @[lsu_addrcheck.scala 57:32] + node _T_23 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 59:63] + node _T_24 = not(_T_23) @[lsu_addrcheck.scala 59:33] + io.addr_external_d <= _T_24 @[lsu_addrcheck.scala 59:30] + node _T_25 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 60:51] + node csr_idx = cat(_T_25, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_26 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[lsu_addrcheck.scala 61:50] + node _T_27 = bits(_T_26, 0, 0) @[lsu_addrcheck.scala 61:50] + node _T_28 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 61:92] + node _T_29 = or(_T_28, addr_in_iccm) @[lsu_addrcheck.scala 61:121] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[lsu_addrcheck.scala 61:62] + node _T_31 = and(_T_27, _T_30) @[lsu_addrcheck.scala 61:60] + node _T_32 = and(_T_31, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 61:137] + node _T_33 = or(io.lsu_pkt_d.bits.store, io.lsu_pkt_d.bits.load) @[lsu_addrcheck.scala 61:185] + node is_sideeffects_d = and(_T_32, _T_33) @[lsu_addrcheck.scala 61:158] + node _T_34 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 62:74] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[lsu_addrcheck.scala 62:80] + node _T_36 = and(io.lsu_pkt_d.bits.word, _T_35) @[lsu_addrcheck.scala 62:56] + node _T_37 = bits(io.start_addr_d, 0, 0) @[lsu_addrcheck.scala 62:134] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[lsu_addrcheck.scala 62:138] + node _T_39 = and(io.lsu_pkt_d.bits.half, _T_38) @[lsu_addrcheck.scala 62:116] + node _T_40 = or(_T_36, _T_39) @[lsu_addrcheck.scala 62:90] + node is_aligned_d = or(_T_40, io.lsu_pkt_d.bits.by) @[lsu_addrcheck.scala 62:148] + node _T_41 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_42 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_41) @[Cat.scala 29:58] + node _T_44 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_45 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_44) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, _T_43) @[Cat.scala 29:58] + node _T_48 = orr(_T_47) @[lsu_addrcheck.scala 66:99] + node _T_49 = eq(_T_48, UInt<1>("h00")) @[lsu_addrcheck.scala 65:33] + node _T_50 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 67:49] + node _T_51 = or(_T_50, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:56] + node _T_52 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:121] + node _T_53 = eq(_T_51, _T_52) @[lsu_addrcheck.scala 67:88] + node _T_54 = and(UInt<1>("h01"), _T_53) @[lsu_addrcheck.scala 67:30] + node _T_55 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 68:49] + node _T_56 = or(_T_55, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:56] + node _T_57 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:121] + node _T_58 = eq(_T_56, _T_57) @[lsu_addrcheck.scala 68:88] + node _T_59 = and(UInt<1>("h01"), _T_58) @[lsu_addrcheck.scala 68:30] + node _T_60 = or(_T_54, _T_59) @[lsu_addrcheck.scala 67:153] + node _T_61 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 69:49] + node _T_62 = or(_T_61, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:56] + node _T_63 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:121] + node _T_64 = eq(_T_62, _T_63) @[lsu_addrcheck.scala 69:88] + node _T_65 = and(UInt<1>("h01"), _T_64) @[lsu_addrcheck.scala 69:30] + node _T_66 = or(_T_60, _T_65) @[lsu_addrcheck.scala 68:153] + node _T_67 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 70:49] + node _T_68 = or(_T_67, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:56] + node _T_69 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:121] + node _T_70 = eq(_T_68, _T_69) @[lsu_addrcheck.scala 70:88] + node _T_71 = and(UInt<1>("h01"), _T_70) @[lsu_addrcheck.scala 70:30] + node _T_72 = or(_T_66, _T_71) @[lsu_addrcheck.scala 69:153] + node _T_73 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 71:49] + node _T_74 = or(_T_73, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:56] + node _T_75 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:121] + node _T_76 = eq(_T_74, _T_75) @[lsu_addrcheck.scala 71:88] + node _T_77 = and(UInt<1>("h00"), _T_76) @[lsu_addrcheck.scala 71:30] + node _T_78 = or(_T_72, _T_77) @[lsu_addrcheck.scala 70:153] + node _T_79 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 72:49] + node _T_80 = or(_T_79, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:56] + node _T_81 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:121] + node _T_82 = eq(_T_80, _T_81) @[lsu_addrcheck.scala 72:88] + node _T_83 = and(UInt<1>("h00"), _T_82) @[lsu_addrcheck.scala 72:30] + node _T_84 = or(_T_78, _T_83) @[lsu_addrcheck.scala 71:153] + node _T_85 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 73:49] + node _T_86 = or(_T_85, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:56] + node _T_87 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:121] + node _T_88 = eq(_T_86, _T_87) @[lsu_addrcheck.scala 73:88] + node _T_89 = and(UInt<1>("h00"), _T_88) @[lsu_addrcheck.scala 73:30] + node _T_90 = or(_T_84, _T_89) @[lsu_addrcheck.scala 72:153] + node _T_91 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 74:49] + node _T_92 = or(_T_91, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:56] + node _T_93 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:121] + node _T_94 = eq(_T_92, _T_93) @[lsu_addrcheck.scala 74:88] + node _T_95 = and(UInt<1>("h00"), _T_94) @[lsu_addrcheck.scala 74:30] + node _T_96 = or(_T_90, _T_95) @[lsu_addrcheck.scala 73:153] + node _T_97 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 76:48] + node _T_98 = or(_T_97, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:57] + node _T_99 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:122] + node _T_100 = eq(_T_98, _T_99) @[lsu_addrcheck.scala 76:89] + node _T_101 = and(UInt<1>("h01"), _T_100) @[lsu_addrcheck.scala 76:31] + node _T_102 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 77:49] + node _T_103 = or(_T_102, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:58] + node _T_104 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:123] + node _T_105 = eq(_T_103, _T_104) @[lsu_addrcheck.scala 77:90] + node _T_106 = and(UInt<1>("h01"), _T_105) @[lsu_addrcheck.scala 77:32] + node _T_107 = or(_T_101, _T_106) @[lsu_addrcheck.scala 76:154] + node _T_108 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 78:49] + node _T_109 = or(_T_108, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:58] + node _T_110 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:123] + node _T_111 = eq(_T_109, _T_110) @[lsu_addrcheck.scala 78:90] + node _T_112 = and(UInt<1>("h01"), _T_111) @[lsu_addrcheck.scala 78:32] + node _T_113 = or(_T_107, _T_112) @[lsu_addrcheck.scala 77:155] + node _T_114 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 79:49] + node _T_115 = or(_T_114, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:58] + node _T_116 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:123] + node _T_117 = eq(_T_115, _T_116) @[lsu_addrcheck.scala 79:90] + node _T_118 = and(UInt<1>("h01"), _T_117) @[lsu_addrcheck.scala 79:32] + node _T_119 = or(_T_113, _T_118) @[lsu_addrcheck.scala 78:155] + node _T_120 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 80:49] + node _T_121 = or(_T_120, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:58] + node _T_122 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:123] + node _T_123 = eq(_T_121, _T_122) @[lsu_addrcheck.scala 80:90] + node _T_124 = and(UInt<1>("h00"), _T_123) @[lsu_addrcheck.scala 80:32] + node _T_125 = or(_T_119, _T_124) @[lsu_addrcheck.scala 79:155] + node _T_126 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 81:49] + node _T_127 = or(_T_126, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:58] + node _T_128 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:123] + node _T_129 = eq(_T_127, _T_128) @[lsu_addrcheck.scala 81:90] + node _T_130 = and(UInt<1>("h00"), _T_129) @[lsu_addrcheck.scala 81:32] + node _T_131 = or(_T_125, _T_130) @[lsu_addrcheck.scala 80:155] + node _T_132 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 82:49] + node _T_133 = or(_T_132, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:58] + node _T_134 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:123] + node _T_135 = eq(_T_133, _T_134) @[lsu_addrcheck.scala 82:90] + node _T_136 = and(UInt<1>("h00"), _T_135) @[lsu_addrcheck.scala 82:32] + node _T_137 = or(_T_131, _T_136) @[lsu_addrcheck.scala 81:155] + node _T_138 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 83:49] + node _T_139 = or(_T_138, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:58] + node _T_140 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:123] + node _T_141 = eq(_T_139, _T_140) @[lsu_addrcheck.scala 83:90] + node _T_142 = and(UInt<1>("h00"), _T_141) @[lsu_addrcheck.scala 83:32] + node _T_143 = or(_T_137, _T_142) @[lsu_addrcheck.scala 82:155] + node _T_144 = and(_T_96, _T_143) @[lsu_addrcheck.scala 75:7] + node non_dccm_access_ok = or(_T_49, _T_144) @[lsu_addrcheck.scala 66:104] + node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[lsu_addrcheck.scala 85:57] + node _T_145 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 86:70] + node _T_146 = neq(_T_145, UInt<2>("h00")) @[lsu_addrcheck.scala 86:76] + node _T_147 = eq(io.lsu_pkt_d.bits.word, UInt<1>("h00")) @[lsu_addrcheck.scala 86:92] + node _T_148 = or(_T_146, _T_147) @[lsu_addrcheck.scala 86:90] + node picm_access_fault_d = and(io.addr_in_pic_d, _T_148) @[lsu_addrcheck.scala 86:51] + wire unmapped_access_fault_d : UInt<1> + unmapped_access_fault_d <= UInt<1>("h01") + wire mpu_access_fault_d : UInt<1> + mpu_access_fault_d <= UInt<1>("h01") + node _T_149 = or(start_addr_in_dccm_d, start_addr_in_pic_d) @[lsu_addrcheck.scala 91:87] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[lsu_addrcheck.scala 91:64] + node _T_151 = and(start_addr_in_dccm_region_d, _T_150) @[lsu_addrcheck.scala 91:62] + node _T_152 = or(end_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 93:57] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[lsu_addrcheck.scala 93:36] + node _T_154 = and(end_addr_in_dccm_region_d, _T_153) @[lsu_addrcheck.scala 93:34] + node _T_155 = or(_T_151, _T_154) @[lsu_addrcheck.scala 91:112] + node _T_156 = and(start_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 95:29] + node _T_157 = or(_T_155, _T_156) @[lsu_addrcheck.scala 93:85] + node _T_158 = and(start_addr_in_pic_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 97:29] + node _T_159 = or(_T_157, _T_158) @[lsu_addrcheck.scala 95:85] + unmapped_access_fault_d <= _T_159 @[lsu_addrcheck.scala 91:29] + node _T_160 = eq(start_addr_in_dccm_region_d, UInt<1>("h00")) @[lsu_addrcheck.scala 99:33] + node _T_161 = eq(non_dccm_access_ok, UInt<1>("h00")) @[lsu_addrcheck.scala 99:64] + node _T_162 = and(_T_160, _T_161) @[lsu_addrcheck.scala 99:62] + mpu_access_fault_d <= _T_162 @[lsu_addrcheck.scala 99:29] + node _T_163 = or(unmapped_access_fault_d, mpu_access_fault_d) @[lsu_addrcheck.scala 111:49] + node _T_164 = or(_T_163, picm_access_fault_d) @[lsu_addrcheck.scala 111:70] + node _T_165 = or(_T_164, regpred_access_fault_d) @[lsu_addrcheck.scala 111:92] + node _T_166 = and(_T_165, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 111:118] + node _T_167 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 111:141] + node _T_168 = and(_T_166, _T_167) @[lsu_addrcheck.scala 111:139] + io.access_fault_d <= _T_168 @[lsu_addrcheck.scala 111:21] + node _T_169 = bits(unmapped_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:60] + node _T_170 = bits(mpu_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:100] + node _T_171 = bits(regpred_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:144] + node _T_172 = bits(picm_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:185] + node _T_173 = mux(_T_172, UInt<4>("h06"), UInt<4>("h00")) @[lsu_addrcheck.scala 112:164] + node _T_174 = mux(_T_171, UInt<4>("h05"), _T_173) @[lsu_addrcheck.scala 112:120] + node _T_175 = mux(_T_170, UInt<4>("h03"), _T_174) @[lsu_addrcheck.scala 112:80] + node access_fault_mscause_d = mux(_T_169, UInt<4>("h02"), _T_175) @[lsu_addrcheck.scala 112:35] + node _T_176 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 113:53] + node _T_177 = bits(io.end_addr_d, 31, 28) @[lsu_addrcheck.scala 113:78] + node regcross_misaligned_fault_d = neq(_T_176, _T_177) @[lsu_addrcheck.scala 113:61] + node _T_178 = eq(is_aligned_d, UInt<1>("h00")) @[lsu_addrcheck.scala 114:59] + node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_178) @[lsu_addrcheck.scala 114:57] + node _T_179 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[lsu_addrcheck.scala 115:90] + node _T_180 = or(regcross_misaligned_fault_d, _T_179) @[lsu_addrcheck.scala 115:57] + node _T_181 = and(_T_180, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 115:113] + node _T_182 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 115:136] + node _T_183 = and(_T_181, _T_182) @[lsu_addrcheck.scala 115:134] + io.misaligned_fault_d <= _T_183 @[lsu_addrcheck.scala 115:25] + node _T_184 = bits(sideeffect_misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 116:111] + node _T_185 = mux(_T_184, UInt<4>("h01"), UInt<4>("h00")) @[lsu_addrcheck.scala 116:80] + node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_185) @[lsu_addrcheck.scala 116:39] + node _T_186 = bits(io.misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 117:50] + node _T_187 = bits(misaligned_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:84] + node _T_188 = bits(access_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:113] + node _T_189 = mux(_T_186, _T_187, _T_188) @[lsu_addrcheck.scala 117:27] + io.exc_mscause_d <= _T_189 @[lsu_addrcheck.scala 117:21] + node _T_190 = eq(start_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:66] + node _T_191 = and(start_addr_in_dccm_region_d, _T_190) @[lsu_addrcheck.scala 118:64] + node _T_192 = eq(end_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:120] + node _T_193 = and(end_addr_in_dccm_region_d, _T_192) @[lsu_addrcheck.scala 118:118] + node _T_194 = or(_T_191, _T_193) @[lsu_addrcheck.scala 118:88] + node _T_195 = and(_T_194, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 118:142] + node _T_196 = and(_T_195, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 118:163] + io.fir_dccm_access_error_d <= _T_196 @[lsu_addrcheck.scala 118:31] + node _T_197 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[lsu_addrcheck.scala 119:66] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[lsu_addrcheck.scala 119:36] + node _T_199 = and(_T_198, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 119:95] + node _T_200 = and(_T_199, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 119:116] + io.fir_nondccm_access_error_d <= _T_200 @[lsu_addrcheck.scala 119:33] + reg _T_201 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_addrcheck.scala 121:60] + _T_201 <= is_sideeffects_d @[lsu_addrcheck.scala 121:60] + io.is_sideeffects_m <= _T_201 @[lsu_addrcheck.scala 121:50] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_lsc_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_store_c1_m_clk : Clock, flip lsu_ld_data_r : UInt<32>, flip lsu_ld_data_corr_r : UInt<32>, flip lsu_single_ecc_error_r : UInt<1>, flip lsu_double_ecc_error_r : UInt<1>, flip lsu_ld_data_m : UInt<32>, flip lsu_single_ecc_error_m : UInt<1>, flip lsu_double_ecc_error_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_lsu_valid_raw_d : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip picm_mask_data_m : UInt<32>, flip bus_read_data_m : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_addr_d : UInt<32>, lsu_addr_m : UInt<32>, lsu_addr_r : UInt<32>, end_addr_d : UInt<32>, end_addr_m : UInt<32>, end_addr_r : UInt<32>, store_data_m : UInt<32>, flip dec_tlu_mrac_ff : UInt<32>, lsu_exc_m : UInt<1>, is_sideeffects_m : UInt<1>, lsu_commit_r : UInt<1>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, addr_in_dccm_d : UInt<1>, addr_in_dccm_m : UInt<1>, addr_in_dccm_r : UInt<1>, addr_in_pic_d : UInt<1>, addr_in_pic_m : UInt<1>, addr_in_pic_r : UInt<1>, addr_external_m : UInt<1>, dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip scan_mode : UInt<1>} + + wire end_addr_pre_m : UInt<29> + end_addr_pre_m <= UInt<29>("h00") + wire end_addr_pre_r : UInt<29> + end_addr_pre_r <= UInt<29>("h00") + wire dma_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 95:29] + wire lsu_pkt_m_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 96:29] + wire lsu_pkt_r_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 97:29] + wire lsu_error_pkt_m : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 98:29] + node _T = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 100:52] + node lsu_rs1_d = mux(_T, io.lsu_exu.exu_lsu_rs1_d, io.dma_lsc_ctl.dma_mem_addr) @[lsu_lsc_ctl.scala 100:28] + node _T_1 = bits(io.dec_lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 101:44] + node _T_2 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[Bitwise.scala 72:15] + node _T_3 = mux(_T_2, UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node lsu_offset_d = and(_T_1, _T_3) @[lsu_lsc_ctl.scala 101:51] + node _T_4 = bits(io.lsu_pkt_d.bits.load_ldst_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 104:66] + node rs1_d = mux(_T_4, io.lsu_result_m, lsu_rs1_d) @[lsu_lsc_ctl.scala 104:28] + node _T_5 = bits(rs1_d, 11, 0) @[lib.scala 92:31] + node _T_6 = cat(UInt<1>("h00"), _T_5) @[Cat.scala 29:58] + node _T_7 = bits(lsu_offset_d, 11, 0) @[lib.scala 92:60] + node _T_8 = cat(UInt<1>("h00"), _T_7) @[Cat.scala 29:58] + node _T_9 = add(_T_6, _T_8) @[lib.scala 92:39] + node _T_10 = tail(_T_9, 1) @[lib.scala 92:39] + node _T_11 = bits(lsu_offset_d, 11, 11) @[lib.scala 93:41] + node _T_12 = bits(_T_10, 12, 12) @[lib.scala 93:50] + node _T_13 = xor(_T_11, _T_12) @[lib.scala 93:46] + node _T_14 = not(_T_13) @[lib.scala 93:33] + node _T_15 = bits(_T_14, 0, 0) @[Bitwise.scala 72:15] + node _T_16 = mux(_T_15, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_17 = bits(rs1_d, 31, 12) @[lib.scala 93:63] + node _T_18 = and(_T_16, _T_17) @[lib.scala 93:58] + node _T_19 = bits(lsu_offset_d, 11, 11) @[lib.scala 94:25] + node _T_20 = not(_T_19) @[lib.scala 94:18] + node _T_21 = bits(_T_10, 12, 12) @[lib.scala 94:34] + node _T_22 = and(_T_20, _T_21) @[lib.scala 94:30] + node _T_23 = bits(_T_22, 0, 0) @[Bitwise.scala 72:15] + node _T_24 = mux(_T_23, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_25 = bits(rs1_d, 31, 12) @[lib.scala 94:47] + node _T_26 = add(_T_25, UInt<1>("h01")) @[lib.scala 94:54] + node _T_27 = tail(_T_26, 1) @[lib.scala 94:54] + node _T_28 = and(_T_24, _T_27) @[lib.scala 94:41] + node _T_29 = or(_T_18, _T_28) @[lib.scala 93:72] + node _T_30 = bits(lsu_offset_d, 11, 11) @[lib.scala 95:24] + node _T_31 = bits(_T_10, 12, 12) @[lib.scala 95:34] + node _T_32 = not(_T_31) @[lib.scala 95:31] + node _T_33 = and(_T_30, _T_32) @[lib.scala 95:29] + node _T_34 = bits(_T_33, 0, 0) @[Bitwise.scala 72:15] + node _T_35 = mux(_T_34, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_36 = bits(rs1_d, 31, 12) @[lib.scala 95:47] + node _T_37 = sub(_T_36, UInt<1>("h01")) @[lib.scala 95:54] + node _T_38 = tail(_T_37, 1) @[lib.scala 95:54] + node _T_39 = and(_T_35, _T_38) @[lib.scala 95:41] + node _T_40 = or(_T_29, _T_39) @[lib.scala 94:61] + node _T_41 = bits(_T_10, 11, 0) @[lib.scala 96:22] + node full_addr_d = cat(_T_40, _T_41) @[Cat.scala 29:58] + node _T_42 = bits(io.lsu_pkt_d.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_43 = mux(_T_42, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_44 = and(_T_43, UInt<3>("h01")) @[lsu_lsc_ctl.scala 109:58] + node _T_45 = bits(io.lsu_pkt_d.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_46 = mux(_T_45, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_47 = and(_T_46, UInt<3>("h03")) @[lsu_lsc_ctl.scala 110:40] + node _T_48 = or(_T_44, _T_47) @[lsu_lsc_ctl.scala 109:70] + node _T_49 = bits(io.lsu_pkt_d.bits.dword, 0, 0) @[Bitwise.scala 72:15] + node _T_50 = mux(_T_49, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_51 = and(_T_50, UInt<3>("h07")) @[lsu_lsc_ctl.scala 111:40] + node addr_offset_d = or(_T_48, _T_51) @[lsu_lsc_ctl.scala 110:52] + node _T_52 = bits(lsu_offset_d, 11, 11) @[lsu_lsc_ctl.scala 113:39] + node _T_53 = bits(lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 113:52] + node _T_54 = cat(_T_52, _T_53) @[Cat.scala 29:58] + node _T_55 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(addr_offset_d, 2, 0) @[lsu_lsc_ctl.scala 113:91] + node _T_57 = cat(_T_55, _T_56) @[Cat.scala 29:58] + node _T_58 = add(_T_54, _T_57) @[lsu_lsc_ctl.scala 113:60] + node end_addr_offset_d = tail(_T_58, 1) @[lsu_lsc_ctl.scala 113:60] + node _T_59 = bits(rs1_d, 31, 0) @[lsu_lsc_ctl.scala 114:32] + node _T_60 = bits(end_addr_offset_d, 12, 12) @[lsu_lsc_ctl.scala 114:70] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_63 = bits(end_addr_offset_d, 12, 0) @[lsu_lsc_ctl.scala 114:93] + node _T_64 = cat(_T_62, _T_63) @[Cat.scala 29:58] + node _T_65 = add(_T_59, _T_64) @[lsu_lsc_ctl.scala 114:39] + node full_end_addr_d = tail(_T_65, 1) @[lsu_lsc_ctl.scala 114:39] + io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 115:24] + inst addrcheck of lsu_addrcheck @[lsu_lsc_ctl.scala 118:25] + addrcheck.clock <= clock + addrcheck.reset <= reset + addrcheck.io.lsu_c2_m_clk <= io.lsu_c2_m_clk @[lsu_lsc_ctl.scala 120:42] + addrcheck.io.start_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 122:42] + addrcheck.io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 123:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu_lsc_ctl.scala 125:42] + node _T_66 = bits(rs1_d, 31, 28) @[lsu_lsc_ctl.scala 126:50] + addrcheck.io.rs1_region_d <= _T_66 @[lsu_lsc_ctl.scala 126:42] + addrcheck.io.rs1_d <= rs1_d @[lsu_lsc_ctl.scala 127:42] + io.is_sideeffects_m <= addrcheck.io.is_sideeffects_m @[lsu_lsc_ctl.scala 128:42] + io.addr_in_dccm_d <= addrcheck.io.addr_in_dccm_d @[lsu_lsc_ctl.scala 129:42] + io.addr_in_pic_d <= addrcheck.io.addr_in_pic_d @[lsu_lsc_ctl.scala 130:42] + addrcheck.io.scan_mode <= io.scan_mode @[lsu_lsc_ctl.scala 137:42] + wire exc_mscause_r : UInt<4> + exc_mscause_r <= UInt<4>("h00") + wire fir_dccm_access_error_r : UInt<1> + fir_dccm_access_error_r <= UInt<1>("h00") + wire fir_nondccm_access_error_r : UInt<1> + fir_nondccm_access_error_r <= UInt<1>("h00") + wire access_fault_r : UInt<1> + access_fault_r <= UInt<1>("h00") + wire misaligned_fault_r : UInt<1> + misaligned_fault_r <= UInt<1>("h00") + wire lsu_fir_error_m : UInt<2> + lsu_fir_error_m <= UInt<2>("h00") + wire fir_dccm_access_error_m : UInt<1> + fir_dccm_access_error_m <= UInt<1>("h00") + wire fir_nondccm_access_error_m : UInt<1> + fir_nondccm_access_error_m <= UInt<1>("h00") + reg access_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 149:75] + access_fault_m <= addrcheck.io.access_fault_d @[lsu_lsc_ctl.scala 149:75] + reg misaligned_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 150:75] + misaligned_fault_m <= addrcheck.io.misaligned_fault_d @[lsu_lsc_ctl.scala 150:75] + reg exc_mscause_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 151:75] + exc_mscause_m <= addrcheck.io.exc_mscause_d @[lsu_lsc_ctl.scala 151:75] + reg _T_67 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 152:75] + _T_67 <= addrcheck.io.fir_dccm_access_error_d @[lsu_lsc_ctl.scala 152:75] + fir_dccm_access_error_m <= _T_67 @[lsu_lsc_ctl.scala 152:38] + reg _T_68 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 153:75] + _T_68 <= addrcheck.io.fir_nondccm_access_error_d @[lsu_lsc_ctl.scala 153:75] + fir_nondccm_access_error_m <= _T_68 @[lsu_lsc_ctl.scala 153:38] + node _T_69 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 155:34] + io.lsu_exc_m <= _T_69 @[lsu_lsc_ctl.scala 155:16] + node _T_70 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 156:64] + node _T_71 = and(io.lsu_single_ecc_error_r, _T_70) @[lsu_lsc_ctl.scala 156:62] + node _T_72 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_lsc_ctl.scala 156:111] + node _T_73 = and(_T_71, _T_72) @[lsu_lsc_ctl.scala 156:92] + node _T_74 = and(_T_73, io.lsu_pkt_r.valid) @[lsu_lsc_ctl.scala 156:136] + io.lsu_single_ecc_error_incr <= _T_74 @[lsu_lsc_ctl.scala 156:32] + node _T_75 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 178:46] + node _T_76 = or(_T_75, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 178:67] + node _T_77 = and(_T_76, io.lsu_pkt_m.valid) @[lsu_lsc_ctl.scala 178:96] + node _T_78 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 178:119] + node _T_79 = and(_T_77, _T_78) @[lsu_lsc_ctl.scala 178:117] + node _T_80 = eq(io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 178:144] + node _T_81 = and(_T_79, _T_80) @[lsu_lsc_ctl.scala 178:142] + node _T_82 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_lsc_ctl.scala 178:174] + node _T_83 = and(_T_81, _T_82) @[lsu_lsc_ctl.scala 178:172] + lsu_error_pkt_m.valid <= _T_83 @[lsu_lsc_ctl.scala 178:27] + node _T_84 = eq(lsu_error_pkt_m.valid, UInt<1>("h00")) @[lsu_lsc_ctl.scala 179:75] + node _T_85 = and(io.lsu_single_ecc_error_m, _T_84) @[lsu_lsc_ctl.scala 179:73] + node _T_86 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 179:101] + node _T_87 = and(_T_85, _T_86) @[lsu_lsc_ctl.scala 179:99] + lsu_error_pkt_m.bits.single_ecc_error <= _T_87 @[lsu_lsc_ctl.scala 179:43] + lsu_error_pkt_m.bits.inst_type <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 180:43] + node _T_88 = not(misaligned_fault_m) @[lsu_lsc_ctl.scala 181:46] + lsu_error_pkt_m.bits.exc_type <= _T_88 @[lsu_lsc_ctl.scala 181:43] + node _T_89 = eq(misaligned_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 182:80] + node _T_90 = and(io.lsu_double_ecc_error_m, _T_89) @[lsu_lsc_ctl.scala 182:78] + node _T_91 = eq(access_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 182:102] + node _T_92 = and(_T_90, _T_91) @[lsu_lsc_ctl.scala 182:100] + node _T_93 = eq(_T_92, UInt<1>("h01")) @[lsu_lsc_ctl.scala 182:118] + node _T_94 = bits(exc_mscause_m, 3, 0) @[lsu_lsc_ctl.scala 182:149] + node _T_95 = mux(_T_93, UInt<4>("h01"), _T_94) @[lsu_lsc_ctl.scala 182:49] + lsu_error_pkt_m.bits.mscause <= _T_95 @[lsu_lsc_ctl.scala 182:43] + node _T_96 = bits(io.lsu_addr_m, 31, 0) @[lsu_lsc_ctl.scala 183:59] + lsu_error_pkt_m.bits.addr <= _T_96 @[lsu_lsc_ctl.scala 183:43] + node _T_97 = bits(fir_nondccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 184:72] + node _T_98 = bits(fir_dccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 184:117] + node _T_99 = and(io.lsu_pkt_m.bits.fast_int, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 184:166] + node _T_100 = bits(_T_99, 0, 0) @[lsu_lsc_ctl.scala 184:195] + node _T_101 = mux(_T_100, UInt<2>("h01"), UInt<2>("h00")) @[lsu_lsc_ctl.scala 184:137] + node _T_102 = mux(_T_98, UInt<2>("h02"), _T_101) @[lsu_lsc_ctl.scala 184:92] + node _T_103 = mux(_T_97, UInt<2>("h03"), _T_102) @[lsu_lsc_ctl.scala 184:44] + lsu_fir_error_m <= _T_103 @[lsu_lsc_ctl.scala 184:38] + node _T_104 = or(lsu_error_pkt_m.valid, lsu_error_pkt_m.bits.single_ecc_error) @[lsu_lsc_ctl.scala 185:73] + node _T_105 = or(_T_104, io.clk_override) @[lsu_lsc_ctl.scala 185:113] + node _T_106 = bits(_T_105, 0, 0) @[lib.scala 8:44] + node _T_107 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr of rvclkhdr @[lib.scala 378:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 380:18] + rvclkhdr.io.en <= _T_106 @[lib.scala 381:17] + rvclkhdr.io.scan_mode <= _T_107 @[lib.scala 382:24] + wire _T_108 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lib.scala 384:33] + _T_108.bits.addr <= UInt<32>("h00") @[lib.scala 384:33] + _T_108.bits.mscause <= UInt<4>("h00") @[lib.scala 384:33] + _T_108.bits.exc_type <= UInt<1>("h00") @[lib.scala 384:33] + _T_108.bits.inst_type <= UInt<1>("h00") @[lib.scala 384:33] + _T_108.bits.single_ecc_error <= UInt<1>("h00") @[lib.scala 384:33] + _T_108.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_109 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, rvclkhdr.io.l1clk with : (reset => (reset, _T_108)) @[lib.scala 384:16] + _T_109.bits.addr <= lsu_error_pkt_m.bits.addr @[lib.scala 384:16] + _T_109.bits.mscause <= lsu_error_pkt_m.bits.mscause @[lib.scala 384:16] + _T_109.bits.exc_type <= lsu_error_pkt_m.bits.exc_type @[lib.scala 384:16] + _T_109.bits.inst_type <= lsu_error_pkt_m.bits.inst_type @[lib.scala 384:16] + _T_109.bits.single_ecc_error <= lsu_error_pkt_m.bits.single_ecc_error @[lib.scala 384:16] + _T_109.valid <= lsu_error_pkt_m.valid @[lib.scala 384:16] + io.lsu_error_pkt_r.bits.addr <= _T_109.bits.addr @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.mscause <= _T_109.bits.mscause @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.exc_type <= _T_109.bits.exc_type @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.inst_type <= _T_109.bits.inst_type @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.single_ecc_error <= _T_109.bits.single_ecc_error @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.valid <= _T_109.valid @[lsu_lsc_ctl.scala 185:24] + reg _T_110 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 186:83] + _T_110 <= lsu_error_pkt_m.bits.single_ecc_error @[lsu_lsc_ctl.scala 186:83] + io.lsu_error_pkt_r.bits.single_ecc_error <= _T_110 @[lsu_lsc_ctl.scala 186:46] + reg _T_111 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 187:67] + _T_111 <= lsu_error_pkt_m.valid @[lsu_lsc_ctl.scala 187:67] + io.lsu_error_pkt_r.valid <= _T_111 @[lsu_lsc_ctl.scala 187:30] + reg _T_112 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 188:48] + _T_112 <= lsu_fir_error_m @[lsu_lsc_ctl.scala 188:48] + io.lsu_fir_error <= _T_112 @[lsu_lsc_ctl.scala 188:38] + dma_pkt_d.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 190:27] + dma_pkt_d.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 191:27] + dma_pkt_d.valid <= io.dma_lsc_ctl.dma_dccm_req @[lsu_lsc_ctl.scala 192:22] + dma_pkt_d.bits.dma <= UInt<1>("h01") @[lsu_lsc_ctl.scala 193:27] + dma_pkt_d.bits.store <= io.dma_lsc_ctl.dma_mem_write @[lsu_lsc_ctl.scala 194:27] + node _T_113 = not(io.dma_lsc_ctl.dma_mem_write) @[lsu_lsc_ctl.scala 195:30] + dma_pkt_d.bits.load <= _T_113 @[lsu_lsc_ctl.scala 195:27] + node _T_114 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 196:56] + node _T_115 = eq(_T_114, UInt<3>("h00")) @[lsu_lsc_ctl.scala 196:62] + dma_pkt_d.bits.by <= _T_115 @[lsu_lsc_ctl.scala 196:27] + node _T_116 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 197:56] + node _T_117 = eq(_T_116, UInt<3>("h01")) @[lsu_lsc_ctl.scala 197:62] + dma_pkt_d.bits.half <= _T_117 @[lsu_lsc_ctl.scala 197:27] + node _T_118 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 198:56] + node _T_119 = eq(_T_118, UInt<3>("h02")) @[lsu_lsc_ctl.scala 198:62] + dma_pkt_d.bits.word <= _T_119 @[lsu_lsc_ctl.scala 198:27] + node _T_120 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 199:56] + node _T_121 = eq(_T_120, UInt<3>("h03")) @[lsu_lsc_ctl.scala 199:62] + dma_pkt_d.bits.dword <= _T_121 @[lsu_lsc_ctl.scala 199:27] + dma_pkt_d.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 200:39] + dma_pkt_d.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 201:39] + dma_pkt_d.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 202:39] + wire lsu_ld_datafn_r : UInt<32> + lsu_ld_datafn_r <= UInt<32>("h00") + wire lsu_ld_datafn_corr_r : UInt<32> + lsu_ld_datafn_corr_r <= UInt<32>("h00") + wire lsu_ld_datafn_m : UInt<32> + lsu_ld_datafn_m <= UInt<32>("h00") + node _T_122 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 208:50] + node _T_123 = mux(_T_122, io.lsu_p, dma_pkt_d) @[lsu_lsc_ctl.scala 208:26] + io.lsu_pkt_d.bits.store_data_bypass_m <= _T_123.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.load_ldst_bypass_d <= _T_123.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.store_data_bypass_d <= _T_123.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.dma <= _T_123.bits.dma @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.unsign <= _T_123.bits.unsign @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.store <= _T_123.bits.store @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.load <= _T_123.bits.load @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.dword <= _T_123.bits.dword @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.word <= _T_123.bits.word @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.half <= _T_123.bits.half @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.by <= _T_123.bits.by @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.bits.fast_int <= _T_123.bits.fast_int @[lsu_lsc_ctl.scala 208:20] + io.lsu_pkt_d.valid <= _T_123.valid @[lsu_lsc_ctl.scala 208:20] + lsu_pkt_m_in.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_m_in.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 209:20] + lsu_pkt_r_in.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.store <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.load <= io.lsu_pkt_m.bits.load @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.word <= io.lsu_pkt_m.bits.word @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.half <= io.lsu_pkt_m.bits.half @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.by <= io.lsu_pkt_m.bits.by @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_lsc_ctl.scala 210:20] + lsu_pkt_r_in.valid <= io.lsu_pkt_m.valid @[lsu_lsc_ctl.scala 210:20] + node _T_124 = eq(io.lsu_p.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 212:64] + node _T_125 = and(io.flush_m_up, _T_124) @[lsu_lsc_ctl.scala 212:61] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[lsu_lsc_ctl.scala 212:45] + node _T_127 = and(io.lsu_p.valid, _T_126) @[lsu_lsc_ctl.scala 212:43] + node _T_128 = or(_T_127, io.dma_lsc_ctl.dma_dccm_req) @[lsu_lsc_ctl.scala 212:90] + io.lsu_pkt_d.valid <= _T_128 @[lsu_lsc_ctl.scala 212:24] + node _T_129 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 213:68] + node _T_130 = and(io.flush_m_up, _T_129) @[lsu_lsc_ctl.scala 213:65] + node _T_131 = eq(_T_130, UInt<1>("h00")) @[lsu_lsc_ctl.scala 213:49] + node _T_132 = and(io.lsu_pkt_d.valid, _T_131) @[lsu_lsc_ctl.scala 213:47] + lsu_pkt_m_in.valid <= _T_132 @[lsu_lsc_ctl.scala 213:24] + node _T_133 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 214:68] + node _T_134 = and(io.flush_m_up, _T_133) @[lsu_lsc_ctl.scala 214:65] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[lsu_lsc_ctl.scala 214:49] + node _T_136 = and(io.lsu_pkt_m.valid, _T_135) @[lsu_lsc_ctl.scala 214:47] + lsu_pkt_r_in.valid <= _T_136 @[lsu_lsc_ctl.scala 214:24] + wire _T_137 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + _T_137.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 216:91] + reg _T_138 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_m_clk with : (reset => (reset, _T_137)) @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.store_data_bypass_m <= lsu_pkt_m_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.load_ldst_bypass_d <= lsu_pkt_m_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.store_data_bypass_d <= lsu_pkt_m_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.dma <= lsu_pkt_m_in.bits.dma @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.unsign <= lsu_pkt_m_in.bits.unsign @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.store <= lsu_pkt_m_in.bits.store @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.load <= lsu_pkt_m_in.bits.load @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.dword <= lsu_pkt_m_in.bits.dword @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.word <= lsu_pkt_m_in.bits.word @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.half <= lsu_pkt_m_in.bits.half @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.by <= lsu_pkt_m_in.bits.by @[lsu_lsc_ctl.scala 216:65] + _T_138.bits.fast_int <= lsu_pkt_m_in.bits.fast_int @[lsu_lsc_ctl.scala 216:65] + _T_138.valid <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 216:65] + io.lsu_pkt_m.bits.store_data_bypass_m <= _T_138.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.load_ldst_bypass_d <= _T_138.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.store_data_bypass_d <= _T_138.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.dma <= _T_138.bits.dma @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.unsign <= _T_138.bits.unsign @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.store <= _T_138.bits.store @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.load <= _T_138.bits.load @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.dword <= _T_138.bits.dword @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.word <= _T_138.bits.word @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.half <= _T_138.bits.half @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.by <= _T_138.bits.by @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.bits.fast_int <= _T_138.bits.fast_int @[lsu_lsc_ctl.scala 216:28] + io.lsu_pkt_m.valid <= _T_138.valid @[lsu_lsc_ctl.scala 216:28] + wire _T_139 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + _T_139.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 217:91] + reg _T_140 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_r_clk with : (reset => (reset, _T_139)) @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.store_data_bypass_m <= lsu_pkt_r_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.load_ldst_bypass_d <= lsu_pkt_r_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.store_data_bypass_d <= lsu_pkt_r_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.dma <= lsu_pkt_r_in.bits.dma @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.unsign <= lsu_pkt_r_in.bits.unsign @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.store <= lsu_pkt_r_in.bits.store @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.load <= lsu_pkt_r_in.bits.load @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.dword <= lsu_pkt_r_in.bits.dword @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.word <= lsu_pkt_r_in.bits.word @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.half <= lsu_pkt_r_in.bits.half @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.by <= lsu_pkt_r_in.bits.by @[lsu_lsc_ctl.scala 217:65] + _T_140.bits.fast_int <= lsu_pkt_r_in.bits.fast_int @[lsu_lsc_ctl.scala 217:65] + _T_140.valid <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 217:65] + io.lsu_pkt_r.bits.store_data_bypass_m <= _T_140.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.load_ldst_bypass_d <= _T_140.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.store_data_bypass_d <= _T_140.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.dma <= _T_140.bits.dma @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.unsign <= _T_140.bits.unsign @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.store <= _T_140.bits.store @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.load <= _T_140.bits.load @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.dword <= _T_140.bits.dword @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.word <= _T_140.bits.word @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.half <= _T_140.bits.half @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.by <= _T_140.bits.by @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.bits.fast_int <= _T_140.bits.fast_int @[lsu_lsc_ctl.scala 217:28] + io.lsu_pkt_r.valid <= _T_140.valid @[lsu_lsc_ctl.scala 217:28] + reg _T_141 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 218:65] + _T_141 <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 218:65] + io.lsu_pkt_m.valid <= _T_141 @[lsu_lsc_ctl.scala 218:28] + reg _T_142 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 219:65] + _T_142 <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 219:65] + io.lsu_pkt_r.valid <= _T_142 @[lsu_lsc_ctl.scala 219:28] + node _T_143 = bits(io.dma_lsc_ctl.dma_mem_wdata, 63, 0) @[lsu_lsc_ctl.scala 221:59] + node _T_144 = bits(io.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu_lsc_ctl.scala 221:100] + node _T_145 = cat(_T_144, UInt<3>("h00")) @[Cat.scala 29:58] + node dma_mem_wdata_shifted = dshr(_T_143, _T_145) @[lsu_lsc_ctl.scala 221:66] + node _T_146 = bits(io.dma_lsc_ctl.dma_dccm_req, 0, 0) @[lsu_lsc_ctl.scala 222:63] + node _T_147 = bits(dma_mem_wdata_shifted, 31, 0) @[lsu_lsc_ctl.scala 222:91] + node _T_148 = bits(io.lsu_exu.exu_lsu_rs2_d, 31, 0) @[lsu_lsc_ctl.scala 222:122] + node store_data_d = mux(_T_146, _T_147, _T_148) @[lsu_lsc_ctl.scala 222:34] + node _T_149 = bits(io.lsu_pkt_d.bits.store_data_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 223:73] + node _T_150 = bits(io.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 223:95] + node _T_151 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 223:114] + node store_data_m_in = mux(_T_149, _T_150, _T_151) @[lsu_lsc_ctl.scala 223:34] + reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:72] + store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 225:72] + reg _T_152 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:62] + _T_152 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 226:62] + io.lsu_addr_m <= _T_152 @[lsu_lsc_ctl.scala 226:24] + reg _T_153 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] + _T_153 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 227:62] + io.lsu_addr_r <= _T_153 @[lsu_lsc_ctl.scala 227:24] + node _T_154 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] + node _T_155 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 229:71] + node _T_156 = mux(_T_154, end_addr_pre_m, _T_155) @[lsu_lsc_ctl.scala 229:27] + node _T_157 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 229:128] + reg _T_158 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:114] + _T_158 <= _T_157 @[lsu_lsc_ctl.scala 229:114] + node _T_159 = cat(_T_156, _T_158) @[Cat.scala 29:58] + io.end_addr_m <= _T_159 @[lsu_lsc_ctl.scala 229:17] + node _T_160 = bits(io.ldst_dual_r, 0, 0) @[lib.scala 8:44] + node _T_161 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 230:71] + node _T_162 = mux(_T_160, end_addr_pre_r, _T_161) @[lsu_lsc_ctl.scala 230:27] + node _T_163 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 230:128] + reg _T_164 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 230:114] + _T_164 <= _T_163 @[lsu_lsc_ctl.scala 230:114] + node _T_165 = cat(_T_162, _T_164) @[Cat.scala 29:58] + io.end_addr_r <= _T_165 @[lsu_lsc_ctl.scala 230:17] + node _T_166 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 232:41] + node _T_167 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 232:69] + node _T_168 = or(_T_167, io.clk_override) @[lsu_lsc_ctl.scala 232:87] + node _T_169 = bits(_T_168, 0, 0) @[lib.scala 8:44] + node _T_170 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_169 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_170 @[lib.scala 372:24] + reg _T_171 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_171 <= _T_166 @[lib.scala 374:16] + end_addr_pre_m <= _T_171 @[lsu_lsc_ctl.scala 232:18] + node _T_172 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 233:41] + node _T_173 = and(io.lsu_pkt_m.valid, io.ldst_dual_m) @[lsu_lsc_ctl.scala 233:69] + node _T_174 = or(_T_173, io.clk_override) @[lsu_lsc_ctl.scala 233:87] + node _T_175 = bits(_T_174, 0, 0) @[lib.scala 8:44] + node _T_176 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_175 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= _T_176 @[lib.scala 372:24] + reg _T_177 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_177 <= _T_172 @[lib.scala 374:16] + end_addr_pre_r <= _T_177 @[lsu_lsc_ctl.scala 233:18] + reg _T_178 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] + _T_178 <= io.end_addr_d @[lsu_lsc_ctl.scala 236:62] + io.end_addr_m <= _T_178 @[lsu_lsc_ctl.scala 236:24] + reg _T_179 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:62] + _T_179 <= io.end_addr_m @[lsu_lsc_ctl.scala 237:62] + io.end_addr_r <= _T_179 @[lsu_lsc_ctl.scala 237:24] + reg _T_180 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 238:62] + _T_180 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 238:62] + io.addr_in_dccm_m <= _T_180 @[lsu_lsc_ctl.scala 238:24] + reg _T_181 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 239:62] + _T_181 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 239:62] + io.addr_in_dccm_r <= _T_181 @[lsu_lsc_ctl.scala 239:24] + reg _T_182 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 240:62] + _T_182 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 240:62] + io.addr_in_pic_m <= _T_182 @[lsu_lsc_ctl.scala 240:24] + reg _T_183 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 241:62] + _T_183 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 241:62] + io.addr_in_pic_r <= _T_183 @[lsu_lsc_ctl.scala 241:24] + reg _T_184 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 242:62] + _T_184 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 242:62] + io.addr_external_m <= _T_184 @[lsu_lsc_ctl.scala 242:24] + reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 243:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 243:66] + node _T_185 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 244:77] + node _T_186 = bits(_T_185, 0, 0) @[lib.scala 8:44] + node _T_187 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_186 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= _T_187 @[lib.scala 372:24] + reg bus_read_data_r : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + bus_read_data_r <= io.bus_read_data_m @[lib.scala 374:16] + node _T_188 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 247:52] + io.lsu_fir_addr <= _T_188 @[lsu_lsc_ctl.scala 247:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 249:28] + node _T_189 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 251:68] + node _T_190 = and(io.lsu_pkt_r.valid, _T_189) @[lsu_lsc_ctl.scala 251:41] + node _T_191 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 251:96] + node _T_192 = and(_T_190, _T_191) @[lsu_lsc_ctl.scala 251:94] + node _T_193 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 251:110] + node _T_194 = and(_T_192, _T_193) @[lsu_lsc_ctl.scala 251:108] + io.lsu_commit_r <= _T_194 @[lsu_lsc_ctl.scala 251:19] + node _T_195 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 252:52] + node _T_196 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 252:69] + node _T_197 = bits(_T_196, 0, 0) @[Bitwise.scala 72:15] + node _T_198 = mux(_T_197, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_199 = or(_T_195, _T_198) @[lsu_lsc_ctl.scala 252:59] + node _T_200 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 252:133] + node _T_201 = mux(_T_200, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 252:94] + node _T_202 = and(_T_199, _T_201) @[lsu_lsc_ctl.scala 252:89] + io.store_data_m <= _T_202 @[lsu_lsc_ctl.scala 252:29] + node _T_203 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 273:53] + node _T_204 = mux(_T_203, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 273:33] + lsu_ld_datafn_m <= _T_204 @[lsu_lsc_ctl.scala 273:27] + node _T_205 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 274:49] + node _T_206 = mux(_T_205, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 274:33] + lsu_ld_datafn_corr_r <= _T_206 @[lsu_lsc_ctl.scala 274:27] + node _T_207 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 275:66] + node _T_208 = bits(_T_207, 0, 0) @[Bitwise.scala 72:15] + node _T_209 = mux(_T_208, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_210 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 275:125] + node _T_211 = cat(UInt<24>("h00"), _T_210) @[Cat.scala 29:58] + node _T_212 = and(_T_209, _T_211) @[lsu_lsc_ctl.scala 275:94] + node _T_213 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 276:43] + node _T_214 = bits(_T_213, 0, 0) @[Bitwise.scala 72:15] + node _T_215 = mux(_T_214, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_216 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 276:102] + node _T_217 = cat(UInt<16>("h00"), _T_216) @[Cat.scala 29:58] + node _T_218 = and(_T_215, _T_217) @[lsu_lsc_ctl.scala 276:71] + node _T_219 = or(_T_212, _T_218) @[lsu_lsc_ctl.scala 275:133] + node _T_220 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] + node _T_221 = and(_T_220, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 277:43] + node _T_222 = bits(_T_221, 0, 0) @[Bitwise.scala 72:15] + node _T_223 = mux(_T_222, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_224 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 277:102] + node _T_225 = bits(_T_224, 0, 0) @[Bitwise.scala 72:15] + node _T_226 = mux(_T_225, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_227 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 277:125] + node _T_228 = cat(_T_226, _T_227) @[Cat.scala 29:58] + node _T_229 = and(_T_223, _T_228) @[lsu_lsc_ctl.scala 277:71] + node _T_230 = or(_T_219, _T_229) @[lsu_lsc_ctl.scala 276:114] + node _T_231 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 278:17] + node _T_232 = and(_T_231, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 278:43] + node _T_233 = bits(_T_232, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_235 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 278:101] + node _T_236 = bits(_T_235, 0, 0) @[Bitwise.scala 72:15] + node _T_237 = mux(_T_236, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_238 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 278:125] + node _T_239 = cat(_T_237, _T_238) @[Cat.scala 29:58] + node _T_240 = and(_T_234, _T_239) @[lsu_lsc_ctl.scala 278:71] + node _T_241 = or(_T_230, _T_240) @[lsu_lsc_ctl.scala 277:134] + node _T_242 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_243 = mux(_T_242, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_244 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 279:60] + node _T_245 = and(_T_243, _T_244) @[lsu_lsc_ctl.scala 279:43] + node _T_246 = or(_T_241, _T_245) @[lsu_lsc_ctl.scala 278:134] + io.lsu_result_m <= _T_246 @[lsu_lsc_ctl.scala 275:27] + node _T_247 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 280:66] + node _T_248 = bits(_T_247, 0, 0) @[Bitwise.scala 72:15] + node _T_249 = mux(_T_248, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_250 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 280:130] + node _T_251 = cat(UInt<24>("h00"), _T_250) @[Cat.scala 29:58] + node _T_252 = and(_T_249, _T_251) @[lsu_lsc_ctl.scala 280:94] + node _T_253 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 281:43] + node _T_254 = bits(_T_253, 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_256 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 281:107] + node _T_257 = cat(UInt<16>("h00"), _T_256) @[Cat.scala 29:58] + node _T_258 = and(_T_255, _T_257) @[lsu_lsc_ctl.scala 281:71] + node _T_259 = or(_T_252, _T_258) @[lsu_lsc_ctl.scala 280:138] + node _T_260 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 282:17] + node _T_261 = and(_T_260, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 282:43] + node _T_262 = bits(_T_261, 0, 0) @[Bitwise.scala 72:15] + node _T_263 = mux(_T_262, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_264 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 282:107] + node _T_265 = bits(_T_264, 0, 0) @[Bitwise.scala 72:15] + node _T_266 = mux(_T_265, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_267 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 282:135] + node _T_268 = cat(_T_266, _T_267) @[Cat.scala 29:58] + node _T_269 = and(_T_263, _T_268) @[lsu_lsc_ctl.scala 282:71] + node _T_270 = or(_T_259, _T_269) @[lsu_lsc_ctl.scala 281:119] + node _T_271 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 283:17] + node _T_272 = and(_T_271, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 283:43] + node _T_273 = bits(_T_272, 0, 0) @[Bitwise.scala 72:15] + node _T_274 = mux(_T_273, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_275 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 283:106] + node _T_276 = bits(_T_275, 0, 0) @[Bitwise.scala 72:15] + node _T_277 = mux(_T_276, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_278 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 283:135] + node _T_279 = cat(_T_277, _T_278) @[Cat.scala 29:58] + node _T_280 = and(_T_274, _T_279) @[lsu_lsc_ctl.scala 283:71] + node _T_281 = or(_T_270, _T_280) @[lsu_lsc_ctl.scala 282:144] + node _T_282 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_283 = mux(_T_282, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_284 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 284:65] + node _T_285 = and(_T_283, _T_284) @[lsu_lsc_ctl.scala 284:43] + node _T_286 = or(_T_281, _T_285) @[lsu_lsc_ctl.scala 283:144] + io.lsu_result_corr_r <= _T_286 @[lsu_lsc_ctl.scala 280:27] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_dccm_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>} + + node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58] + node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58] + node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58] + node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58] + node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58] + wire lsu_rdata_r : UInt<64> + lsu_rdata_r <= UInt<1>("h00") + wire lsu_rdata_m : UInt<64> + lsu_rdata_m <= UInt<1>("h00") + wire lsu_rdata_corr_r : UInt<64> + lsu_rdata_corr_r <= UInt<1>("h00") + wire lsu_rdata_corr_m : UInt<64> + lsu_rdata_corr_m <= UInt<1>("h00") + wire stbuf_fwddata_r : UInt<64> + stbuf_fwddata_r <= UInt<1>("h00") + wire stbuf_fwdbyteen_r : UInt<64> + stbuf_fwdbyteen_r <= UInt<1>("h00") + wire picm_rd_data_r_32 : UInt<32> + picm_rd_data_r_32 <= UInt<1>("h00") + wire picm_rd_data_r : UInt<64> + picm_rd_data_r <= UInt<1>("h00") + wire lsu_ld_data_corr_m : UInt<64> + lsu_ld_data_corr_m <= UInt<1>("h00") + wire stbuf_fwddata_en : UInt<1> + stbuf_fwddata_en <= UInt<1>("h00") + wire lsu_double_ecc_error_r_ff : UInt<1> + lsu_double_ecc_error_r_ff <= UInt<1>("h00") + wire ld_single_ecc_error_hi_r_ff : UInt<1> + ld_single_ecc_error_hi_r_ff <= UInt<1>("h00") + wire ld_single_ecc_error_lo_r_ff : UInt<1> + ld_single_ecc_error_lo_r_ff <= UInt<1>("h00") + wire ld_sec_addr_hi_r_ff : UInt<16> + ld_sec_addr_hi_r_ff <= UInt<1>("h00") + wire ld_sec_addr_lo_r_ff : UInt<16> + ld_sec_addr_lo_r_ff <= UInt<1>("h00") + node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 145:63] + node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 145:88] + io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 145:41] + io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 146:41] + node _T_2 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] + node _T_3 = cat(lsu_rdata_corr_m, lsu_rdata_corr_m) @[Cat.scala 29:58] + node _T_4 = mux(_T_2, lsu_rdata_corr_m, _T_3) @[lsu_dccm_ctl.scala 147:47] + io.dma_dccm_ctl.dccm_dma_rdata <= _T_4 @[lsu_dccm_ctl.scala 147:41] + io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 148:41] + io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 149:28] + io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 150:28] + io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 151:28] + io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 152:28] + io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 153:28] + node _T_5 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_6 = bits(_T_5, 0, 0) @[lsu_dccm_ctl.scala 155:134] + node _T_7 = bits(_T_6, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_8 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_9 = bits(_T_8, 7, 0) @[lsu_dccm_ctl.scala 155:196] + node _T_10 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_11 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 155:253] + node _T_12 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_13 = mux(_T_12, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_14 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 155:313] + node _T_15 = and(_T_13, _T_14) @[lsu_dccm_ctl.scala 155:294] + node _T_16 = mux(_T_10, _T_11, _T_15) @[lsu_dccm_ctl.scala 155:214] + node _T_17 = mux(_T_7, _T_9, _T_16) @[lsu_dccm_ctl.scala 155:78] + node _T_18 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_19 = xor(UInt<8>("h0ff"), _T_18) @[Bitwise.scala 102:21] + node _T_20 = shr(_T_17, 4) @[Bitwise.scala 103:21] + node _T_21 = and(_T_20, _T_19) @[Bitwise.scala 103:31] + node _T_22 = bits(_T_17, 3, 0) @[Bitwise.scala 103:46] + node _T_23 = shl(_T_22, 4) @[Bitwise.scala 103:65] + node _T_24 = not(_T_19) @[Bitwise.scala 103:77] + node _T_25 = and(_T_23, _T_24) @[Bitwise.scala 103:75] + node _T_26 = or(_T_21, _T_25) @[Bitwise.scala 103:39] + node _T_27 = bits(_T_19, 5, 0) @[Bitwise.scala 102:28] + node _T_28 = shl(_T_27, 2) @[Bitwise.scala 102:47] + node _T_29 = xor(_T_19, _T_28) @[Bitwise.scala 102:21] + node _T_30 = shr(_T_26, 2) @[Bitwise.scala 103:21] + node _T_31 = and(_T_30, _T_29) @[Bitwise.scala 103:31] + node _T_32 = bits(_T_26, 5, 0) @[Bitwise.scala 103:46] + node _T_33 = shl(_T_32, 2) @[Bitwise.scala 103:65] + node _T_34 = not(_T_29) @[Bitwise.scala 103:77] + node _T_35 = and(_T_33, _T_34) @[Bitwise.scala 103:75] + node _T_36 = or(_T_31, _T_35) @[Bitwise.scala 103:39] + node _T_37 = bits(_T_29, 6, 0) @[Bitwise.scala 102:28] + node _T_38 = shl(_T_37, 1) @[Bitwise.scala 102:47] + node _T_39 = xor(_T_29, _T_38) @[Bitwise.scala 102:21] + node _T_40 = shr(_T_36, 1) @[Bitwise.scala 103:21] + node _T_41 = and(_T_40, _T_39) @[Bitwise.scala 103:31] + node _T_42 = bits(_T_36, 6, 0) @[Bitwise.scala 103:46] + node _T_43 = shl(_T_42, 1) @[Bitwise.scala 103:65] + node _T_44 = not(_T_39) @[Bitwise.scala 103:77] + node _T_45 = and(_T_43, _T_44) @[Bitwise.scala 103:75] + node _T_46 = or(_T_41, _T_45) @[Bitwise.scala 103:39] + node _T_47 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_48 = bits(_T_47, 1, 1) @[lsu_dccm_ctl.scala 155:134] + node _T_49 = bits(_T_48, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_50 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_51 = bits(_T_50, 15, 8) @[lsu_dccm_ctl.scala 155:196] + node _T_52 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_53 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 155:253] + node _T_54 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_55 = mux(_T_54, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 155:313] + node _T_57 = and(_T_55, _T_56) @[lsu_dccm_ctl.scala 155:294] + node _T_58 = mux(_T_52, _T_53, _T_57) @[lsu_dccm_ctl.scala 155:214] + node _T_59 = mux(_T_49, _T_51, _T_58) @[lsu_dccm_ctl.scala 155:78] + node _T_60 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_61 = xor(UInt<8>("h0ff"), _T_60) @[Bitwise.scala 102:21] + node _T_62 = shr(_T_59, 4) @[Bitwise.scala 103:21] + node _T_63 = and(_T_62, _T_61) @[Bitwise.scala 103:31] + node _T_64 = bits(_T_59, 3, 0) @[Bitwise.scala 103:46] + node _T_65 = shl(_T_64, 4) @[Bitwise.scala 103:65] + node _T_66 = not(_T_61) @[Bitwise.scala 103:77] + node _T_67 = and(_T_65, _T_66) @[Bitwise.scala 103:75] + node _T_68 = or(_T_63, _T_67) @[Bitwise.scala 103:39] + node _T_69 = bits(_T_61, 5, 0) @[Bitwise.scala 102:28] + node _T_70 = shl(_T_69, 2) @[Bitwise.scala 102:47] + node _T_71 = xor(_T_61, _T_70) @[Bitwise.scala 102:21] + node _T_72 = shr(_T_68, 2) @[Bitwise.scala 103:21] + node _T_73 = and(_T_72, _T_71) @[Bitwise.scala 103:31] + node _T_74 = bits(_T_68, 5, 0) @[Bitwise.scala 103:46] + node _T_75 = shl(_T_74, 2) @[Bitwise.scala 103:65] + node _T_76 = not(_T_71) @[Bitwise.scala 103:77] + node _T_77 = and(_T_75, _T_76) @[Bitwise.scala 103:75] + node _T_78 = or(_T_73, _T_77) @[Bitwise.scala 103:39] + node _T_79 = bits(_T_71, 6, 0) @[Bitwise.scala 102:28] + node _T_80 = shl(_T_79, 1) @[Bitwise.scala 102:47] + node _T_81 = xor(_T_71, _T_80) @[Bitwise.scala 102:21] + node _T_82 = shr(_T_78, 1) @[Bitwise.scala 103:21] + node _T_83 = and(_T_82, _T_81) @[Bitwise.scala 103:31] + node _T_84 = bits(_T_78, 6, 0) @[Bitwise.scala 103:46] + node _T_85 = shl(_T_84, 1) @[Bitwise.scala 103:65] + node _T_86 = not(_T_81) @[Bitwise.scala 103:77] + node _T_87 = and(_T_85, _T_86) @[Bitwise.scala 103:75] + node _T_88 = or(_T_83, _T_87) @[Bitwise.scala 103:39] + node _T_89 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_90 = bits(_T_89, 2, 2) @[lsu_dccm_ctl.scala 155:134] + node _T_91 = bits(_T_90, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_92 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_93 = bits(_T_92, 23, 16) @[lsu_dccm_ctl.scala 155:196] + node _T_94 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_95 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 155:253] + node _T_96 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_97 = mux(_T_96, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_98 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 155:313] + node _T_99 = and(_T_97, _T_98) @[lsu_dccm_ctl.scala 155:294] + node _T_100 = mux(_T_94, _T_95, _T_99) @[lsu_dccm_ctl.scala 155:214] + node _T_101 = mux(_T_91, _T_93, _T_100) @[lsu_dccm_ctl.scala 155:78] + node _T_102 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_103 = xor(UInt<8>("h0ff"), _T_102) @[Bitwise.scala 102:21] + node _T_104 = shr(_T_101, 4) @[Bitwise.scala 103:21] + node _T_105 = and(_T_104, _T_103) @[Bitwise.scala 103:31] + node _T_106 = bits(_T_101, 3, 0) @[Bitwise.scala 103:46] + node _T_107 = shl(_T_106, 4) @[Bitwise.scala 103:65] + node _T_108 = not(_T_103) @[Bitwise.scala 103:77] + node _T_109 = and(_T_107, _T_108) @[Bitwise.scala 103:75] + node _T_110 = or(_T_105, _T_109) @[Bitwise.scala 103:39] + node _T_111 = bits(_T_103, 5, 0) @[Bitwise.scala 102:28] + node _T_112 = shl(_T_111, 2) @[Bitwise.scala 102:47] + node _T_113 = xor(_T_103, _T_112) @[Bitwise.scala 102:21] + node _T_114 = shr(_T_110, 2) @[Bitwise.scala 103:21] + node _T_115 = and(_T_114, _T_113) @[Bitwise.scala 103:31] + node _T_116 = bits(_T_110, 5, 0) @[Bitwise.scala 103:46] + node _T_117 = shl(_T_116, 2) @[Bitwise.scala 103:65] + node _T_118 = not(_T_113) @[Bitwise.scala 103:77] + node _T_119 = and(_T_117, _T_118) @[Bitwise.scala 103:75] + node _T_120 = or(_T_115, _T_119) @[Bitwise.scala 103:39] + node _T_121 = bits(_T_113, 6, 0) @[Bitwise.scala 102:28] + node _T_122 = shl(_T_121, 1) @[Bitwise.scala 102:47] + node _T_123 = xor(_T_113, _T_122) @[Bitwise.scala 102:21] + node _T_124 = shr(_T_120, 1) @[Bitwise.scala 103:21] + node _T_125 = and(_T_124, _T_123) @[Bitwise.scala 103:31] + node _T_126 = bits(_T_120, 6, 0) @[Bitwise.scala 103:46] + node _T_127 = shl(_T_126, 1) @[Bitwise.scala 103:65] + node _T_128 = not(_T_123) @[Bitwise.scala 103:77] + node _T_129 = and(_T_127, _T_128) @[Bitwise.scala 103:75] + node _T_130 = or(_T_125, _T_129) @[Bitwise.scala 103:39] + node _T_131 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_132 = bits(_T_131, 3, 3) @[lsu_dccm_ctl.scala 155:134] + node _T_133 = bits(_T_132, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_134 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_135 = bits(_T_134, 31, 24) @[lsu_dccm_ctl.scala 155:196] + node _T_136 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_137 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 155:253] + node _T_138 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_139 = mux(_T_138, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_140 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 155:313] + node _T_141 = and(_T_139, _T_140) @[lsu_dccm_ctl.scala 155:294] + node _T_142 = mux(_T_136, _T_137, _T_141) @[lsu_dccm_ctl.scala 155:214] + node _T_143 = mux(_T_133, _T_135, _T_142) @[lsu_dccm_ctl.scala 155:78] + node _T_144 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_145 = xor(UInt<8>("h0ff"), _T_144) @[Bitwise.scala 102:21] + node _T_146 = shr(_T_143, 4) @[Bitwise.scala 103:21] + node _T_147 = and(_T_146, _T_145) @[Bitwise.scala 103:31] + node _T_148 = bits(_T_143, 3, 0) @[Bitwise.scala 103:46] + node _T_149 = shl(_T_148, 4) @[Bitwise.scala 103:65] + node _T_150 = not(_T_145) @[Bitwise.scala 103:77] + node _T_151 = and(_T_149, _T_150) @[Bitwise.scala 103:75] + node _T_152 = or(_T_147, _T_151) @[Bitwise.scala 103:39] + node _T_153 = bits(_T_145, 5, 0) @[Bitwise.scala 102:28] + node _T_154 = shl(_T_153, 2) @[Bitwise.scala 102:47] + node _T_155 = xor(_T_145, _T_154) @[Bitwise.scala 102:21] + node _T_156 = shr(_T_152, 2) @[Bitwise.scala 103:21] + node _T_157 = and(_T_156, _T_155) @[Bitwise.scala 103:31] + node _T_158 = bits(_T_152, 5, 0) @[Bitwise.scala 103:46] + node _T_159 = shl(_T_158, 2) @[Bitwise.scala 103:65] + node _T_160 = not(_T_155) @[Bitwise.scala 103:77] + node _T_161 = and(_T_159, _T_160) @[Bitwise.scala 103:75] + node _T_162 = or(_T_157, _T_161) @[Bitwise.scala 103:39] + node _T_163 = bits(_T_155, 6, 0) @[Bitwise.scala 102:28] + node _T_164 = shl(_T_163, 1) @[Bitwise.scala 102:47] + node _T_165 = xor(_T_155, _T_164) @[Bitwise.scala 102:21] + node _T_166 = shr(_T_162, 1) @[Bitwise.scala 103:21] + node _T_167 = and(_T_166, _T_165) @[Bitwise.scala 103:31] + node _T_168 = bits(_T_162, 6, 0) @[Bitwise.scala 103:46] + node _T_169 = shl(_T_168, 1) @[Bitwise.scala 103:65] + node _T_170 = not(_T_165) @[Bitwise.scala 103:77] + node _T_171 = and(_T_169, _T_170) @[Bitwise.scala 103:75] + node _T_172 = or(_T_167, _T_171) @[Bitwise.scala 103:39] + node _T_173 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_174 = bits(_T_173, 4, 4) @[lsu_dccm_ctl.scala 155:134] + node _T_175 = bits(_T_174, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_176 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_177 = bits(_T_176, 39, 32) @[lsu_dccm_ctl.scala 155:196] + node _T_178 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_179 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 155:253] + node _T_180 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_181 = mux(_T_180, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_182 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 155:313] + node _T_183 = and(_T_181, _T_182) @[lsu_dccm_ctl.scala 155:294] + node _T_184 = mux(_T_178, _T_179, _T_183) @[lsu_dccm_ctl.scala 155:214] + node _T_185 = mux(_T_175, _T_177, _T_184) @[lsu_dccm_ctl.scala 155:78] + node _T_186 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_187 = xor(UInt<8>("h0ff"), _T_186) @[Bitwise.scala 102:21] + node _T_188 = shr(_T_185, 4) @[Bitwise.scala 103:21] + node _T_189 = and(_T_188, _T_187) @[Bitwise.scala 103:31] + node _T_190 = bits(_T_185, 3, 0) @[Bitwise.scala 103:46] + node _T_191 = shl(_T_190, 4) @[Bitwise.scala 103:65] + node _T_192 = not(_T_187) @[Bitwise.scala 103:77] + node _T_193 = and(_T_191, _T_192) @[Bitwise.scala 103:75] + node _T_194 = or(_T_189, _T_193) @[Bitwise.scala 103:39] + node _T_195 = bits(_T_187, 5, 0) @[Bitwise.scala 102:28] + node _T_196 = shl(_T_195, 2) @[Bitwise.scala 102:47] + node _T_197 = xor(_T_187, _T_196) @[Bitwise.scala 102:21] + node _T_198 = shr(_T_194, 2) @[Bitwise.scala 103:21] + node _T_199 = and(_T_198, _T_197) @[Bitwise.scala 103:31] + node _T_200 = bits(_T_194, 5, 0) @[Bitwise.scala 103:46] + node _T_201 = shl(_T_200, 2) @[Bitwise.scala 103:65] + node _T_202 = not(_T_197) @[Bitwise.scala 103:77] + node _T_203 = and(_T_201, _T_202) @[Bitwise.scala 103:75] + node _T_204 = or(_T_199, _T_203) @[Bitwise.scala 103:39] + node _T_205 = bits(_T_197, 6, 0) @[Bitwise.scala 102:28] + node _T_206 = shl(_T_205, 1) @[Bitwise.scala 102:47] + node _T_207 = xor(_T_197, _T_206) @[Bitwise.scala 102:21] + node _T_208 = shr(_T_204, 1) @[Bitwise.scala 103:21] + node _T_209 = and(_T_208, _T_207) @[Bitwise.scala 103:31] + node _T_210 = bits(_T_204, 6, 0) @[Bitwise.scala 103:46] + node _T_211 = shl(_T_210, 1) @[Bitwise.scala 103:65] + node _T_212 = not(_T_207) @[Bitwise.scala 103:77] + node _T_213 = and(_T_211, _T_212) @[Bitwise.scala 103:75] + node _T_214 = or(_T_209, _T_213) @[Bitwise.scala 103:39] + node _T_215 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_216 = bits(_T_215, 5, 5) @[lsu_dccm_ctl.scala 155:134] + node _T_217 = bits(_T_216, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_218 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_219 = bits(_T_218, 47, 40) @[lsu_dccm_ctl.scala 155:196] + node _T_220 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_221 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 155:253] + node _T_222 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_223 = mux(_T_222, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_224 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 155:313] + node _T_225 = and(_T_223, _T_224) @[lsu_dccm_ctl.scala 155:294] + node _T_226 = mux(_T_220, _T_221, _T_225) @[lsu_dccm_ctl.scala 155:214] + node _T_227 = mux(_T_217, _T_219, _T_226) @[lsu_dccm_ctl.scala 155:78] + node _T_228 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_229 = xor(UInt<8>("h0ff"), _T_228) @[Bitwise.scala 102:21] + node _T_230 = shr(_T_227, 4) @[Bitwise.scala 103:21] + node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31] + node _T_232 = bits(_T_227, 3, 0) @[Bitwise.scala 103:46] + node _T_233 = shl(_T_232, 4) @[Bitwise.scala 103:65] + node _T_234 = not(_T_229) @[Bitwise.scala 103:77] + node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75] + node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39] + node _T_237 = bits(_T_229, 5, 0) @[Bitwise.scala 102:28] + node _T_238 = shl(_T_237, 2) @[Bitwise.scala 102:47] + node _T_239 = xor(_T_229, _T_238) @[Bitwise.scala 102:21] + node _T_240 = shr(_T_236, 2) @[Bitwise.scala 103:21] + node _T_241 = and(_T_240, _T_239) @[Bitwise.scala 103:31] + node _T_242 = bits(_T_236, 5, 0) @[Bitwise.scala 103:46] + node _T_243 = shl(_T_242, 2) @[Bitwise.scala 103:65] + node _T_244 = not(_T_239) @[Bitwise.scala 103:77] + node _T_245 = and(_T_243, _T_244) @[Bitwise.scala 103:75] + node _T_246 = or(_T_241, _T_245) @[Bitwise.scala 103:39] + node _T_247 = bits(_T_239, 6, 0) @[Bitwise.scala 102:28] + node _T_248 = shl(_T_247, 1) @[Bitwise.scala 102:47] + node _T_249 = xor(_T_239, _T_248) @[Bitwise.scala 102:21] + node _T_250 = shr(_T_246, 1) @[Bitwise.scala 103:21] + node _T_251 = and(_T_250, _T_249) @[Bitwise.scala 103:31] + node _T_252 = bits(_T_246, 6, 0) @[Bitwise.scala 103:46] + node _T_253 = shl(_T_252, 1) @[Bitwise.scala 103:65] + node _T_254 = not(_T_249) @[Bitwise.scala 103:77] + node _T_255 = and(_T_253, _T_254) @[Bitwise.scala 103:75] + node _T_256 = or(_T_251, _T_255) @[Bitwise.scala 103:39] + node _T_257 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_258 = bits(_T_257, 6, 6) @[lsu_dccm_ctl.scala 155:134] + node _T_259 = bits(_T_258, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_260 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_261 = bits(_T_260, 55, 48) @[lsu_dccm_ctl.scala 155:196] + node _T_262 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_263 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 155:253] + node _T_264 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_265 = mux(_T_264, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_266 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 155:313] + node _T_267 = and(_T_265, _T_266) @[lsu_dccm_ctl.scala 155:294] + node _T_268 = mux(_T_262, _T_263, _T_267) @[lsu_dccm_ctl.scala 155:214] + node _T_269 = mux(_T_259, _T_261, _T_268) @[lsu_dccm_ctl.scala 155:78] + node _T_270 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_271 = xor(UInt<8>("h0ff"), _T_270) @[Bitwise.scala 102:21] + node _T_272 = shr(_T_269, 4) @[Bitwise.scala 103:21] + node _T_273 = and(_T_272, _T_271) @[Bitwise.scala 103:31] + node _T_274 = bits(_T_269, 3, 0) @[Bitwise.scala 103:46] + node _T_275 = shl(_T_274, 4) @[Bitwise.scala 103:65] + node _T_276 = not(_T_271) @[Bitwise.scala 103:77] + node _T_277 = and(_T_275, _T_276) @[Bitwise.scala 103:75] + node _T_278 = or(_T_273, _T_277) @[Bitwise.scala 103:39] + node _T_279 = bits(_T_271, 5, 0) @[Bitwise.scala 102:28] + node _T_280 = shl(_T_279, 2) @[Bitwise.scala 102:47] + node _T_281 = xor(_T_271, _T_280) @[Bitwise.scala 102:21] + node _T_282 = shr(_T_278, 2) @[Bitwise.scala 103:21] + node _T_283 = and(_T_282, _T_281) @[Bitwise.scala 103:31] + node _T_284 = bits(_T_278, 5, 0) @[Bitwise.scala 103:46] + node _T_285 = shl(_T_284, 2) @[Bitwise.scala 103:65] + node _T_286 = not(_T_281) @[Bitwise.scala 103:77] + node _T_287 = and(_T_285, _T_286) @[Bitwise.scala 103:75] + node _T_288 = or(_T_283, _T_287) @[Bitwise.scala 103:39] + node _T_289 = bits(_T_281, 6, 0) @[Bitwise.scala 102:28] + node _T_290 = shl(_T_289, 1) @[Bitwise.scala 102:47] + node _T_291 = xor(_T_281, _T_290) @[Bitwise.scala 102:21] + node _T_292 = shr(_T_288, 1) @[Bitwise.scala 103:21] + node _T_293 = and(_T_292, _T_291) @[Bitwise.scala 103:31] + node _T_294 = bits(_T_288, 6, 0) @[Bitwise.scala 103:46] + node _T_295 = shl(_T_294, 1) @[Bitwise.scala 103:65] + node _T_296 = not(_T_291) @[Bitwise.scala 103:77] + node _T_297 = and(_T_295, _T_296) @[Bitwise.scala 103:75] + node _T_298 = or(_T_293, _T_297) @[Bitwise.scala 103:39] + node _T_299 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_300 = bits(_T_299, 7, 7) @[lsu_dccm_ctl.scala 155:134] + node _T_301 = bits(_T_300, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_302 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_303 = bits(_T_302, 63, 56) @[lsu_dccm_ctl.scala 155:196] + node _T_304 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_305 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 155:253] + node _T_306 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_307 = mux(_T_306, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_308 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 155:313] + node _T_309 = and(_T_307, _T_308) @[lsu_dccm_ctl.scala 155:294] + node _T_310 = mux(_T_304, _T_305, _T_309) @[lsu_dccm_ctl.scala 155:214] + node _T_311 = mux(_T_301, _T_303, _T_310) @[lsu_dccm_ctl.scala 155:78] + node _T_312 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_313 = xor(UInt<8>("h0ff"), _T_312) @[Bitwise.scala 102:21] + node _T_314 = shr(_T_311, 4) @[Bitwise.scala 103:21] + node _T_315 = and(_T_314, _T_313) @[Bitwise.scala 103:31] + node _T_316 = bits(_T_311, 3, 0) @[Bitwise.scala 103:46] + node _T_317 = shl(_T_316, 4) @[Bitwise.scala 103:65] + node _T_318 = not(_T_313) @[Bitwise.scala 103:77] + node _T_319 = and(_T_317, _T_318) @[Bitwise.scala 103:75] + node _T_320 = or(_T_315, _T_319) @[Bitwise.scala 103:39] + node _T_321 = bits(_T_313, 5, 0) @[Bitwise.scala 102:28] + node _T_322 = shl(_T_321, 2) @[Bitwise.scala 102:47] + node _T_323 = xor(_T_313, _T_322) @[Bitwise.scala 102:21] + node _T_324 = shr(_T_320, 2) @[Bitwise.scala 103:21] + node _T_325 = and(_T_324, _T_323) @[Bitwise.scala 103:31] + node _T_326 = bits(_T_320, 5, 0) @[Bitwise.scala 103:46] + node _T_327 = shl(_T_326, 2) @[Bitwise.scala 103:65] + node _T_328 = not(_T_323) @[Bitwise.scala 103:77] + node _T_329 = and(_T_327, _T_328) @[Bitwise.scala 103:75] + node _T_330 = or(_T_325, _T_329) @[Bitwise.scala 103:39] + node _T_331 = bits(_T_323, 6, 0) @[Bitwise.scala 102:28] + node _T_332 = shl(_T_331, 1) @[Bitwise.scala 102:47] + node _T_333 = xor(_T_323, _T_332) @[Bitwise.scala 102:21] + node _T_334 = shr(_T_330, 1) @[Bitwise.scala 103:21] + node _T_335 = and(_T_334, _T_333) @[Bitwise.scala 103:31] + node _T_336 = bits(_T_330, 6, 0) @[Bitwise.scala 103:46] + node _T_337 = shl(_T_336, 1) @[Bitwise.scala 103:65] + node _T_338 = not(_T_333) @[Bitwise.scala 103:77] + node _T_339 = and(_T_337, _T_338) @[Bitwise.scala 103:75] + node _T_340 = or(_T_335, _T_339) @[Bitwise.scala 103:39] + wire _T_341 : UInt<8>[8] @[lsu_dccm_ctl.scala 155:62] + _T_341[0] <= _T_46 @[lsu_dccm_ctl.scala 155:62] + _T_341[1] <= _T_88 @[lsu_dccm_ctl.scala 155:62] + _T_341[2] <= _T_130 @[lsu_dccm_ctl.scala 155:62] + _T_341[3] <= _T_172 @[lsu_dccm_ctl.scala 155:62] + _T_341[4] <= _T_214 @[lsu_dccm_ctl.scala 155:62] + _T_341[5] <= _T_256 @[lsu_dccm_ctl.scala 155:62] + _T_341[6] <= _T_298 @[lsu_dccm_ctl.scala 155:62] + _T_341[7] <= _T_340 @[lsu_dccm_ctl.scala 155:62] + node _T_342 = cat(_T_341[6], _T_341[7]) @[Cat.scala 29:58] + node _T_343 = cat(_T_341[4], _T_341[5]) @[Cat.scala 29:58] + node _T_344 = cat(_T_343, _T_342) @[Cat.scala 29:58] + node _T_345 = cat(_T_341[2], _T_341[3]) @[Cat.scala 29:58] + node _T_346 = cat(_T_341[0], _T_341[1]) @[Cat.scala 29:58] + node _T_347 = cat(_T_346, _T_345) @[Cat.scala 29:58] + node _T_348 = cat(_T_347, _T_344) @[Cat.scala 29:58] + node _T_349 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_350 = xor(UInt<64>("h0ffffffffffffffff"), _T_349) @[Bitwise.scala 102:21] + node _T_351 = shr(_T_348, 32) @[Bitwise.scala 103:21] + node _T_352 = and(_T_351, _T_350) @[Bitwise.scala 103:31] + node _T_353 = bits(_T_348, 31, 0) @[Bitwise.scala 103:46] + node _T_354 = shl(_T_353, 32) @[Bitwise.scala 103:65] + node _T_355 = not(_T_350) @[Bitwise.scala 103:77] + node _T_356 = and(_T_354, _T_355) @[Bitwise.scala 103:75] + node _T_357 = or(_T_352, _T_356) @[Bitwise.scala 103:39] + node _T_358 = bits(_T_350, 47, 0) @[Bitwise.scala 102:28] + node _T_359 = shl(_T_358, 16) @[Bitwise.scala 102:47] + node _T_360 = xor(_T_350, _T_359) @[Bitwise.scala 102:21] + node _T_361 = shr(_T_357, 16) @[Bitwise.scala 103:21] + node _T_362 = and(_T_361, _T_360) @[Bitwise.scala 103:31] + node _T_363 = bits(_T_357, 47, 0) @[Bitwise.scala 103:46] + node _T_364 = shl(_T_363, 16) @[Bitwise.scala 103:65] + node _T_365 = not(_T_360) @[Bitwise.scala 103:77] + node _T_366 = and(_T_364, _T_365) @[Bitwise.scala 103:75] + node _T_367 = or(_T_362, _T_366) @[Bitwise.scala 103:39] + node _T_368 = bits(_T_360, 55, 0) @[Bitwise.scala 102:28] + node _T_369 = shl(_T_368, 8) @[Bitwise.scala 102:47] + node _T_370 = xor(_T_360, _T_369) @[Bitwise.scala 102:21] + node _T_371 = shr(_T_367, 8) @[Bitwise.scala 103:21] + node _T_372 = and(_T_371, _T_370) @[Bitwise.scala 103:31] + node _T_373 = bits(_T_367, 55, 0) @[Bitwise.scala 103:46] + node _T_374 = shl(_T_373, 8) @[Bitwise.scala 103:65] + node _T_375 = not(_T_370) @[Bitwise.scala 103:77] + node _T_376 = and(_T_374, _T_375) @[Bitwise.scala 103:75] + node _T_377 = or(_T_372, _T_376) @[Bitwise.scala 103:39] + node _T_378 = bits(_T_370, 59, 0) @[Bitwise.scala 102:28] + node _T_379 = shl(_T_378, 4) @[Bitwise.scala 102:47] + node _T_380 = xor(_T_370, _T_379) @[Bitwise.scala 102:21] + node _T_381 = shr(_T_377, 4) @[Bitwise.scala 103:21] + node _T_382 = and(_T_381, _T_380) @[Bitwise.scala 103:31] + node _T_383 = bits(_T_377, 59, 0) @[Bitwise.scala 103:46] + node _T_384 = shl(_T_383, 4) @[Bitwise.scala 103:65] + node _T_385 = not(_T_380) @[Bitwise.scala 103:77] + node _T_386 = and(_T_384, _T_385) @[Bitwise.scala 103:75] + node _T_387 = or(_T_382, _T_386) @[Bitwise.scala 103:39] + node _T_388 = bits(_T_380, 61, 0) @[Bitwise.scala 102:28] + node _T_389 = shl(_T_388, 2) @[Bitwise.scala 102:47] + node _T_390 = xor(_T_380, _T_389) @[Bitwise.scala 102:21] + node _T_391 = shr(_T_387, 2) @[Bitwise.scala 103:21] + node _T_392 = and(_T_391, _T_390) @[Bitwise.scala 103:31] + node _T_393 = bits(_T_387, 61, 0) @[Bitwise.scala 103:46] + node _T_394 = shl(_T_393, 2) @[Bitwise.scala 103:65] + node _T_395 = not(_T_390) @[Bitwise.scala 103:77] + node _T_396 = and(_T_394, _T_395) @[Bitwise.scala 103:75] + node _T_397 = or(_T_392, _T_396) @[Bitwise.scala 103:39] + node _T_398 = bits(_T_390, 62, 0) @[Bitwise.scala 102:28] + node _T_399 = shl(_T_398, 1) @[Bitwise.scala 102:47] + node _T_400 = xor(_T_390, _T_399) @[Bitwise.scala 102:21] + node _T_401 = shr(_T_397, 1) @[Bitwise.scala 103:21] + node _T_402 = and(_T_401, _T_400) @[Bitwise.scala 103:31] + node _T_403 = bits(_T_397, 62, 0) @[Bitwise.scala 103:46] + node _T_404 = shl(_T_403, 1) @[Bitwise.scala 103:65] + node _T_405 = not(_T_400) @[Bitwise.scala 103:77] + node _T_406 = and(_T_404, _T_405) @[Bitwise.scala 103:75] + node _T_407 = or(_T_402, _T_406) @[Bitwise.scala 103:39] + lsu_rdata_corr_m <= _T_407 @[lsu_dccm_ctl.scala 155:28] + node _T_408 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_409 = bits(_T_408, 0, 0) @[lsu_dccm_ctl.scala 156:134] + node _T_410 = bits(_T_409, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_411 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_412 = bits(_T_411, 7, 0) @[lsu_dccm_ctl.scala 156:196] + node _T_413 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_414 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 156:253] + node _T_415 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_416 = mux(_T_415, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_417 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 156:308] + node _T_418 = and(_T_416, _T_417) @[lsu_dccm_ctl.scala 156:294] + node _T_419 = mux(_T_413, _T_414, _T_418) @[lsu_dccm_ctl.scala 156:214] + node _T_420 = mux(_T_410, _T_412, _T_419) @[lsu_dccm_ctl.scala 156:78] + node _T_421 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_422 = xor(UInt<8>("h0ff"), _T_421) @[Bitwise.scala 102:21] + node _T_423 = shr(_T_420, 4) @[Bitwise.scala 103:21] + node _T_424 = and(_T_423, _T_422) @[Bitwise.scala 103:31] + node _T_425 = bits(_T_420, 3, 0) @[Bitwise.scala 103:46] + node _T_426 = shl(_T_425, 4) @[Bitwise.scala 103:65] + node _T_427 = not(_T_422) @[Bitwise.scala 103:77] + node _T_428 = and(_T_426, _T_427) @[Bitwise.scala 103:75] + node _T_429 = or(_T_424, _T_428) @[Bitwise.scala 103:39] + node _T_430 = bits(_T_422, 5, 0) @[Bitwise.scala 102:28] + node _T_431 = shl(_T_430, 2) @[Bitwise.scala 102:47] + node _T_432 = xor(_T_422, _T_431) @[Bitwise.scala 102:21] + node _T_433 = shr(_T_429, 2) @[Bitwise.scala 103:21] + node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31] + node _T_435 = bits(_T_429, 5, 0) @[Bitwise.scala 103:46] + node _T_436 = shl(_T_435, 2) @[Bitwise.scala 103:65] + node _T_437 = not(_T_432) @[Bitwise.scala 103:77] + node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75] + node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39] + node _T_440 = bits(_T_432, 6, 0) @[Bitwise.scala 102:28] + node _T_441 = shl(_T_440, 1) @[Bitwise.scala 102:47] + node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21] + node _T_443 = shr(_T_439, 1) @[Bitwise.scala 103:21] + node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31] + node _T_445 = bits(_T_439, 6, 0) @[Bitwise.scala 103:46] + node _T_446 = shl(_T_445, 1) @[Bitwise.scala 103:65] + node _T_447 = not(_T_442) @[Bitwise.scala 103:77] + node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75] + node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39] + node _T_450 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_451 = bits(_T_450, 1, 1) @[lsu_dccm_ctl.scala 156:134] + node _T_452 = bits(_T_451, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_453 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_454 = bits(_T_453, 15, 8) @[lsu_dccm_ctl.scala 156:196] + node _T_455 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_456 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 156:253] + node _T_457 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_458 = mux(_T_457, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_459 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 156:308] + node _T_460 = and(_T_458, _T_459) @[lsu_dccm_ctl.scala 156:294] + node _T_461 = mux(_T_455, _T_456, _T_460) @[lsu_dccm_ctl.scala 156:214] + node _T_462 = mux(_T_452, _T_454, _T_461) @[lsu_dccm_ctl.scala 156:78] + node _T_463 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_464 = xor(UInt<8>("h0ff"), _T_463) @[Bitwise.scala 102:21] + node _T_465 = shr(_T_462, 4) @[Bitwise.scala 103:21] + node _T_466 = and(_T_465, _T_464) @[Bitwise.scala 103:31] + node _T_467 = bits(_T_462, 3, 0) @[Bitwise.scala 103:46] + node _T_468 = shl(_T_467, 4) @[Bitwise.scala 103:65] + node _T_469 = not(_T_464) @[Bitwise.scala 103:77] + node _T_470 = and(_T_468, _T_469) @[Bitwise.scala 103:75] + node _T_471 = or(_T_466, _T_470) @[Bitwise.scala 103:39] + node _T_472 = bits(_T_464, 5, 0) @[Bitwise.scala 102:28] + node _T_473 = shl(_T_472, 2) @[Bitwise.scala 102:47] + node _T_474 = xor(_T_464, _T_473) @[Bitwise.scala 102:21] + node _T_475 = shr(_T_471, 2) @[Bitwise.scala 103:21] + node _T_476 = and(_T_475, _T_474) @[Bitwise.scala 103:31] + node _T_477 = bits(_T_471, 5, 0) @[Bitwise.scala 103:46] + node _T_478 = shl(_T_477, 2) @[Bitwise.scala 103:65] + node _T_479 = not(_T_474) @[Bitwise.scala 103:77] + node _T_480 = and(_T_478, _T_479) @[Bitwise.scala 103:75] + node _T_481 = or(_T_476, _T_480) @[Bitwise.scala 103:39] + node _T_482 = bits(_T_474, 6, 0) @[Bitwise.scala 102:28] + node _T_483 = shl(_T_482, 1) @[Bitwise.scala 102:47] + node _T_484 = xor(_T_474, _T_483) @[Bitwise.scala 102:21] + node _T_485 = shr(_T_481, 1) @[Bitwise.scala 103:21] + node _T_486 = and(_T_485, _T_484) @[Bitwise.scala 103:31] + node _T_487 = bits(_T_481, 6, 0) @[Bitwise.scala 103:46] + node _T_488 = shl(_T_487, 1) @[Bitwise.scala 103:65] + node _T_489 = not(_T_484) @[Bitwise.scala 103:77] + node _T_490 = and(_T_488, _T_489) @[Bitwise.scala 103:75] + node _T_491 = or(_T_486, _T_490) @[Bitwise.scala 103:39] + node _T_492 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_493 = bits(_T_492, 2, 2) @[lsu_dccm_ctl.scala 156:134] + node _T_494 = bits(_T_493, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_495 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_496 = bits(_T_495, 23, 16) @[lsu_dccm_ctl.scala 156:196] + node _T_497 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_498 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 156:253] + node _T_499 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_500 = mux(_T_499, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_501 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 156:308] + node _T_502 = and(_T_500, _T_501) @[lsu_dccm_ctl.scala 156:294] + node _T_503 = mux(_T_497, _T_498, _T_502) @[lsu_dccm_ctl.scala 156:214] + node _T_504 = mux(_T_494, _T_496, _T_503) @[lsu_dccm_ctl.scala 156:78] + node _T_505 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_506 = xor(UInt<8>("h0ff"), _T_505) @[Bitwise.scala 102:21] + node _T_507 = shr(_T_504, 4) @[Bitwise.scala 103:21] + node _T_508 = and(_T_507, _T_506) @[Bitwise.scala 103:31] + node _T_509 = bits(_T_504, 3, 0) @[Bitwise.scala 103:46] + node _T_510 = shl(_T_509, 4) @[Bitwise.scala 103:65] + node _T_511 = not(_T_506) @[Bitwise.scala 103:77] + node _T_512 = and(_T_510, _T_511) @[Bitwise.scala 103:75] + node _T_513 = or(_T_508, _T_512) @[Bitwise.scala 103:39] + node _T_514 = bits(_T_506, 5, 0) @[Bitwise.scala 102:28] + node _T_515 = shl(_T_514, 2) @[Bitwise.scala 102:47] + node _T_516 = xor(_T_506, _T_515) @[Bitwise.scala 102:21] + node _T_517 = shr(_T_513, 2) @[Bitwise.scala 103:21] + node _T_518 = and(_T_517, _T_516) @[Bitwise.scala 103:31] + node _T_519 = bits(_T_513, 5, 0) @[Bitwise.scala 103:46] + node _T_520 = shl(_T_519, 2) @[Bitwise.scala 103:65] + node _T_521 = not(_T_516) @[Bitwise.scala 103:77] + node _T_522 = and(_T_520, _T_521) @[Bitwise.scala 103:75] + node _T_523 = or(_T_518, _T_522) @[Bitwise.scala 103:39] + node _T_524 = bits(_T_516, 6, 0) @[Bitwise.scala 102:28] + node _T_525 = shl(_T_524, 1) @[Bitwise.scala 102:47] + node _T_526 = xor(_T_516, _T_525) @[Bitwise.scala 102:21] + node _T_527 = shr(_T_523, 1) @[Bitwise.scala 103:21] + node _T_528 = and(_T_527, _T_526) @[Bitwise.scala 103:31] + node _T_529 = bits(_T_523, 6, 0) @[Bitwise.scala 103:46] + node _T_530 = shl(_T_529, 1) @[Bitwise.scala 103:65] + node _T_531 = not(_T_526) @[Bitwise.scala 103:77] + node _T_532 = and(_T_530, _T_531) @[Bitwise.scala 103:75] + node _T_533 = or(_T_528, _T_532) @[Bitwise.scala 103:39] + node _T_534 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_535 = bits(_T_534, 3, 3) @[lsu_dccm_ctl.scala 156:134] + node _T_536 = bits(_T_535, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_537 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_538 = bits(_T_537, 31, 24) @[lsu_dccm_ctl.scala 156:196] + node _T_539 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_540 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 156:253] + node _T_541 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_542 = mux(_T_541, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_543 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 156:308] + node _T_544 = and(_T_542, _T_543) @[lsu_dccm_ctl.scala 156:294] + node _T_545 = mux(_T_539, _T_540, _T_544) @[lsu_dccm_ctl.scala 156:214] + node _T_546 = mux(_T_536, _T_538, _T_545) @[lsu_dccm_ctl.scala 156:78] + node _T_547 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_548 = xor(UInt<8>("h0ff"), _T_547) @[Bitwise.scala 102:21] + node _T_549 = shr(_T_546, 4) @[Bitwise.scala 103:21] + node _T_550 = and(_T_549, _T_548) @[Bitwise.scala 103:31] + node _T_551 = bits(_T_546, 3, 0) @[Bitwise.scala 103:46] + node _T_552 = shl(_T_551, 4) @[Bitwise.scala 103:65] + node _T_553 = not(_T_548) @[Bitwise.scala 103:77] + node _T_554 = and(_T_552, _T_553) @[Bitwise.scala 103:75] + node _T_555 = or(_T_550, _T_554) @[Bitwise.scala 103:39] + node _T_556 = bits(_T_548, 5, 0) @[Bitwise.scala 102:28] + node _T_557 = shl(_T_556, 2) @[Bitwise.scala 102:47] + node _T_558 = xor(_T_548, _T_557) @[Bitwise.scala 102:21] + node _T_559 = shr(_T_555, 2) @[Bitwise.scala 103:21] + node _T_560 = and(_T_559, _T_558) @[Bitwise.scala 103:31] + node _T_561 = bits(_T_555, 5, 0) @[Bitwise.scala 103:46] + node _T_562 = shl(_T_561, 2) @[Bitwise.scala 103:65] + node _T_563 = not(_T_558) @[Bitwise.scala 103:77] + node _T_564 = and(_T_562, _T_563) @[Bitwise.scala 103:75] + node _T_565 = or(_T_560, _T_564) @[Bitwise.scala 103:39] + node _T_566 = bits(_T_558, 6, 0) @[Bitwise.scala 102:28] + node _T_567 = shl(_T_566, 1) @[Bitwise.scala 102:47] + node _T_568 = xor(_T_558, _T_567) @[Bitwise.scala 102:21] + node _T_569 = shr(_T_565, 1) @[Bitwise.scala 103:21] + node _T_570 = and(_T_569, _T_568) @[Bitwise.scala 103:31] + node _T_571 = bits(_T_565, 6, 0) @[Bitwise.scala 103:46] + node _T_572 = shl(_T_571, 1) @[Bitwise.scala 103:65] + node _T_573 = not(_T_568) @[Bitwise.scala 103:77] + node _T_574 = and(_T_572, _T_573) @[Bitwise.scala 103:75] + node _T_575 = or(_T_570, _T_574) @[Bitwise.scala 103:39] + node _T_576 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_577 = bits(_T_576, 4, 4) @[lsu_dccm_ctl.scala 156:134] + node _T_578 = bits(_T_577, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_579 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_580 = bits(_T_579, 39, 32) @[lsu_dccm_ctl.scala 156:196] + node _T_581 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_582 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 156:253] + node _T_583 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_584 = mux(_T_583, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_585 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 156:308] + node _T_586 = and(_T_584, _T_585) @[lsu_dccm_ctl.scala 156:294] + node _T_587 = mux(_T_581, _T_582, _T_586) @[lsu_dccm_ctl.scala 156:214] + node _T_588 = mux(_T_578, _T_580, _T_587) @[lsu_dccm_ctl.scala 156:78] + node _T_589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_590 = xor(UInt<8>("h0ff"), _T_589) @[Bitwise.scala 102:21] + node _T_591 = shr(_T_588, 4) @[Bitwise.scala 103:21] + node _T_592 = and(_T_591, _T_590) @[Bitwise.scala 103:31] + node _T_593 = bits(_T_588, 3, 0) @[Bitwise.scala 103:46] + node _T_594 = shl(_T_593, 4) @[Bitwise.scala 103:65] + node _T_595 = not(_T_590) @[Bitwise.scala 103:77] + node _T_596 = and(_T_594, _T_595) @[Bitwise.scala 103:75] + node _T_597 = or(_T_592, _T_596) @[Bitwise.scala 103:39] + node _T_598 = bits(_T_590, 5, 0) @[Bitwise.scala 102:28] + node _T_599 = shl(_T_598, 2) @[Bitwise.scala 102:47] + node _T_600 = xor(_T_590, _T_599) @[Bitwise.scala 102:21] + node _T_601 = shr(_T_597, 2) @[Bitwise.scala 103:21] + node _T_602 = and(_T_601, _T_600) @[Bitwise.scala 103:31] + node _T_603 = bits(_T_597, 5, 0) @[Bitwise.scala 103:46] + node _T_604 = shl(_T_603, 2) @[Bitwise.scala 103:65] + node _T_605 = not(_T_600) @[Bitwise.scala 103:77] + node _T_606 = and(_T_604, _T_605) @[Bitwise.scala 103:75] + node _T_607 = or(_T_602, _T_606) @[Bitwise.scala 103:39] + node _T_608 = bits(_T_600, 6, 0) @[Bitwise.scala 102:28] + node _T_609 = shl(_T_608, 1) @[Bitwise.scala 102:47] + node _T_610 = xor(_T_600, _T_609) @[Bitwise.scala 102:21] + node _T_611 = shr(_T_607, 1) @[Bitwise.scala 103:21] + node _T_612 = and(_T_611, _T_610) @[Bitwise.scala 103:31] + node _T_613 = bits(_T_607, 6, 0) @[Bitwise.scala 103:46] + node _T_614 = shl(_T_613, 1) @[Bitwise.scala 103:65] + node _T_615 = not(_T_610) @[Bitwise.scala 103:77] + node _T_616 = and(_T_614, _T_615) @[Bitwise.scala 103:75] + node _T_617 = or(_T_612, _T_616) @[Bitwise.scala 103:39] + node _T_618 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_619 = bits(_T_618, 5, 5) @[lsu_dccm_ctl.scala 156:134] + node _T_620 = bits(_T_619, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_621 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_622 = bits(_T_621, 47, 40) @[lsu_dccm_ctl.scala 156:196] + node _T_623 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_624 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 156:253] + node _T_625 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_626 = mux(_T_625, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_627 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 156:308] + node _T_628 = and(_T_626, _T_627) @[lsu_dccm_ctl.scala 156:294] + node _T_629 = mux(_T_623, _T_624, _T_628) @[lsu_dccm_ctl.scala 156:214] + node _T_630 = mux(_T_620, _T_622, _T_629) @[lsu_dccm_ctl.scala 156:78] + node _T_631 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_632 = xor(UInt<8>("h0ff"), _T_631) @[Bitwise.scala 102:21] + node _T_633 = shr(_T_630, 4) @[Bitwise.scala 103:21] + node _T_634 = and(_T_633, _T_632) @[Bitwise.scala 103:31] + node _T_635 = bits(_T_630, 3, 0) @[Bitwise.scala 103:46] + node _T_636 = shl(_T_635, 4) @[Bitwise.scala 103:65] + node _T_637 = not(_T_632) @[Bitwise.scala 103:77] + node _T_638 = and(_T_636, _T_637) @[Bitwise.scala 103:75] + node _T_639 = or(_T_634, _T_638) @[Bitwise.scala 103:39] + node _T_640 = bits(_T_632, 5, 0) @[Bitwise.scala 102:28] + node _T_641 = shl(_T_640, 2) @[Bitwise.scala 102:47] + node _T_642 = xor(_T_632, _T_641) @[Bitwise.scala 102:21] + node _T_643 = shr(_T_639, 2) @[Bitwise.scala 103:21] + node _T_644 = and(_T_643, _T_642) @[Bitwise.scala 103:31] + node _T_645 = bits(_T_639, 5, 0) @[Bitwise.scala 103:46] + node _T_646 = shl(_T_645, 2) @[Bitwise.scala 103:65] + node _T_647 = not(_T_642) @[Bitwise.scala 103:77] + node _T_648 = and(_T_646, _T_647) @[Bitwise.scala 103:75] + node _T_649 = or(_T_644, _T_648) @[Bitwise.scala 103:39] + node _T_650 = bits(_T_642, 6, 0) @[Bitwise.scala 102:28] + node _T_651 = shl(_T_650, 1) @[Bitwise.scala 102:47] + node _T_652 = xor(_T_642, _T_651) @[Bitwise.scala 102:21] + node _T_653 = shr(_T_649, 1) @[Bitwise.scala 103:21] + node _T_654 = and(_T_653, _T_652) @[Bitwise.scala 103:31] + node _T_655 = bits(_T_649, 6, 0) @[Bitwise.scala 103:46] + node _T_656 = shl(_T_655, 1) @[Bitwise.scala 103:65] + node _T_657 = not(_T_652) @[Bitwise.scala 103:77] + node _T_658 = and(_T_656, _T_657) @[Bitwise.scala 103:75] + node _T_659 = or(_T_654, _T_658) @[Bitwise.scala 103:39] + node _T_660 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_661 = bits(_T_660, 6, 6) @[lsu_dccm_ctl.scala 156:134] + node _T_662 = bits(_T_661, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_663 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_664 = bits(_T_663, 55, 48) @[lsu_dccm_ctl.scala 156:196] + node _T_665 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_666 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 156:253] + node _T_667 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_668 = mux(_T_667, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_669 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 156:308] + node _T_670 = and(_T_668, _T_669) @[lsu_dccm_ctl.scala 156:294] + node _T_671 = mux(_T_665, _T_666, _T_670) @[lsu_dccm_ctl.scala 156:214] + node _T_672 = mux(_T_662, _T_664, _T_671) @[lsu_dccm_ctl.scala 156:78] + node _T_673 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_674 = xor(UInt<8>("h0ff"), _T_673) @[Bitwise.scala 102:21] + node _T_675 = shr(_T_672, 4) @[Bitwise.scala 103:21] + node _T_676 = and(_T_675, _T_674) @[Bitwise.scala 103:31] + node _T_677 = bits(_T_672, 3, 0) @[Bitwise.scala 103:46] + node _T_678 = shl(_T_677, 4) @[Bitwise.scala 103:65] + node _T_679 = not(_T_674) @[Bitwise.scala 103:77] + node _T_680 = and(_T_678, _T_679) @[Bitwise.scala 103:75] + node _T_681 = or(_T_676, _T_680) @[Bitwise.scala 103:39] + node _T_682 = bits(_T_674, 5, 0) @[Bitwise.scala 102:28] + node _T_683 = shl(_T_682, 2) @[Bitwise.scala 102:47] + node _T_684 = xor(_T_674, _T_683) @[Bitwise.scala 102:21] + node _T_685 = shr(_T_681, 2) @[Bitwise.scala 103:21] + node _T_686 = and(_T_685, _T_684) @[Bitwise.scala 103:31] + node _T_687 = bits(_T_681, 5, 0) @[Bitwise.scala 103:46] + node _T_688 = shl(_T_687, 2) @[Bitwise.scala 103:65] + node _T_689 = not(_T_684) @[Bitwise.scala 103:77] + node _T_690 = and(_T_688, _T_689) @[Bitwise.scala 103:75] + node _T_691 = or(_T_686, _T_690) @[Bitwise.scala 103:39] + node _T_692 = bits(_T_684, 6, 0) @[Bitwise.scala 102:28] + node _T_693 = shl(_T_692, 1) @[Bitwise.scala 102:47] + node _T_694 = xor(_T_684, _T_693) @[Bitwise.scala 102:21] + node _T_695 = shr(_T_691, 1) @[Bitwise.scala 103:21] + node _T_696 = and(_T_695, _T_694) @[Bitwise.scala 103:31] + node _T_697 = bits(_T_691, 6, 0) @[Bitwise.scala 103:46] + node _T_698 = shl(_T_697, 1) @[Bitwise.scala 103:65] + node _T_699 = not(_T_694) @[Bitwise.scala 103:77] + node _T_700 = and(_T_698, _T_699) @[Bitwise.scala 103:75] + node _T_701 = or(_T_696, _T_700) @[Bitwise.scala 103:39] + node _T_702 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_703 = bits(_T_702, 7, 7) @[lsu_dccm_ctl.scala 156:134] + node _T_704 = bits(_T_703, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_705 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_706 = bits(_T_705, 63, 56) @[lsu_dccm_ctl.scala 156:196] + node _T_707 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_708 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 156:253] + node _T_709 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_710 = mux(_T_709, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_711 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 156:308] + node _T_712 = and(_T_710, _T_711) @[lsu_dccm_ctl.scala 156:294] + node _T_713 = mux(_T_707, _T_708, _T_712) @[lsu_dccm_ctl.scala 156:214] + node _T_714 = mux(_T_704, _T_706, _T_713) @[lsu_dccm_ctl.scala 156:78] + node _T_715 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_716 = xor(UInt<8>("h0ff"), _T_715) @[Bitwise.scala 102:21] + node _T_717 = shr(_T_714, 4) @[Bitwise.scala 103:21] + node _T_718 = and(_T_717, _T_716) @[Bitwise.scala 103:31] + node _T_719 = bits(_T_714, 3, 0) @[Bitwise.scala 103:46] + node _T_720 = shl(_T_719, 4) @[Bitwise.scala 103:65] + node _T_721 = not(_T_716) @[Bitwise.scala 103:77] + node _T_722 = and(_T_720, _T_721) @[Bitwise.scala 103:75] + node _T_723 = or(_T_718, _T_722) @[Bitwise.scala 103:39] + node _T_724 = bits(_T_716, 5, 0) @[Bitwise.scala 102:28] + node _T_725 = shl(_T_724, 2) @[Bitwise.scala 102:47] + node _T_726 = xor(_T_716, _T_725) @[Bitwise.scala 102:21] + node _T_727 = shr(_T_723, 2) @[Bitwise.scala 103:21] + node _T_728 = and(_T_727, _T_726) @[Bitwise.scala 103:31] + node _T_729 = bits(_T_723, 5, 0) @[Bitwise.scala 103:46] + node _T_730 = shl(_T_729, 2) @[Bitwise.scala 103:65] + node _T_731 = not(_T_726) @[Bitwise.scala 103:77] + node _T_732 = and(_T_730, _T_731) @[Bitwise.scala 103:75] + node _T_733 = or(_T_728, _T_732) @[Bitwise.scala 103:39] + node _T_734 = bits(_T_726, 6, 0) @[Bitwise.scala 102:28] + node _T_735 = shl(_T_734, 1) @[Bitwise.scala 102:47] + node _T_736 = xor(_T_726, _T_735) @[Bitwise.scala 102:21] + node _T_737 = shr(_T_733, 1) @[Bitwise.scala 103:21] + node _T_738 = and(_T_737, _T_736) @[Bitwise.scala 103:31] + node _T_739 = bits(_T_733, 6, 0) @[Bitwise.scala 103:46] + node _T_740 = shl(_T_739, 1) @[Bitwise.scala 103:65] + node _T_741 = not(_T_736) @[Bitwise.scala 103:77] + node _T_742 = and(_T_740, _T_741) @[Bitwise.scala 103:75] + node _T_743 = or(_T_738, _T_742) @[Bitwise.scala 103:39] + wire _T_744 : UInt<8>[8] @[lsu_dccm_ctl.scala 156:62] + _T_744[0] <= _T_449 @[lsu_dccm_ctl.scala 156:62] + _T_744[1] <= _T_491 @[lsu_dccm_ctl.scala 156:62] + _T_744[2] <= _T_533 @[lsu_dccm_ctl.scala 156:62] + _T_744[3] <= _T_575 @[lsu_dccm_ctl.scala 156:62] + _T_744[4] <= _T_617 @[lsu_dccm_ctl.scala 156:62] + _T_744[5] <= _T_659 @[lsu_dccm_ctl.scala 156:62] + _T_744[6] <= _T_701 @[lsu_dccm_ctl.scala 156:62] + _T_744[7] <= _T_743 @[lsu_dccm_ctl.scala 156:62] + node _T_745 = cat(_T_744[6], _T_744[7]) @[Cat.scala 29:58] + node _T_746 = cat(_T_744[4], _T_744[5]) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = cat(_T_744[2], _T_744[3]) @[Cat.scala 29:58] + node _T_749 = cat(_T_744[0], _T_744[1]) @[Cat.scala 29:58] + node _T_750 = cat(_T_749, _T_748) @[Cat.scala 29:58] + node _T_751 = cat(_T_750, _T_747) @[Cat.scala 29:58] + node _T_752 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_753 = xor(UInt<64>("h0ffffffffffffffff"), _T_752) @[Bitwise.scala 102:21] + node _T_754 = shr(_T_751, 32) @[Bitwise.scala 103:21] + node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31] + node _T_756 = bits(_T_751, 31, 0) @[Bitwise.scala 103:46] + node _T_757 = shl(_T_756, 32) @[Bitwise.scala 103:65] + node _T_758 = not(_T_753) @[Bitwise.scala 103:77] + node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75] + node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39] + node _T_761 = bits(_T_753, 47, 0) @[Bitwise.scala 102:28] + node _T_762 = shl(_T_761, 16) @[Bitwise.scala 102:47] + node _T_763 = xor(_T_753, _T_762) @[Bitwise.scala 102:21] + node _T_764 = shr(_T_760, 16) @[Bitwise.scala 103:21] + node _T_765 = and(_T_764, _T_763) @[Bitwise.scala 103:31] + node _T_766 = bits(_T_760, 47, 0) @[Bitwise.scala 103:46] + node _T_767 = shl(_T_766, 16) @[Bitwise.scala 103:65] + node _T_768 = not(_T_763) @[Bitwise.scala 103:77] + node _T_769 = and(_T_767, _T_768) @[Bitwise.scala 103:75] + node _T_770 = or(_T_765, _T_769) @[Bitwise.scala 103:39] + node _T_771 = bits(_T_763, 55, 0) @[Bitwise.scala 102:28] + node _T_772 = shl(_T_771, 8) @[Bitwise.scala 102:47] + node _T_773 = xor(_T_763, _T_772) @[Bitwise.scala 102:21] + node _T_774 = shr(_T_770, 8) @[Bitwise.scala 103:21] + node _T_775 = and(_T_774, _T_773) @[Bitwise.scala 103:31] + node _T_776 = bits(_T_770, 55, 0) @[Bitwise.scala 103:46] + node _T_777 = shl(_T_776, 8) @[Bitwise.scala 103:65] + node _T_778 = not(_T_773) @[Bitwise.scala 103:77] + node _T_779 = and(_T_777, _T_778) @[Bitwise.scala 103:75] + node _T_780 = or(_T_775, _T_779) @[Bitwise.scala 103:39] + node _T_781 = bits(_T_773, 59, 0) @[Bitwise.scala 102:28] + node _T_782 = shl(_T_781, 4) @[Bitwise.scala 102:47] + node _T_783 = xor(_T_773, _T_782) @[Bitwise.scala 102:21] + node _T_784 = shr(_T_780, 4) @[Bitwise.scala 103:21] + node _T_785 = and(_T_784, _T_783) @[Bitwise.scala 103:31] + node _T_786 = bits(_T_780, 59, 0) @[Bitwise.scala 103:46] + node _T_787 = shl(_T_786, 4) @[Bitwise.scala 103:65] + node _T_788 = not(_T_783) @[Bitwise.scala 103:77] + node _T_789 = and(_T_787, _T_788) @[Bitwise.scala 103:75] + node _T_790 = or(_T_785, _T_789) @[Bitwise.scala 103:39] + node _T_791 = bits(_T_783, 61, 0) @[Bitwise.scala 102:28] + node _T_792 = shl(_T_791, 2) @[Bitwise.scala 102:47] + node _T_793 = xor(_T_783, _T_792) @[Bitwise.scala 102:21] + node _T_794 = shr(_T_790, 2) @[Bitwise.scala 103:21] + node _T_795 = and(_T_794, _T_793) @[Bitwise.scala 103:31] + node _T_796 = bits(_T_790, 61, 0) @[Bitwise.scala 103:46] + node _T_797 = shl(_T_796, 2) @[Bitwise.scala 103:65] + node _T_798 = not(_T_793) @[Bitwise.scala 103:77] + node _T_799 = and(_T_797, _T_798) @[Bitwise.scala 103:75] + node _T_800 = or(_T_795, _T_799) @[Bitwise.scala 103:39] + node _T_801 = bits(_T_793, 62, 0) @[Bitwise.scala 102:28] + node _T_802 = shl(_T_801, 1) @[Bitwise.scala 102:47] + node _T_803 = xor(_T_793, _T_802) @[Bitwise.scala 102:21] + node _T_804 = shr(_T_800, 1) @[Bitwise.scala 103:21] + node _T_805 = and(_T_804, _T_803) @[Bitwise.scala 103:31] + node _T_806 = bits(_T_800, 62, 0) @[Bitwise.scala 103:46] + node _T_807 = shl(_T_806, 1) @[Bitwise.scala 103:65] + node _T_808 = not(_T_803) @[Bitwise.scala 103:77] + node _T_809 = and(_T_807, _T_808) @[Bitwise.scala 103:75] + node _T_810 = or(_T_805, _T_809) @[Bitwise.scala 103:39] + lsu_rdata_m <= _T_810 @[lsu_dccm_ctl.scala 156:28] + node _T_811 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 157:78] + node _T_812 = or(io.addr_in_pic_m, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 157:123] + node _T_813 = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 157:103] + node _T_814 = or(_T_813, io.clk_override) @[lsu_dccm_ctl.scala 157:145] + node _T_815 = bits(_T_814, 0, 0) @[lib.scala 8:44] + node _T_816 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_815 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= _T_816 @[lib.scala 372:24] + reg _T_817 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_817 <= lsu_ld_data_corr_m @[lib.scala 374:16] + io.lsu_ld_data_corr_r <= _T_817 @[lsu_dccm_ctl.scala 157:28] + node _T_818 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 158:63] + node _T_819 = mul(UInt<4>("h08"), _T_818) @[lsu_dccm_ctl.scala 158:49] + node _T_820 = dshr(lsu_rdata_m, _T_819) @[lsu_dccm_ctl.scala 158:43] + io.lsu_ld_data_m <= _T_820 @[lsu_dccm_ctl.scala 158:28] + node _T_821 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 159:68] + node _T_822 = mul(UInt<4>("h08"), _T_821) @[lsu_dccm_ctl.scala 159:54] + node _T_823 = dshr(lsu_rdata_corr_m, _T_822) @[lsu_dccm_ctl.scala 159:48] + lsu_ld_data_corr_m <= _T_823 @[lsu_dccm_ctl.scala 159:28] + node _T_824 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44] + node _T_825 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77] + node _T_826 = eq(_T_824, _T_825) @[lsu_dccm_ctl.scala 163:60] + node _T_827 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117] + node _T_828 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150] + node _T_829 = eq(_T_827, _T_828) @[lsu_dccm_ctl.scala 163:133] + node _T_830 = or(_T_826, _T_829) @[lsu_dccm_ctl.scala 163:101] + node _T_831 = and(_T_830, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175] + node _T_832 = and(_T_831, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196] + node _T_833 = and(_T_832, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222] + node _T_834 = and(_T_833, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246] + node _T_835 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21] + node _T_836 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54] + node _T_837 = eq(_T_835, _T_836) @[lsu_dccm_ctl.scala 164:37] + node _T_838 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94] + node _T_839 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127] + node _T_840 = eq(_T_838, _T_839) @[lsu_dccm_ctl.scala 164:110] + node _T_841 = or(_T_837, _T_840) @[lsu_dccm_ctl.scala 164:78] + node _T_842 = and(_T_841, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152] + node _T_843 = and(_T_842, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173] + node _T_844 = and(_T_843, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199] + node _T_845 = and(_T_844, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223] + node kill_ecc_corr_lo_r = or(_T_834, _T_845) @[lsu_dccm_ctl.scala 163:267] + node _T_846 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44] + node _T_847 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77] + node _T_848 = eq(_T_846, _T_847) @[lsu_dccm_ctl.scala 166:60] + node _T_849 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117] + node _T_850 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150] + node _T_851 = eq(_T_849, _T_850) @[lsu_dccm_ctl.scala 166:133] + node _T_852 = or(_T_848, _T_851) @[lsu_dccm_ctl.scala 166:101] + node _T_853 = and(_T_852, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175] + node _T_854 = and(_T_853, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196] + node _T_855 = and(_T_854, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222] + node _T_856 = and(_T_855, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246] + node _T_857 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21] + node _T_858 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54] + node _T_859 = eq(_T_857, _T_858) @[lsu_dccm_ctl.scala 167:37] + node _T_860 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94] + node _T_861 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127] + node _T_862 = eq(_T_860, _T_861) @[lsu_dccm_ctl.scala 167:110] + node _T_863 = or(_T_859, _T_862) @[lsu_dccm_ctl.scala 167:78] + node _T_864 = and(_T_863, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152] + node _T_865 = and(_T_864, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173] + node _T_866 = and(_T_865, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199] + node _T_867 = and(_T_866, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223] + node kill_ecc_corr_hi_r = or(_T_856, _T_867) @[lsu_dccm_ctl.scala 166:267] + node _T_868 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60] + node _T_869 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89] + node ld_single_ecc_error_lo_r = and(_T_868, _T_869) @[lsu_dccm_ctl.scala 169:87] + node _T_870 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60] + node _T_871 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89] + node ld_single_ecc_error_hi_r = and(_T_870, _T_871) @[lsu_dccm_ctl.scala 170:87] + node _T_872 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63] + node _T_873 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93] + node _T_874 = and(_T_872, _T_873) @[lsu_dccm_ctl.scala 171:91] + io.ld_single_ecc_error_r <= _T_874 @[lsu_dccm_ctl.scala 171:34] + node _T_875 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81] + node _T_876 = and(ld_single_ecc_error_lo_r, _T_875) @[lsu_dccm_ctl.scala 172:62] + node _T_877 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108] + node ld_single_ecc_error_lo_r_ns = and(_T_876, _T_877) @[lsu_dccm_ctl.scala 172:106] + node _T_878 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81] + node _T_879 = and(ld_single_ecc_error_hi_r, _T_878) @[lsu_dccm_ctl.scala 173:62] + node _T_880 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108] + node ld_single_ecc_error_hi_r_ns = and(_T_879, _T_880) @[lsu_dccm_ctl.scala 173:106] + node _T_881 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100] + node _T_883 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168] + node _T_884 = neq(_T_883, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174] + node _T_885 = or(_T_882, _T_884) @[lsu_dccm_ctl.scala 175:152] + node _T_886 = and(io.lsu_pkt_d.bits.store, _T_885) @[lsu_dccm_ctl.scala 175:97] + node _T_887 = or(io.lsu_pkt_d.bits.load, _T_886) @[lsu_dccm_ctl.scala 175:70] + node _T_888 = and(io.lsu_pkt_d.valid, _T_887) @[lsu_dccm_ctl.scala 175:44] + node lsu_dccm_rden_d = and(_T_888, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191] + node _T_889 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63] + node _T_890 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96] + node _T_891 = and(_T_889, _T_890) @[lsu_dccm_ctl.scala 178:94] + io.ld_single_ecc_error_r_ff <= _T_891 @[lsu_dccm_ctl.scala 178:31] + node _T_892 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75] + node _T_893 = or(_T_892, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93] + node _T_894 = eq(_T_893, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57] + node _T_895 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44] + node _T_896 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112] + node _T_897 = eq(_T_895, _T_896) @[lsu_dccm_ctl.scala 180:95] + node _T_898 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25] + node _T_899 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93] + node _T_900 = eq(_T_898, _T_899) @[lsu_dccm_ctl.scala 181:76] + node _T_901 = or(_T_897, _T_900) @[lsu_dccm_ctl.scala 180:171] + node _T_902 = eq(_T_901, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24] + node _T_903 = and(lsu_dccm_rden_d, _T_902) @[lsu_dccm_ctl.scala 180:22] + node _T_904 = or(_T_894, _T_903) @[lsu_dccm_ctl.scala 179:124] + node _T_905 = and(io.stbuf_reqvld_any, _T_904) @[lsu_dccm_ctl.scala 179:54] + io.lsu_stbuf_commit_any <= _T_905 @[lsu_dccm_ctl.scala 179:31] + node _T_906 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41] + node _T_907 = or(_T_906, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67] + io.dccm.wren <= _T_907 @[lsu_dccm_ctl.scala 185:22] + node _T_908 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41] + io.dccm.rden <= _T_908 @[lsu_dccm_ctl.scala 186:22] + node _T_909 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57] + node _T_910 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36] + node _T_911 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62] + node _T_912 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97] + node _T_913 = mux(_T_910, _T_911, _T_912) @[lsu_dccm_ctl.scala 189:8] + node _T_914 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25] + node _T_915 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45] + node _T_916 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78] + node _T_917 = mux(_T_914, _T_915, _T_916) @[lsu_dccm_ctl.scala 190:8] + node _T_918 = mux(_T_909, _T_913, _T_917) @[lsu_dccm_ctl.scala 188:28] + io.dccm.wr_addr_lo <= _T_918 @[lsu_dccm_ctl.scala 188:22] + node _T_919 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57] + node _T_920 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36] + node _T_921 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63] + node _T_922 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99] + node _T_923 = mux(_T_920, _T_921, _T_922) @[lsu_dccm_ctl.scala 193:8] + node _T_924 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25] + node _T_925 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46] + node _T_926 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79] + node _T_927 = mux(_T_924, _T_925, _T_926) @[lsu_dccm_ctl.scala 194:8] + node _T_928 = mux(_T_919, _T_923, _T_927) @[lsu_dccm_ctl.scala 192:28] + io.dccm.wr_addr_hi <= _T_928 @[lsu_dccm_ctl.scala 192:22] + node _T_929 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38] + io.dccm.rd_addr_lo <= _T_929 @[lsu_dccm_ctl.scala 196:22] + node _T_930 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38] + io.dccm.rd_addr_hi <= _T_930 @[lsu_dccm_ctl.scala 197:22] + node _T_931 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57] + node _T_932 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36] + node _T_933 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70] + node _T_934 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110] + node _T_935 = cat(_T_933, _T_934) @[Cat.scala 29:58] + node _T_936 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34] + node _T_937 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74] + node _T_938 = cat(_T_936, _T_937) @[Cat.scala 29:58] + node _T_939 = mux(_T_932, _T_935, _T_938) @[lsu_dccm_ctl.scala 200:8] + node _T_940 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25] + node _T_941 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60] + node _T_942 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101] + node _T_943 = cat(_T_941, _T_942) @[Cat.scala 29:58] + node _T_944 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27] + node _T_945 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65] + node _T_946 = cat(_T_944, _T_945) @[Cat.scala 29:58] + node _T_947 = mux(_T_940, _T_943, _T_946) @[lsu_dccm_ctl.scala 202:8] + node _T_948 = mux(_T_931, _T_939, _T_947) @[lsu_dccm_ctl.scala 199:28] + io.dccm.wr_data_lo <= _T_948 @[lsu_dccm_ctl.scala 199:22] + node _T_949 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57] + node _T_950 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36] + node _T_951 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71] + node _T_952 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111] + node _T_953 = cat(_T_951, _T_952) @[Cat.scala 29:58] + node _T_954 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34] + node _T_955 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74] + node _T_956 = cat(_T_954, _T_955) @[Cat.scala 29:58] + node _T_957 = mux(_T_950, _T_953, _T_956) @[lsu_dccm_ctl.scala 206:8] + node _T_958 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25] + node _T_959 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61] + node _T_960 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102] + node _T_961 = cat(_T_959, _T_960) @[Cat.scala 29:58] + node _T_962 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27] + node _T_963 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65] + node _T_964 = cat(_T_962, _T_963) @[Cat.scala 29:58] + node _T_965 = mux(_T_958, _T_961, _T_964) @[lsu_dccm_ctl.scala 208:8] + node _T_966 = mux(_T_949, _T_957, _T_965) @[lsu_dccm_ctl.scala 205:28] + io.dccm.wr_data_hi <= _T_966 @[lsu_dccm_ctl.scala 205:22] + node _T_967 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_968 = mux(_T_967, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_969 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_970 = mux(_T_969, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_971 = and(_T_970, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94] + node _T_972 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_973 = mux(_T_972, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_974 = and(_T_973, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38] + node _T_975 = or(_T_971, _T_974) @[lsu_dccm_ctl.scala 212:107] + node _T_976 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_977 = mux(_T_976, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_978 = and(_T_977, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38] + node _T_979 = or(_T_975, _T_978) @[lsu_dccm_ctl.scala 213:51] + node store_byteen_m = and(_T_968, _T_979) @[lsu_dccm_ctl.scala 212:58] + node _T_980 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_981 = mux(_T_980, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_982 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_983 = mux(_T_982, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_984 = and(_T_983, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94] + node _T_985 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_986 = mux(_T_985, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_987 = and(_T_986, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38] + node _T_988 = or(_T_984, _T_987) @[lsu_dccm_ctl.scala 216:107] + node _T_989 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_990 = mux(_T_989, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_991 = and(_T_990, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38] + node _T_992 = or(_T_988, _T_991) @[lsu_dccm_ctl.scala 217:51] + node store_byteen_r = and(_T_981, _T_992) @[lsu_dccm_ctl.scala 216:58] + wire store_byteen_ext_m : UInt<8> + store_byteen_ext_m <= UInt<1>("h00") + node _T_993 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39] + node _T_994 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61] + node _T_995 = dshl(_T_993, _T_994) @[lsu_dccm_ctl.scala 220:45] + store_byteen_ext_m <= _T_995 @[lsu_dccm_ctl.scala 220:22] + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + node _T_996 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39] + node _T_997 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61] + node _T_998 = dshl(_T_996, _T_997) @[lsu_dccm_ctl.scala 222:45] + store_byteen_ext_r <= _T_998 @[lsu_dccm_ctl.scala 222:22] + node _T_999 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51] + node _T_1000 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84] + node _T_1001 = eq(_T_999, _T_1000) @[lsu_dccm_ctl.scala 225:67] + node dccm_wr_bypass_d_m_lo = and(_T_1001, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101] + node _T_1002 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51] + node _T_1003 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84] + node _T_1004 = eq(_T_1002, _T_1003) @[lsu_dccm_ctl.scala 226:67] + node dccm_wr_bypass_d_m_hi = and(_T_1004, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101] + node _T_1005 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51] + node _T_1006 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84] + node _T_1007 = eq(_T_1005, _T_1006) @[lsu_dccm_ctl.scala 228:67] + node dccm_wr_bypass_d_r_lo = and(_T_1007, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101] + node _T_1008 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51] + node _T_1009 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84] + node _T_1010 = eq(_T_1008, _T_1009) @[lsu_dccm_ctl.scala 229:67] + node dccm_wr_bypass_d_r_hi = and(_T_1010, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101] + wire dccm_wr_bypass_d_m_hi_Q : UInt<1> + dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00") + wire dccm_wr_bypass_d_m_lo_Q : UInt<1> + dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00") + wire dccm_wren_Q : UInt<1> + dccm_wren_Q <= UInt<1>("h00") + wire dccm_wr_data_Q : UInt<32> + dccm_wr_data_Q <= UInt<32>("h00") + wire store_data_pre_r : UInt<64> + store_data_pre_r <= UInt<64>("h00") + wire store_data_pre_hi_r : UInt<32> + store_data_pre_hi_r <= UInt<32>("h00") + wire store_data_pre_lo_r : UInt<32> + store_data_pre_lo_r <= UInt<32>("h00") + wire store_data_pre_m : UInt<64> + store_data_pre_m <= UInt<64>("h00") + wire store_data_hi_m : UInt<32> + store_data_hi_m <= UInt<32>("h00") + wire store_data_lo_m : UInt<32> + store_data_lo_m <= UInt<32>("h00") + node _T_1011 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1012 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 258:64] + node _T_1013 = cat(_T_1011, _T_1012) @[Cat.scala 29:58] + node _T_1014 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 258:92] + node _T_1015 = mul(UInt<4>("h08"), _T_1014) @[lsu_dccm_ctl.scala 258:78] + node _T_1016 = dshl(_T_1013, _T_1015) @[lsu_dccm_ctl.scala 258:72] + store_data_pre_m <= _T_1016 @[lsu_dccm_ctl.scala 258:29] + node _T_1017 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 259:48] + store_data_hi_m <= _T_1017 @[lsu_dccm_ctl.scala 259:29] + node _T_1018 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 260:48] + store_data_lo_m <= _T_1018 @[lsu_dccm_ctl.scala 260:29] + node _T_1019 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 261:139] + node _T_1020 = bits(_T_1019, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1021 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:167] + node _T_1022 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1023 = bits(_T_1022, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1024 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:262] + node _T_1025 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:292] + node _T_1026 = mux(_T_1023, _T_1024, _T_1025) @[lsu_dccm_ctl.scala 261:185] + node _T_1027 = mux(_T_1020, _T_1021, _T_1026) @[lsu_dccm_ctl.scala 261:120] + node _T_1028 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1029 = xor(UInt<8>("h0ff"), _T_1028) @[Bitwise.scala 102:21] + node _T_1030 = shr(_T_1027, 4) @[Bitwise.scala 103:21] + node _T_1031 = and(_T_1030, _T_1029) @[Bitwise.scala 103:31] + node _T_1032 = bits(_T_1027, 3, 0) @[Bitwise.scala 103:46] + node _T_1033 = shl(_T_1032, 4) @[Bitwise.scala 103:65] + node _T_1034 = not(_T_1029) @[Bitwise.scala 103:77] + node _T_1035 = and(_T_1033, _T_1034) @[Bitwise.scala 103:75] + node _T_1036 = or(_T_1031, _T_1035) @[Bitwise.scala 103:39] + node _T_1037 = bits(_T_1029, 5, 0) @[Bitwise.scala 102:28] + node _T_1038 = shl(_T_1037, 2) @[Bitwise.scala 102:47] + node _T_1039 = xor(_T_1029, _T_1038) @[Bitwise.scala 102:21] + node _T_1040 = shr(_T_1036, 2) @[Bitwise.scala 103:21] + node _T_1041 = and(_T_1040, _T_1039) @[Bitwise.scala 103:31] + node _T_1042 = bits(_T_1036, 5, 0) @[Bitwise.scala 103:46] + node _T_1043 = shl(_T_1042, 2) @[Bitwise.scala 103:65] + node _T_1044 = not(_T_1039) @[Bitwise.scala 103:77] + node _T_1045 = and(_T_1043, _T_1044) @[Bitwise.scala 103:75] + node _T_1046 = or(_T_1041, _T_1045) @[Bitwise.scala 103:39] + node _T_1047 = bits(_T_1039, 6, 0) @[Bitwise.scala 102:28] + node _T_1048 = shl(_T_1047, 1) @[Bitwise.scala 102:47] + node _T_1049 = xor(_T_1039, _T_1048) @[Bitwise.scala 102:21] + node _T_1050 = shr(_T_1046, 1) @[Bitwise.scala 103:21] + node _T_1051 = and(_T_1050, _T_1049) @[Bitwise.scala 103:31] + node _T_1052 = bits(_T_1046, 6, 0) @[Bitwise.scala 103:46] + node _T_1053 = shl(_T_1052, 1) @[Bitwise.scala 103:65] + node _T_1054 = not(_T_1049) @[Bitwise.scala 103:77] + node _T_1055 = and(_T_1053, _T_1054) @[Bitwise.scala 103:75] + node _T_1056 = or(_T_1051, _T_1055) @[Bitwise.scala 103:39] + node _T_1057 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 261:139] + node _T_1058 = bits(_T_1057, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1059 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:167] + node _T_1060 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1061 = bits(_T_1060, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1062 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:262] + node _T_1063 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:292] + node _T_1064 = mux(_T_1061, _T_1062, _T_1063) @[lsu_dccm_ctl.scala 261:185] + node _T_1065 = mux(_T_1058, _T_1059, _T_1064) @[lsu_dccm_ctl.scala 261:120] + node _T_1066 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1067 = xor(UInt<8>("h0ff"), _T_1066) @[Bitwise.scala 102:21] + node _T_1068 = shr(_T_1065, 4) @[Bitwise.scala 103:21] + node _T_1069 = and(_T_1068, _T_1067) @[Bitwise.scala 103:31] + node _T_1070 = bits(_T_1065, 3, 0) @[Bitwise.scala 103:46] + node _T_1071 = shl(_T_1070, 4) @[Bitwise.scala 103:65] + node _T_1072 = not(_T_1067) @[Bitwise.scala 103:77] + node _T_1073 = and(_T_1071, _T_1072) @[Bitwise.scala 103:75] + node _T_1074 = or(_T_1069, _T_1073) @[Bitwise.scala 103:39] + node _T_1075 = bits(_T_1067, 5, 0) @[Bitwise.scala 102:28] + node _T_1076 = shl(_T_1075, 2) @[Bitwise.scala 102:47] + node _T_1077 = xor(_T_1067, _T_1076) @[Bitwise.scala 102:21] + node _T_1078 = shr(_T_1074, 2) @[Bitwise.scala 103:21] + node _T_1079 = and(_T_1078, _T_1077) @[Bitwise.scala 103:31] + node _T_1080 = bits(_T_1074, 5, 0) @[Bitwise.scala 103:46] + node _T_1081 = shl(_T_1080, 2) @[Bitwise.scala 103:65] + node _T_1082 = not(_T_1077) @[Bitwise.scala 103:77] + node _T_1083 = and(_T_1081, _T_1082) @[Bitwise.scala 103:75] + node _T_1084 = or(_T_1079, _T_1083) @[Bitwise.scala 103:39] + node _T_1085 = bits(_T_1077, 6, 0) @[Bitwise.scala 102:28] + node _T_1086 = shl(_T_1085, 1) @[Bitwise.scala 102:47] + node _T_1087 = xor(_T_1077, _T_1086) @[Bitwise.scala 102:21] + node _T_1088 = shr(_T_1084, 1) @[Bitwise.scala 103:21] + node _T_1089 = and(_T_1088, _T_1087) @[Bitwise.scala 103:31] + node _T_1090 = bits(_T_1084, 6, 0) @[Bitwise.scala 103:46] + node _T_1091 = shl(_T_1090, 1) @[Bitwise.scala 103:65] + node _T_1092 = not(_T_1087) @[Bitwise.scala 103:77] + node _T_1093 = and(_T_1091, _T_1092) @[Bitwise.scala 103:75] + node _T_1094 = or(_T_1089, _T_1093) @[Bitwise.scala 103:39] + node _T_1095 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 261:139] + node _T_1096 = bits(_T_1095, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1097 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:167] + node _T_1098 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1099 = bits(_T_1098, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1100 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:262] + node _T_1101 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:292] + node _T_1102 = mux(_T_1099, _T_1100, _T_1101) @[lsu_dccm_ctl.scala 261:185] + node _T_1103 = mux(_T_1096, _T_1097, _T_1102) @[lsu_dccm_ctl.scala 261:120] + node _T_1104 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1105 = xor(UInt<8>("h0ff"), _T_1104) @[Bitwise.scala 102:21] + node _T_1106 = shr(_T_1103, 4) @[Bitwise.scala 103:21] + node _T_1107 = and(_T_1106, _T_1105) @[Bitwise.scala 103:31] + node _T_1108 = bits(_T_1103, 3, 0) @[Bitwise.scala 103:46] + node _T_1109 = shl(_T_1108, 4) @[Bitwise.scala 103:65] + node _T_1110 = not(_T_1105) @[Bitwise.scala 103:77] + node _T_1111 = and(_T_1109, _T_1110) @[Bitwise.scala 103:75] + node _T_1112 = or(_T_1107, _T_1111) @[Bitwise.scala 103:39] + node _T_1113 = bits(_T_1105, 5, 0) @[Bitwise.scala 102:28] + node _T_1114 = shl(_T_1113, 2) @[Bitwise.scala 102:47] + node _T_1115 = xor(_T_1105, _T_1114) @[Bitwise.scala 102:21] + node _T_1116 = shr(_T_1112, 2) @[Bitwise.scala 103:21] + node _T_1117 = and(_T_1116, _T_1115) @[Bitwise.scala 103:31] + node _T_1118 = bits(_T_1112, 5, 0) @[Bitwise.scala 103:46] + node _T_1119 = shl(_T_1118, 2) @[Bitwise.scala 103:65] + node _T_1120 = not(_T_1115) @[Bitwise.scala 103:77] + node _T_1121 = and(_T_1119, _T_1120) @[Bitwise.scala 103:75] + node _T_1122 = or(_T_1117, _T_1121) @[Bitwise.scala 103:39] + node _T_1123 = bits(_T_1115, 6, 0) @[Bitwise.scala 102:28] + node _T_1124 = shl(_T_1123, 1) @[Bitwise.scala 102:47] + node _T_1125 = xor(_T_1115, _T_1124) @[Bitwise.scala 102:21] + node _T_1126 = shr(_T_1122, 1) @[Bitwise.scala 103:21] + node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31] + node _T_1128 = bits(_T_1122, 6, 0) @[Bitwise.scala 103:46] + node _T_1129 = shl(_T_1128, 1) @[Bitwise.scala 103:65] + node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77] + node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75] + node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39] + node _T_1133 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 261:139] + node _T_1134 = bits(_T_1133, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1135 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:167] + node _T_1136 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1137 = bits(_T_1136, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1138 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:262] + node _T_1139 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:292] + node _T_1140 = mux(_T_1137, _T_1138, _T_1139) @[lsu_dccm_ctl.scala 261:185] + node _T_1141 = mux(_T_1134, _T_1135, _T_1140) @[lsu_dccm_ctl.scala 261:120] + node _T_1142 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1143 = xor(UInt<8>("h0ff"), _T_1142) @[Bitwise.scala 102:21] + node _T_1144 = shr(_T_1141, 4) @[Bitwise.scala 103:21] + node _T_1145 = and(_T_1144, _T_1143) @[Bitwise.scala 103:31] + node _T_1146 = bits(_T_1141, 3, 0) @[Bitwise.scala 103:46] + node _T_1147 = shl(_T_1146, 4) @[Bitwise.scala 103:65] + node _T_1148 = not(_T_1143) @[Bitwise.scala 103:77] + node _T_1149 = and(_T_1147, _T_1148) @[Bitwise.scala 103:75] + node _T_1150 = or(_T_1145, _T_1149) @[Bitwise.scala 103:39] + node _T_1151 = bits(_T_1143, 5, 0) @[Bitwise.scala 102:28] + node _T_1152 = shl(_T_1151, 2) @[Bitwise.scala 102:47] + node _T_1153 = xor(_T_1143, _T_1152) @[Bitwise.scala 102:21] + node _T_1154 = shr(_T_1150, 2) @[Bitwise.scala 103:21] + node _T_1155 = and(_T_1154, _T_1153) @[Bitwise.scala 103:31] + node _T_1156 = bits(_T_1150, 5, 0) @[Bitwise.scala 103:46] + node _T_1157 = shl(_T_1156, 2) @[Bitwise.scala 103:65] + node _T_1158 = not(_T_1153) @[Bitwise.scala 103:77] + node _T_1159 = and(_T_1157, _T_1158) @[Bitwise.scala 103:75] + node _T_1160 = or(_T_1155, _T_1159) @[Bitwise.scala 103:39] + node _T_1161 = bits(_T_1153, 6, 0) @[Bitwise.scala 102:28] + node _T_1162 = shl(_T_1161, 1) @[Bitwise.scala 102:47] + node _T_1163 = xor(_T_1153, _T_1162) @[Bitwise.scala 102:21] + node _T_1164 = shr(_T_1160, 1) @[Bitwise.scala 103:21] + node _T_1165 = and(_T_1164, _T_1163) @[Bitwise.scala 103:31] + node _T_1166 = bits(_T_1160, 6, 0) @[Bitwise.scala 103:46] + node _T_1167 = shl(_T_1166, 1) @[Bitwise.scala 103:65] + node _T_1168 = not(_T_1163) @[Bitwise.scala 103:77] + node _T_1169 = and(_T_1167, _T_1168) @[Bitwise.scala 103:75] + node _T_1170 = or(_T_1165, _T_1169) @[Bitwise.scala 103:39] + wire _T_1171 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:104] + _T_1171[0] <= _T_1056 @[lsu_dccm_ctl.scala 261:104] + _T_1171[1] <= _T_1094 @[lsu_dccm_ctl.scala 261:104] + _T_1171[2] <= _T_1132 @[lsu_dccm_ctl.scala 261:104] + _T_1171[3] <= _T_1170 @[lsu_dccm_ctl.scala 261:104] + node _T_1172 = cat(_T_1171[2], _T_1171[3]) @[Cat.scala 29:58] + node _T_1173 = cat(_T_1171[0], _T_1171[1]) @[Cat.scala 29:58] + node _T_1174 = cat(_T_1173, _T_1172) @[Cat.scala 29:58] + node _T_1175 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1176 = xor(UInt<32>("h0ffffffff"), _T_1175) @[Bitwise.scala 102:21] + node _T_1177 = shr(_T_1174, 16) @[Bitwise.scala 103:21] + node _T_1178 = and(_T_1177, _T_1176) @[Bitwise.scala 103:31] + node _T_1179 = bits(_T_1174, 15, 0) @[Bitwise.scala 103:46] + node _T_1180 = shl(_T_1179, 16) @[Bitwise.scala 103:65] + node _T_1181 = not(_T_1176) @[Bitwise.scala 103:77] + node _T_1182 = and(_T_1180, _T_1181) @[Bitwise.scala 103:75] + node _T_1183 = or(_T_1178, _T_1182) @[Bitwise.scala 103:39] + node _T_1184 = bits(_T_1176, 23, 0) @[Bitwise.scala 102:28] + node _T_1185 = shl(_T_1184, 8) @[Bitwise.scala 102:47] + node _T_1186 = xor(_T_1176, _T_1185) @[Bitwise.scala 102:21] + node _T_1187 = shr(_T_1183, 8) @[Bitwise.scala 103:21] + node _T_1188 = and(_T_1187, _T_1186) @[Bitwise.scala 103:31] + node _T_1189 = bits(_T_1183, 23, 0) @[Bitwise.scala 103:46] + node _T_1190 = shl(_T_1189, 8) @[Bitwise.scala 103:65] + node _T_1191 = not(_T_1186) @[Bitwise.scala 103:77] + node _T_1192 = and(_T_1190, _T_1191) @[Bitwise.scala 103:75] + node _T_1193 = or(_T_1188, _T_1192) @[Bitwise.scala 103:39] + node _T_1194 = bits(_T_1186, 27, 0) @[Bitwise.scala 102:28] + node _T_1195 = shl(_T_1194, 4) @[Bitwise.scala 102:47] + node _T_1196 = xor(_T_1186, _T_1195) @[Bitwise.scala 102:21] + node _T_1197 = shr(_T_1193, 4) @[Bitwise.scala 103:21] + node _T_1198 = and(_T_1197, _T_1196) @[Bitwise.scala 103:31] + node _T_1199 = bits(_T_1193, 27, 0) @[Bitwise.scala 103:46] + node _T_1200 = shl(_T_1199, 4) @[Bitwise.scala 103:65] + node _T_1201 = not(_T_1196) @[Bitwise.scala 103:77] + node _T_1202 = and(_T_1200, _T_1201) @[Bitwise.scala 103:75] + node _T_1203 = or(_T_1198, _T_1202) @[Bitwise.scala 103:39] + node _T_1204 = bits(_T_1196, 29, 0) @[Bitwise.scala 102:28] + node _T_1205 = shl(_T_1204, 2) @[Bitwise.scala 102:47] + node _T_1206 = xor(_T_1196, _T_1205) @[Bitwise.scala 102:21] + node _T_1207 = shr(_T_1203, 2) @[Bitwise.scala 103:21] + node _T_1208 = and(_T_1207, _T_1206) @[Bitwise.scala 103:31] + node _T_1209 = bits(_T_1203, 29, 0) @[Bitwise.scala 103:46] + node _T_1210 = shl(_T_1209, 2) @[Bitwise.scala 103:65] + node _T_1211 = not(_T_1206) @[Bitwise.scala 103:77] + node _T_1212 = and(_T_1210, _T_1211) @[Bitwise.scala 103:75] + node _T_1213 = or(_T_1208, _T_1212) @[Bitwise.scala 103:39] + node _T_1214 = bits(_T_1206, 30, 0) @[Bitwise.scala 102:28] + node _T_1215 = shl(_T_1214, 1) @[Bitwise.scala 102:47] + node _T_1216 = xor(_T_1206, _T_1215) @[Bitwise.scala 102:21] + node _T_1217 = shr(_T_1213, 1) @[Bitwise.scala 103:21] + node _T_1218 = and(_T_1217, _T_1216) @[Bitwise.scala 103:31] + node _T_1219 = bits(_T_1213, 30, 0) @[Bitwise.scala 103:46] + node _T_1220 = shl(_T_1219, 1) @[Bitwise.scala 103:65] + node _T_1221 = not(_T_1216) @[Bitwise.scala 103:77] + node _T_1222 = and(_T_1220, _T_1221) @[Bitwise.scala 103:75] + node _T_1223 = or(_T_1218, _T_1222) @[Bitwise.scala 103:39] + reg _T_1224 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 261:72] + _T_1224 <= _T_1223 @[lsu_dccm_ctl.scala 261:72] + io.store_data_lo_r <= _T_1224 @[lsu_dccm_ctl.scala 261:29] + node _T_1225 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 262:105] + node _T_1226 = bits(_T_1225, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1227 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:133] + node _T_1228 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1229 = bits(_T_1228, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1230 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:228] + node _T_1231 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:258] + node _T_1232 = mux(_T_1229, _T_1230, _T_1231) @[lsu_dccm_ctl.scala 262:151] + node _T_1233 = mux(_T_1226, _T_1227, _T_1232) @[lsu_dccm_ctl.scala 262:86] + node _T_1234 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1235 = xor(UInt<8>("h0ff"), _T_1234) @[Bitwise.scala 102:21] + node _T_1236 = shr(_T_1233, 4) @[Bitwise.scala 103:21] + node _T_1237 = and(_T_1236, _T_1235) @[Bitwise.scala 103:31] + node _T_1238 = bits(_T_1233, 3, 0) @[Bitwise.scala 103:46] + node _T_1239 = shl(_T_1238, 4) @[Bitwise.scala 103:65] + node _T_1240 = not(_T_1235) @[Bitwise.scala 103:77] + node _T_1241 = and(_T_1239, _T_1240) @[Bitwise.scala 103:75] + node _T_1242 = or(_T_1237, _T_1241) @[Bitwise.scala 103:39] + node _T_1243 = bits(_T_1235, 5, 0) @[Bitwise.scala 102:28] + node _T_1244 = shl(_T_1243, 2) @[Bitwise.scala 102:47] + node _T_1245 = xor(_T_1235, _T_1244) @[Bitwise.scala 102:21] + node _T_1246 = shr(_T_1242, 2) @[Bitwise.scala 103:21] + node _T_1247 = and(_T_1246, _T_1245) @[Bitwise.scala 103:31] + node _T_1248 = bits(_T_1242, 5, 0) @[Bitwise.scala 103:46] + node _T_1249 = shl(_T_1248, 2) @[Bitwise.scala 103:65] + node _T_1250 = not(_T_1245) @[Bitwise.scala 103:77] + node _T_1251 = and(_T_1249, _T_1250) @[Bitwise.scala 103:75] + node _T_1252 = or(_T_1247, _T_1251) @[Bitwise.scala 103:39] + node _T_1253 = bits(_T_1245, 6, 0) @[Bitwise.scala 102:28] + node _T_1254 = shl(_T_1253, 1) @[Bitwise.scala 102:47] + node _T_1255 = xor(_T_1245, _T_1254) @[Bitwise.scala 102:21] + node _T_1256 = shr(_T_1252, 1) @[Bitwise.scala 103:21] + node _T_1257 = and(_T_1256, _T_1255) @[Bitwise.scala 103:31] + node _T_1258 = bits(_T_1252, 6, 0) @[Bitwise.scala 103:46] + node _T_1259 = shl(_T_1258, 1) @[Bitwise.scala 103:65] + node _T_1260 = not(_T_1255) @[Bitwise.scala 103:77] + node _T_1261 = and(_T_1259, _T_1260) @[Bitwise.scala 103:75] + node _T_1262 = or(_T_1257, _T_1261) @[Bitwise.scala 103:39] + node _T_1263 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 262:105] + node _T_1264 = bits(_T_1263, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1265 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:133] + node _T_1266 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1267 = bits(_T_1266, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1268 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:228] + node _T_1269 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:258] + node _T_1270 = mux(_T_1267, _T_1268, _T_1269) @[lsu_dccm_ctl.scala 262:151] + node _T_1271 = mux(_T_1264, _T_1265, _T_1270) @[lsu_dccm_ctl.scala 262:86] + node _T_1272 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1273 = xor(UInt<8>("h0ff"), _T_1272) @[Bitwise.scala 102:21] + node _T_1274 = shr(_T_1271, 4) @[Bitwise.scala 103:21] + node _T_1275 = and(_T_1274, _T_1273) @[Bitwise.scala 103:31] + node _T_1276 = bits(_T_1271, 3, 0) @[Bitwise.scala 103:46] + node _T_1277 = shl(_T_1276, 4) @[Bitwise.scala 103:65] + node _T_1278 = not(_T_1273) @[Bitwise.scala 103:77] + node _T_1279 = and(_T_1277, _T_1278) @[Bitwise.scala 103:75] + node _T_1280 = or(_T_1275, _T_1279) @[Bitwise.scala 103:39] + node _T_1281 = bits(_T_1273, 5, 0) @[Bitwise.scala 102:28] + node _T_1282 = shl(_T_1281, 2) @[Bitwise.scala 102:47] + node _T_1283 = xor(_T_1273, _T_1282) @[Bitwise.scala 102:21] + node _T_1284 = shr(_T_1280, 2) @[Bitwise.scala 103:21] + node _T_1285 = and(_T_1284, _T_1283) @[Bitwise.scala 103:31] + node _T_1286 = bits(_T_1280, 5, 0) @[Bitwise.scala 103:46] + node _T_1287 = shl(_T_1286, 2) @[Bitwise.scala 103:65] + node _T_1288 = not(_T_1283) @[Bitwise.scala 103:77] + node _T_1289 = and(_T_1287, _T_1288) @[Bitwise.scala 103:75] + node _T_1290 = or(_T_1285, _T_1289) @[Bitwise.scala 103:39] + node _T_1291 = bits(_T_1283, 6, 0) @[Bitwise.scala 102:28] + node _T_1292 = shl(_T_1291, 1) @[Bitwise.scala 102:47] + node _T_1293 = xor(_T_1283, _T_1292) @[Bitwise.scala 102:21] + node _T_1294 = shr(_T_1290, 1) @[Bitwise.scala 103:21] + node _T_1295 = and(_T_1294, _T_1293) @[Bitwise.scala 103:31] + node _T_1296 = bits(_T_1290, 6, 0) @[Bitwise.scala 103:46] + node _T_1297 = shl(_T_1296, 1) @[Bitwise.scala 103:65] + node _T_1298 = not(_T_1293) @[Bitwise.scala 103:77] + node _T_1299 = and(_T_1297, _T_1298) @[Bitwise.scala 103:75] + node _T_1300 = or(_T_1295, _T_1299) @[Bitwise.scala 103:39] + node _T_1301 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 262:105] + node _T_1302 = bits(_T_1301, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1303 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:133] + node _T_1304 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1305 = bits(_T_1304, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1306 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:228] + node _T_1307 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:258] + node _T_1308 = mux(_T_1305, _T_1306, _T_1307) @[lsu_dccm_ctl.scala 262:151] + node _T_1309 = mux(_T_1302, _T_1303, _T_1308) @[lsu_dccm_ctl.scala 262:86] + node _T_1310 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1311 = xor(UInt<8>("h0ff"), _T_1310) @[Bitwise.scala 102:21] + node _T_1312 = shr(_T_1309, 4) @[Bitwise.scala 103:21] + node _T_1313 = and(_T_1312, _T_1311) @[Bitwise.scala 103:31] + node _T_1314 = bits(_T_1309, 3, 0) @[Bitwise.scala 103:46] + node _T_1315 = shl(_T_1314, 4) @[Bitwise.scala 103:65] + node _T_1316 = not(_T_1311) @[Bitwise.scala 103:77] + node _T_1317 = and(_T_1315, _T_1316) @[Bitwise.scala 103:75] + node _T_1318 = or(_T_1313, _T_1317) @[Bitwise.scala 103:39] + node _T_1319 = bits(_T_1311, 5, 0) @[Bitwise.scala 102:28] + node _T_1320 = shl(_T_1319, 2) @[Bitwise.scala 102:47] + node _T_1321 = xor(_T_1311, _T_1320) @[Bitwise.scala 102:21] + node _T_1322 = shr(_T_1318, 2) @[Bitwise.scala 103:21] + node _T_1323 = and(_T_1322, _T_1321) @[Bitwise.scala 103:31] + node _T_1324 = bits(_T_1318, 5, 0) @[Bitwise.scala 103:46] + node _T_1325 = shl(_T_1324, 2) @[Bitwise.scala 103:65] + node _T_1326 = not(_T_1321) @[Bitwise.scala 103:77] + node _T_1327 = and(_T_1325, _T_1326) @[Bitwise.scala 103:75] + node _T_1328 = or(_T_1323, _T_1327) @[Bitwise.scala 103:39] + node _T_1329 = bits(_T_1321, 6, 0) @[Bitwise.scala 102:28] + node _T_1330 = shl(_T_1329, 1) @[Bitwise.scala 102:47] + node _T_1331 = xor(_T_1321, _T_1330) @[Bitwise.scala 102:21] + node _T_1332 = shr(_T_1328, 1) @[Bitwise.scala 103:21] + node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31] + node _T_1334 = bits(_T_1328, 6, 0) @[Bitwise.scala 103:46] + node _T_1335 = shl(_T_1334, 1) @[Bitwise.scala 103:65] + node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77] + node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75] + node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39] + node _T_1339 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 262:105] + node _T_1340 = bits(_T_1339, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1341 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:133] + node _T_1342 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1343 = bits(_T_1342, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1344 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:228] + node _T_1345 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:258] + node _T_1346 = mux(_T_1343, _T_1344, _T_1345) @[lsu_dccm_ctl.scala 262:151] + node _T_1347 = mux(_T_1340, _T_1341, _T_1346) @[lsu_dccm_ctl.scala 262:86] + node _T_1348 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1349 = xor(UInt<8>("h0ff"), _T_1348) @[Bitwise.scala 102:21] + node _T_1350 = shr(_T_1347, 4) @[Bitwise.scala 103:21] + node _T_1351 = and(_T_1350, _T_1349) @[Bitwise.scala 103:31] + node _T_1352 = bits(_T_1347, 3, 0) @[Bitwise.scala 103:46] + node _T_1353 = shl(_T_1352, 4) @[Bitwise.scala 103:65] + node _T_1354 = not(_T_1349) @[Bitwise.scala 103:77] + node _T_1355 = and(_T_1353, _T_1354) @[Bitwise.scala 103:75] + node _T_1356 = or(_T_1351, _T_1355) @[Bitwise.scala 103:39] + node _T_1357 = bits(_T_1349, 5, 0) @[Bitwise.scala 102:28] + node _T_1358 = shl(_T_1357, 2) @[Bitwise.scala 102:47] + node _T_1359 = xor(_T_1349, _T_1358) @[Bitwise.scala 102:21] + node _T_1360 = shr(_T_1356, 2) @[Bitwise.scala 103:21] + node _T_1361 = and(_T_1360, _T_1359) @[Bitwise.scala 103:31] + node _T_1362 = bits(_T_1356, 5, 0) @[Bitwise.scala 103:46] + node _T_1363 = shl(_T_1362, 2) @[Bitwise.scala 103:65] + node _T_1364 = not(_T_1359) @[Bitwise.scala 103:77] + node _T_1365 = and(_T_1363, _T_1364) @[Bitwise.scala 103:75] + node _T_1366 = or(_T_1361, _T_1365) @[Bitwise.scala 103:39] + node _T_1367 = bits(_T_1359, 6, 0) @[Bitwise.scala 102:28] + node _T_1368 = shl(_T_1367, 1) @[Bitwise.scala 102:47] + node _T_1369 = xor(_T_1359, _T_1368) @[Bitwise.scala 102:21] + node _T_1370 = shr(_T_1366, 1) @[Bitwise.scala 103:21] + node _T_1371 = and(_T_1370, _T_1369) @[Bitwise.scala 103:31] + node _T_1372 = bits(_T_1366, 6, 0) @[Bitwise.scala 103:46] + node _T_1373 = shl(_T_1372, 1) @[Bitwise.scala 103:65] + node _T_1374 = not(_T_1369) @[Bitwise.scala 103:77] + node _T_1375 = and(_T_1373, _T_1374) @[Bitwise.scala 103:75] + node _T_1376 = or(_T_1371, _T_1375) @[Bitwise.scala 103:39] + wire _T_1377 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:70] + _T_1377[0] <= _T_1262 @[lsu_dccm_ctl.scala 262:70] + _T_1377[1] <= _T_1300 @[lsu_dccm_ctl.scala 262:70] + _T_1377[2] <= _T_1338 @[lsu_dccm_ctl.scala 262:70] + _T_1377[3] <= _T_1376 @[lsu_dccm_ctl.scala 262:70] + node _T_1378 = cat(_T_1377[2], _T_1377[3]) @[Cat.scala 29:58] + node _T_1379 = cat(_T_1377[0], _T_1377[1]) @[Cat.scala 29:58] + node _T_1380 = cat(_T_1379, _T_1378) @[Cat.scala 29:58] + node _T_1381 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1382 = xor(UInt<32>("h0ffffffff"), _T_1381) @[Bitwise.scala 102:21] + node _T_1383 = shr(_T_1380, 16) @[Bitwise.scala 103:21] + node _T_1384 = and(_T_1383, _T_1382) @[Bitwise.scala 103:31] + node _T_1385 = bits(_T_1380, 15, 0) @[Bitwise.scala 103:46] + node _T_1386 = shl(_T_1385, 16) @[Bitwise.scala 103:65] + node _T_1387 = not(_T_1382) @[Bitwise.scala 103:77] + node _T_1388 = and(_T_1386, _T_1387) @[Bitwise.scala 103:75] + node _T_1389 = or(_T_1384, _T_1388) @[Bitwise.scala 103:39] + node _T_1390 = bits(_T_1382, 23, 0) @[Bitwise.scala 102:28] + node _T_1391 = shl(_T_1390, 8) @[Bitwise.scala 102:47] + node _T_1392 = xor(_T_1382, _T_1391) @[Bitwise.scala 102:21] + node _T_1393 = shr(_T_1389, 8) @[Bitwise.scala 103:21] + node _T_1394 = and(_T_1393, _T_1392) @[Bitwise.scala 103:31] + node _T_1395 = bits(_T_1389, 23, 0) @[Bitwise.scala 103:46] + node _T_1396 = shl(_T_1395, 8) @[Bitwise.scala 103:65] + node _T_1397 = not(_T_1392) @[Bitwise.scala 103:77] + node _T_1398 = and(_T_1396, _T_1397) @[Bitwise.scala 103:75] + node _T_1399 = or(_T_1394, _T_1398) @[Bitwise.scala 103:39] + node _T_1400 = bits(_T_1392, 27, 0) @[Bitwise.scala 102:28] + node _T_1401 = shl(_T_1400, 4) @[Bitwise.scala 102:47] + node _T_1402 = xor(_T_1392, _T_1401) @[Bitwise.scala 102:21] + node _T_1403 = shr(_T_1399, 4) @[Bitwise.scala 103:21] + node _T_1404 = and(_T_1403, _T_1402) @[Bitwise.scala 103:31] + node _T_1405 = bits(_T_1399, 27, 0) @[Bitwise.scala 103:46] + node _T_1406 = shl(_T_1405, 4) @[Bitwise.scala 103:65] + node _T_1407 = not(_T_1402) @[Bitwise.scala 103:77] + node _T_1408 = and(_T_1406, _T_1407) @[Bitwise.scala 103:75] + node _T_1409 = or(_T_1404, _T_1408) @[Bitwise.scala 103:39] + node _T_1410 = bits(_T_1402, 29, 0) @[Bitwise.scala 102:28] + node _T_1411 = shl(_T_1410, 2) @[Bitwise.scala 102:47] + node _T_1412 = xor(_T_1402, _T_1411) @[Bitwise.scala 102:21] + node _T_1413 = shr(_T_1409, 2) @[Bitwise.scala 103:21] + node _T_1414 = and(_T_1413, _T_1412) @[Bitwise.scala 103:31] + node _T_1415 = bits(_T_1409, 29, 0) @[Bitwise.scala 103:46] + node _T_1416 = shl(_T_1415, 2) @[Bitwise.scala 103:65] + node _T_1417 = not(_T_1412) @[Bitwise.scala 103:77] + node _T_1418 = and(_T_1416, _T_1417) @[Bitwise.scala 103:75] + node _T_1419 = or(_T_1414, _T_1418) @[Bitwise.scala 103:39] + node _T_1420 = bits(_T_1412, 30, 0) @[Bitwise.scala 102:28] + node _T_1421 = shl(_T_1420, 1) @[Bitwise.scala 102:47] + node _T_1422 = xor(_T_1412, _T_1421) @[Bitwise.scala 102:21] + node _T_1423 = shr(_T_1419, 1) @[Bitwise.scala 103:21] + node _T_1424 = and(_T_1423, _T_1422) @[Bitwise.scala 103:31] + node _T_1425 = bits(_T_1419, 30, 0) @[Bitwise.scala 103:46] + node _T_1426 = shl(_T_1425, 1) @[Bitwise.scala 103:65] + node _T_1427 = not(_T_1422) @[Bitwise.scala 103:77] + node _T_1428 = and(_T_1426, _T_1427) @[Bitwise.scala 103:75] + node _T_1429 = or(_T_1424, _T_1428) @[Bitwise.scala 103:39] + node _T_1430 = and(io.ldst_dual_m, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 262:295] + node _T_1431 = and(_T_1430, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 262:316] + node _T_1432 = or(_T_1431, io.clk_override) @[lsu_dccm_ctl.scala 262:343] + node _T_1433 = bits(_T_1432, 0, 0) @[lib.scala 8:44] + node _T_1434 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_1 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_1433 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_1434 @[lib.scala 372:24] + reg _T_1435 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1435 <= _T_1429 @[lib.scala 374:16] + io.store_data_hi_r <= _T_1435 @[lsu_dccm_ctl.scala 262:29] + node _T_1436 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1437 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 263:150] + node _T_1438 = eq(_T_1437, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1439 = and(_T_1436, _T_1438) @[lsu_dccm_ctl.scala 263:129] + node _T_1440 = bits(_T_1439, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1441 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 263:179] + node _T_1442 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 263:211] + node _T_1443 = mux(_T_1440, _T_1441, _T_1442) @[lsu_dccm_ctl.scala 263:79] + node _T_1444 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1445 = xor(UInt<8>("h0ff"), _T_1444) @[Bitwise.scala 102:21] + node _T_1446 = shr(_T_1443, 4) @[Bitwise.scala 103:21] + node _T_1447 = and(_T_1446, _T_1445) @[Bitwise.scala 103:31] + node _T_1448 = bits(_T_1443, 3, 0) @[Bitwise.scala 103:46] + node _T_1449 = shl(_T_1448, 4) @[Bitwise.scala 103:65] + node _T_1450 = not(_T_1445) @[Bitwise.scala 103:77] + node _T_1451 = and(_T_1449, _T_1450) @[Bitwise.scala 103:75] + node _T_1452 = or(_T_1447, _T_1451) @[Bitwise.scala 103:39] + node _T_1453 = bits(_T_1445, 5, 0) @[Bitwise.scala 102:28] + node _T_1454 = shl(_T_1453, 2) @[Bitwise.scala 102:47] + node _T_1455 = xor(_T_1445, _T_1454) @[Bitwise.scala 102:21] + node _T_1456 = shr(_T_1452, 2) @[Bitwise.scala 103:21] + node _T_1457 = and(_T_1456, _T_1455) @[Bitwise.scala 103:31] + node _T_1458 = bits(_T_1452, 5, 0) @[Bitwise.scala 103:46] + node _T_1459 = shl(_T_1458, 2) @[Bitwise.scala 103:65] + node _T_1460 = not(_T_1455) @[Bitwise.scala 103:77] + node _T_1461 = and(_T_1459, _T_1460) @[Bitwise.scala 103:75] + node _T_1462 = or(_T_1457, _T_1461) @[Bitwise.scala 103:39] + node _T_1463 = bits(_T_1455, 6, 0) @[Bitwise.scala 102:28] + node _T_1464 = shl(_T_1463, 1) @[Bitwise.scala 102:47] + node _T_1465 = xor(_T_1455, _T_1464) @[Bitwise.scala 102:21] + node _T_1466 = shr(_T_1462, 1) @[Bitwise.scala 103:21] + node _T_1467 = and(_T_1466, _T_1465) @[Bitwise.scala 103:31] + node _T_1468 = bits(_T_1462, 6, 0) @[Bitwise.scala 103:46] + node _T_1469 = shl(_T_1468, 1) @[Bitwise.scala 103:65] + node _T_1470 = not(_T_1465) @[Bitwise.scala 103:77] + node _T_1471 = and(_T_1469, _T_1470) @[Bitwise.scala 103:75] + node _T_1472 = or(_T_1467, _T_1471) @[Bitwise.scala 103:39] + node _T_1473 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1474 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 263:150] + node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1476 = and(_T_1473, _T_1475) @[lsu_dccm_ctl.scala 263:129] + node _T_1477 = bits(_T_1476, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1478 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 263:179] + node _T_1479 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 263:211] + node _T_1480 = mux(_T_1477, _T_1478, _T_1479) @[lsu_dccm_ctl.scala 263:79] + node _T_1481 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1482 = xor(UInt<8>("h0ff"), _T_1481) @[Bitwise.scala 102:21] + node _T_1483 = shr(_T_1480, 4) @[Bitwise.scala 103:21] + node _T_1484 = and(_T_1483, _T_1482) @[Bitwise.scala 103:31] + node _T_1485 = bits(_T_1480, 3, 0) @[Bitwise.scala 103:46] + node _T_1486 = shl(_T_1485, 4) @[Bitwise.scala 103:65] + node _T_1487 = not(_T_1482) @[Bitwise.scala 103:77] + node _T_1488 = and(_T_1486, _T_1487) @[Bitwise.scala 103:75] + node _T_1489 = or(_T_1484, _T_1488) @[Bitwise.scala 103:39] + node _T_1490 = bits(_T_1482, 5, 0) @[Bitwise.scala 102:28] + node _T_1491 = shl(_T_1490, 2) @[Bitwise.scala 102:47] + node _T_1492 = xor(_T_1482, _T_1491) @[Bitwise.scala 102:21] + node _T_1493 = shr(_T_1489, 2) @[Bitwise.scala 103:21] + node _T_1494 = and(_T_1493, _T_1492) @[Bitwise.scala 103:31] + node _T_1495 = bits(_T_1489, 5, 0) @[Bitwise.scala 103:46] + node _T_1496 = shl(_T_1495, 2) @[Bitwise.scala 103:65] + node _T_1497 = not(_T_1492) @[Bitwise.scala 103:77] + node _T_1498 = and(_T_1496, _T_1497) @[Bitwise.scala 103:75] + node _T_1499 = or(_T_1494, _T_1498) @[Bitwise.scala 103:39] + node _T_1500 = bits(_T_1492, 6, 0) @[Bitwise.scala 102:28] + node _T_1501 = shl(_T_1500, 1) @[Bitwise.scala 102:47] + node _T_1502 = xor(_T_1492, _T_1501) @[Bitwise.scala 102:21] + node _T_1503 = shr(_T_1499, 1) @[Bitwise.scala 103:21] + node _T_1504 = and(_T_1503, _T_1502) @[Bitwise.scala 103:31] + node _T_1505 = bits(_T_1499, 6, 0) @[Bitwise.scala 103:46] + node _T_1506 = shl(_T_1505, 1) @[Bitwise.scala 103:65] + node _T_1507 = not(_T_1502) @[Bitwise.scala 103:77] + node _T_1508 = and(_T_1506, _T_1507) @[Bitwise.scala 103:75] + node _T_1509 = or(_T_1504, _T_1508) @[Bitwise.scala 103:39] + node _T_1510 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1511 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 263:150] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1513 = and(_T_1510, _T_1512) @[lsu_dccm_ctl.scala 263:129] + node _T_1514 = bits(_T_1513, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1515 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 263:179] + node _T_1516 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 263:211] + node _T_1517 = mux(_T_1514, _T_1515, _T_1516) @[lsu_dccm_ctl.scala 263:79] + node _T_1518 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1519 = xor(UInt<8>("h0ff"), _T_1518) @[Bitwise.scala 102:21] + node _T_1520 = shr(_T_1517, 4) @[Bitwise.scala 103:21] + node _T_1521 = and(_T_1520, _T_1519) @[Bitwise.scala 103:31] + node _T_1522 = bits(_T_1517, 3, 0) @[Bitwise.scala 103:46] + node _T_1523 = shl(_T_1522, 4) @[Bitwise.scala 103:65] + node _T_1524 = not(_T_1519) @[Bitwise.scala 103:77] + node _T_1525 = and(_T_1523, _T_1524) @[Bitwise.scala 103:75] + node _T_1526 = or(_T_1521, _T_1525) @[Bitwise.scala 103:39] + node _T_1527 = bits(_T_1519, 5, 0) @[Bitwise.scala 102:28] + node _T_1528 = shl(_T_1527, 2) @[Bitwise.scala 102:47] + node _T_1529 = xor(_T_1519, _T_1528) @[Bitwise.scala 102:21] + node _T_1530 = shr(_T_1526, 2) @[Bitwise.scala 103:21] + node _T_1531 = and(_T_1530, _T_1529) @[Bitwise.scala 103:31] + node _T_1532 = bits(_T_1526, 5, 0) @[Bitwise.scala 103:46] + node _T_1533 = shl(_T_1532, 2) @[Bitwise.scala 103:65] + node _T_1534 = not(_T_1529) @[Bitwise.scala 103:77] + node _T_1535 = and(_T_1533, _T_1534) @[Bitwise.scala 103:75] + node _T_1536 = or(_T_1531, _T_1535) @[Bitwise.scala 103:39] + node _T_1537 = bits(_T_1529, 6, 0) @[Bitwise.scala 102:28] + node _T_1538 = shl(_T_1537, 1) @[Bitwise.scala 102:47] + node _T_1539 = xor(_T_1529, _T_1538) @[Bitwise.scala 102:21] + node _T_1540 = shr(_T_1536, 1) @[Bitwise.scala 103:21] + node _T_1541 = and(_T_1540, _T_1539) @[Bitwise.scala 103:31] + node _T_1542 = bits(_T_1536, 6, 0) @[Bitwise.scala 103:46] + node _T_1543 = shl(_T_1542, 1) @[Bitwise.scala 103:65] + node _T_1544 = not(_T_1539) @[Bitwise.scala 103:77] + node _T_1545 = and(_T_1543, _T_1544) @[Bitwise.scala 103:75] + node _T_1546 = or(_T_1541, _T_1545) @[Bitwise.scala 103:39] + node _T_1547 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1548 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 263:150] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1550 = and(_T_1547, _T_1549) @[lsu_dccm_ctl.scala 263:129] + node _T_1551 = bits(_T_1550, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1552 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 263:179] + node _T_1553 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 263:211] + node _T_1554 = mux(_T_1551, _T_1552, _T_1553) @[lsu_dccm_ctl.scala 263:79] + node _T_1555 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1556 = xor(UInt<8>("h0ff"), _T_1555) @[Bitwise.scala 102:21] + node _T_1557 = shr(_T_1554, 4) @[Bitwise.scala 103:21] + node _T_1558 = and(_T_1557, _T_1556) @[Bitwise.scala 103:31] + node _T_1559 = bits(_T_1554, 3, 0) @[Bitwise.scala 103:46] + node _T_1560 = shl(_T_1559, 4) @[Bitwise.scala 103:65] + node _T_1561 = not(_T_1556) @[Bitwise.scala 103:77] + node _T_1562 = and(_T_1560, _T_1561) @[Bitwise.scala 103:75] + node _T_1563 = or(_T_1558, _T_1562) @[Bitwise.scala 103:39] + node _T_1564 = bits(_T_1556, 5, 0) @[Bitwise.scala 102:28] + node _T_1565 = shl(_T_1564, 2) @[Bitwise.scala 102:47] + node _T_1566 = xor(_T_1556, _T_1565) @[Bitwise.scala 102:21] + node _T_1567 = shr(_T_1563, 2) @[Bitwise.scala 103:21] + node _T_1568 = and(_T_1567, _T_1566) @[Bitwise.scala 103:31] + node _T_1569 = bits(_T_1563, 5, 0) @[Bitwise.scala 103:46] + node _T_1570 = shl(_T_1569, 2) @[Bitwise.scala 103:65] + node _T_1571 = not(_T_1566) @[Bitwise.scala 103:77] + node _T_1572 = and(_T_1570, _T_1571) @[Bitwise.scala 103:75] + node _T_1573 = or(_T_1568, _T_1572) @[Bitwise.scala 103:39] + node _T_1574 = bits(_T_1566, 6, 0) @[Bitwise.scala 102:28] + node _T_1575 = shl(_T_1574, 1) @[Bitwise.scala 102:47] + node _T_1576 = xor(_T_1566, _T_1575) @[Bitwise.scala 102:21] + node _T_1577 = shr(_T_1573, 1) @[Bitwise.scala 103:21] + node _T_1578 = and(_T_1577, _T_1576) @[Bitwise.scala 103:31] + node _T_1579 = bits(_T_1573, 6, 0) @[Bitwise.scala 103:46] + node _T_1580 = shl(_T_1579, 1) @[Bitwise.scala 103:65] + node _T_1581 = not(_T_1576) @[Bitwise.scala 103:77] + node _T_1582 = and(_T_1580, _T_1581) @[Bitwise.scala 103:75] + node _T_1583 = or(_T_1578, _T_1582) @[Bitwise.scala 103:39] + wire _T_1584 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:63] + _T_1584[0] <= _T_1472 @[lsu_dccm_ctl.scala 263:63] + _T_1584[1] <= _T_1509 @[lsu_dccm_ctl.scala 263:63] + _T_1584[2] <= _T_1546 @[lsu_dccm_ctl.scala 263:63] + _T_1584[3] <= _T_1583 @[lsu_dccm_ctl.scala 263:63] + node _T_1585 = cat(_T_1584[2], _T_1584[3]) @[Cat.scala 29:58] + node _T_1586 = cat(_T_1584[0], _T_1584[1]) @[Cat.scala 29:58] + node _T_1587 = cat(_T_1586, _T_1585) @[Cat.scala 29:58] + node _T_1588 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1589 = xor(UInt<32>("h0ffffffff"), _T_1588) @[Bitwise.scala 102:21] + node _T_1590 = shr(_T_1587, 16) @[Bitwise.scala 103:21] + node _T_1591 = and(_T_1590, _T_1589) @[Bitwise.scala 103:31] + node _T_1592 = bits(_T_1587, 15, 0) @[Bitwise.scala 103:46] + node _T_1593 = shl(_T_1592, 16) @[Bitwise.scala 103:65] + node _T_1594 = not(_T_1589) @[Bitwise.scala 103:77] + node _T_1595 = and(_T_1593, _T_1594) @[Bitwise.scala 103:75] + node _T_1596 = or(_T_1591, _T_1595) @[Bitwise.scala 103:39] + node _T_1597 = bits(_T_1589, 23, 0) @[Bitwise.scala 102:28] + node _T_1598 = shl(_T_1597, 8) @[Bitwise.scala 102:47] + node _T_1599 = xor(_T_1589, _T_1598) @[Bitwise.scala 102:21] + node _T_1600 = shr(_T_1596, 8) @[Bitwise.scala 103:21] + node _T_1601 = and(_T_1600, _T_1599) @[Bitwise.scala 103:31] + node _T_1602 = bits(_T_1596, 23, 0) @[Bitwise.scala 103:46] + node _T_1603 = shl(_T_1602, 8) @[Bitwise.scala 103:65] + node _T_1604 = not(_T_1599) @[Bitwise.scala 103:77] + node _T_1605 = and(_T_1603, _T_1604) @[Bitwise.scala 103:75] + node _T_1606 = or(_T_1601, _T_1605) @[Bitwise.scala 103:39] + node _T_1607 = bits(_T_1599, 27, 0) @[Bitwise.scala 102:28] + node _T_1608 = shl(_T_1607, 4) @[Bitwise.scala 102:47] + node _T_1609 = xor(_T_1599, _T_1608) @[Bitwise.scala 102:21] + node _T_1610 = shr(_T_1606, 4) @[Bitwise.scala 103:21] + node _T_1611 = and(_T_1610, _T_1609) @[Bitwise.scala 103:31] + node _T_1612 = bits(_T_1606, 27, 0) @[Bitwise.scala 103:46] + node _T_1613 = shl(_T_1612, 4) @[Bitwise.scala 103:65] + node _T_1614 = not(_T_1609) @[Bitwise.scala 103:77] + node _T_1615 = and(_T_1613, _T_1614) @[Bitwise.scala 103:75] + node _T_1616 = or(_T_1611, _T_1615) @[Bitwise.scala 103:39] + node _T_1617 = bits(_T_1609, 29, 0) @[Bitwise.scala 102:28] + node _T_1618 = shl(_T_1617, 2) @[Bitwise.scala 102:47] + node _T_1619 = xor(_T_1609, _T_1618) @[Bitwise.scala 102:21] + node _T_1620 = shr(_T_1616, 2) @[Bitwise.scala 103:21] + node _T_1621 = and(_T_1620, _T_1619) @[Bitwise.scala 103:31] + node _T_1622 = bits(_T_1616, 29, 0) @[Bitwise.scala 103:46] + node _T_1623 = shl(_T_1622, 2) @[Bitwise.scala 103:65] + node _T_1624 = not(_T_1619) @[Bitwise.scala 103:77] + node _T_1625 = and(_T_1623, _T_1624) @[Bitwise.scala 103:75] + node _T_1626 = or(_T_1621, _T_1625) @[Bitwise.scala 103:39] + node _T_1627 = bits(_T_1619, 30, 0) @[Bitwise.scala 102:28] + node _T_1628 = shl(_T_1627, 1) @[Bitwise.scala 102:47] + node _T_1629 = xor(_T_1619, _T_1628) @[Bitwise.scala 102:21] + node _T_1630 = shr(_T_1626, 1) @[Bitwise.scala 103:21] + node _T_1631 = and(_T_1630, _T_1629) @[Bitwise.scala 103:31] + node _T_1632 = bits(_T_1626, 30, 0) @[Bitwise.scala 103:46] + node _T_1633 = shl(_T_1632, 1) @[Bitwise.scala 103:65] + node _T_1634 = not(_T_1629) @[Bitwise.scala 103:77] + node _T_1635 = and(_T_1633, _T_1634) @[Bitwise.scala 103:75] + node _T_1636 = or(_T_1631, _T_1635) @[Bitwise.scala 103:39] + io.store_datafn_lo_r <= _T_1636 @[lsu_dccm_ctl.scala 263:29] + node _T_1637 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1638 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 264:150] + node _T_1639 = eq(_T_1638, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1640 = and(_T_1637, _T_1639) @[lsu_dccm_ctl.scala 264:129] + node _T_1641 = bits(_T_1640, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1642 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 264:181] + node _T_1643 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 264:213] + node _T_1644 = mux(_T_1641, _T_1642, _T_1643) @[lsu_dccm_ctl.scala 264:79] + node _T_1645 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1646 = xor(UInt<8>("h0ff"), _T_1645) @[Bitwise.scala 102:21] + node _T_1647 = shr(_T_1644, 4) @[Bitwise.scala 103:21] + node _T_1648 = and(_T_1647, _T_1646) @[Bitwise.scala 103:31] + node _T_1649 = bits(_T_1644, 3, 0) @[Bitwise.scala 103:46] + node _T_1650 = shl(_T_1649, 4) @[Bitwise.scala 103:65] + node _T_1651 = not(_T_1646) @[Bitwise.scala 103:77] + node _T_1652 = and(_T_1650, _T_1651) @[Bitwise.scala 103:75] + node _T_1653 = or(_T_1648, _T_1652) @[Bitwise.scala 103:39] + node _T_1654 = bits(_T_1646, 5, 0) @[Bitwise.scala 102:28] + node _T_1655 = shl(_T_1654, 2) @[Bitwise.scala 102:47] + node _T_1656 = xor(_T_1646, _T_1655) @[Bitwise.scala 102:21] + node _T_1657 = shr(_T_1653, 2) @[Bitwise.scala 103:21] + node _T_1658 = and(_T_1657, _T_1656) @[Bitwise.scala 103:31] + node _T_1659 = bits(_T_1653, 5, 0) @[Bitwise.scala 103:46] + node _T_1660 = shl(_T_1659, 2) @[Bitwise.scala 103:65] + node _T_1661 = not(_T_1656) @[Bitwise.scala 103:77] + node _T_1662 = and(_T_1660, _T_1661) @[Bitwise.scala 103:75] + node _T_1663 = or(_T_1658, _T_1662) @[Bitwise.scala 103:39] + node _T_1664 = bits(_T_1656, 6, 0) @[Bitwise.scala 102:28] + node _T_1665 = shl(_T_1664, 1) @[Bitwise.scala 102:47] + node _T_1666 = xor(_T_1656, _T_1665) @[Bitwise.scala 102:21] + node _T_1667 = shr(_T_1663, 1) @[Bitwise.scala 103:21] + node _T_1668 = and(_T_1667, _T_1666) @[Bitwise.scala 103:31] + node _T_1669 = bits(_T_1663, 6, 0) @[Bitwise.scala 103:46] + node _T_1670 = shl(_T_1669, 1) @[Bitwise.scala 103:65] + node _T_1671 = not(_T_1666) @[Bitwise.scala 103:77] + node _T_1672 = and(_T_1670, _T_1671) @[Bitwise.scala 103:75] + node _T_1673 = or(_T_1668, _T_1672) @[Bitwise.scala 103:39] + node _T_1674 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1675 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 264:150] + node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1677 = and(_T_1674, _T_1676) @[lsu_dccm_ctl.scala 264:129] + node _T_1678 = bits(_T_1677, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1679 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 264:181] + node _T_1680 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 264:213] + node _T_1681 = mux(_T_1678, _T_1679, _T_1680) @[lsu_dccm_ctl.scala 264:79] + node _T_1682 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1683 = xor(UInt<8>("h0ff"), _T_1682) @[Bitwise.scala 102:21] + node _T_1684 = shr(_T_1681, 4) @[Bitwise.scala 103:21] + node _T_1685 = and(_T_1684, _T_1683) @[Bitwise.scala 103:31] + node _T_1686 = bits(_T_1681, 3, 0) @[Bitwise.scala 103:46] + node _T_1687 = shl(_T_1686, 4) @[Bitwise.scala 103:65] + node _T_1688 = not(_T_1683) @[Bitwise.scala 103:77] + node _T_1689 = and(_T_1687, _T_1688) @[Bitwise.scala 103:75] + node _T_1690 = or(_T_1685, _T_1689) @[Bitwise.scala 103:39] + node _T_1691 = bits(_T_1683, 5, 0) @[Bitwise.scala 102:28] + node _T_1692 = shl(_T_1691, 2) @[Bitwise.scala 102:47] + node _T_1693 = xor(_T_1683, _T_1692) @[Bitwise.scala 102:21] + node _T_1694 = shr(_T_1690, 2) @[Bitwise.scala 103:21] + node _T_1695 = and(_T_1694, _T_1693) @[Bitwise.scala 103:31] + node _T_1696 = bits(_T_1690, 5, 0) @[Bitwise.scala 103:46] + node _T_1697 = shl(_T_1696, 2) @[Bitwise.scala 103:65] + node _T_1698 = not(_T_1693) @[Bitwise.scala 103:77] + node _T_1699 = and(_T_1697, _T_1698) @[Bitwise.scala 103:75] + node _T_1700 = or(_T_1695, _T_1699) @[Bitwise.scala 103:39] + node _T_1701 = bits(_T_1693, 6, 0) @[Bitwise.scala 102:28] + node _T_1702 = shl(_T_1701, 1) @[Bitwise.scala 102:47] + node _T_1703 = xor(_T_1693, _T_1702) @[Bitwise.scala 102:21] + node _T_1704 = shr(_T_1700, 1) @[Bitwise.scala 103:21] + node _T_1705 = and(_T_1704, _T_1703) @[Bitwise.scala 103:31] + node _T_1706 = bits(_T_1700, 6, 0) @[Bitwise.scala 103:46] + node _T_1707 = shl(_T_1706, 1) @[Bitwise.scala 103:65] + node _T_1708 = not(_T_1703) @[Bitwise.scala 103:77] + node _T_1709 = and(_T_1707, _T_1708) @[Bitwise.scala 103:75] + node _T_1710 = or(_T_1705, _T_1709) @[Bitwise.scala 103:39] + node _T_1711 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1712 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 264:150] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1714 = and(_T_1711, _T_1713) @[lsu_dccm_ctl.scala 264:129] + node _T_1715 = bits(_T_1714, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1716 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 264:181] + node _T_1717 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 264:213] + node _T_1718 = mux(_T_1715, _T_1716, _T_1717) @[lsu_dccm_ctl.scala 264:79] + node _T_1719 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1720 = xor(UInt<8>("h0ff"), _T_1719) @[Bitwise.scala 102:21] + node _T_1721 = shr(_T_1718, 4) @[Bitwise.scala 103:21] + node _T_1722 = and(_T_1721, _T_1720) @[Bitwise.scala 103:31] + node _T_1723 = bits(_T_1718, 3, 0) @[Bitwise.scala 103:46] + node _T_1724 = shl(_T_1723, 4) @[Bitwise.scala 103:65] + node _T_1725 = not(_T_1720) @[Bitwise.scala 103:77] + node _T_1726 = and(_T_1724, _T_1725) @[Bitwise.scala 103:75] + node _T_1727 = or(_T_1722, _T_1726) @[Bitwise.scala 103:39] + node _T_1728 = bits(_T_1720, 5, 0) @[Bitwise.scala 102:28] + node _T_1729 = shl(_T_1728, 2) @[Bitwise.scala 102:47] + node _T_1730 = xor(_T_1720, _T_1729) @[Bitwise.scala 102:21] + node _T_1731 = shr(_T_1727, 2) @[Bitwise.scala 103:21] + node _T_1732 = and(_T_1731, _T_1730) @[Bitwise.scala 103:31] + node _T_1733 = bits(_T_1727, 5, 0) @[Bitwise.scala 103:46] + node _T_1734 = shl(_T_1733, 2) @[Bitwise.scala 103:65] + node _T_1735 = not(_T_1730) @[Bitwise.scala 103:77] + node _T_1736 = and(_T_1734, _T_1735) @[Bitwise.scala 103:75] + node _T_1737 = or(_T_1732, _T_1736) @[Bitwise.scala 103:39] + node _T_1738 = bits(_T_1730, 6, 0) @[Bitwise.scala 102:28] + node _T_1739 = shl(_T_1738, 1) @[Bitwise.scala 102:47] + node _T_1740 = xor(_T_1730, _T_1739) @[Bitwise.scala 102:21] + node _T_1741 = shr(_T_1737, 1) @[Bitwise.scala 103:21] + node _T_1742 = and(_T_1741, _T_1740) @[Bitwise.scala 103:31] + node _T_1743 = bits(_T_1737, 6, 0) @[Bitwise.scala 103:46] + node _T_1744 = shl(_T_1743, 1) @[Bitwise.scala 103:65] + node _T_1745 = not(_T_1740) @[Bitwise.scala 103:77] + node _T_1746 = and(_T_1744, _T_1745) @[Bitwise.scala 103:75] + node _T_1747 = or(_T_1742, _T_1746) @[Bitwise.scala 103:39] + node _T_1748 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1749 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 264:150] + node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1751 = and(_T_1748, _T_1750) @[lsu_dccm_ctl.scala 264:129] + node _T_1752 = bits(_T_1751, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1753 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 264:181] + node _T_1754 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 264:213] + node _T_1755 = mux(_T_1752, _T_1753, _T_1754) @[lsu_dccm_ctl.scala 264:79] + node _T_1756 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1757 = xor(UInt<8>("h0ff"), _T_1756) @[Bitwise.scala 102:21] + node _T_1758 = shr(_T_1755, 4) @[Bitwise.scala 103:21] + node _T_1759 = and(_T_1758, _T_1757) @[Bitwise.scala 103:31] + node _T_1760 = bits(_T_1755, 3, 0) @[Bitwise.scala 103:46] + node _T_1761 = shl(_T_1760, 4) @[Bitwise.scala 103:65] + node _T_1762 = not(_T_1757) @[Bitwise.scala 103:77] + node _T_1763 = and(_T_1761, _T_1762) @[Bitwise.scala 103:75] + node _T_1764 = or(_T_1759, _T_1763) @[Bitwise.scala 103:39] + node _T_1765 = bits(_T_1757, 5, 0) @[Bitwise.scala 102:28] + node _T_1766 = shl(_T_1765, 2) @[Bitwise.scala 102:47] + node _T_1767 = xor(_T_1757, _T_1766) @[Bitwise.scala 102:21] + node _T_1768 = shr(_T_1764, 2) @[Bitwise.scala 103:21] + node _T_1769 = and(_T_1768, _T_1767) @[Bitwise.scala 103:31] + node _T_1770 = bits(_T_1764, 5, 0) @[Bitwise.scala 103:46] + node _T_1771 = shl(_T_1770, 2) @[Bitwise.scala 103:65] + node _T_1772 = not(_T_1767) @[Bitwise.scala 103:77] + node _T_1773 = and(_T_1771, _T_1772) @[Bitwise.scala 103:75] + node _T_1774 = or(_T_1769, _T_1773) @[Bitwise.scala 103:39] + node _T_1775 = bits(_T_1767, 6, 0) @[Bitwise.scala 102:28] + node _T_1776 = shl(_T_1775, 1) @[Bitwise.scala 102:47] + node _T_1777 = xor(_T_1767, _T_1776) @[Bitwise.scala 102:21] + node _T_1778 = shr(_T_1774, 1) @[Bitwise.scala 103:21] + node _T_1779 = and(_T_1778, _T_1777) @[Bitwise.scala 103:31] + node _T_1780 = bits(_T_1774, 6, 0) @[Bitwise.scala 103:46] + node _T_1781 = shl(_T_1780, 1) @[Bitwise.scala 103:65] + node _T_1782 = not(_T_1777) @[Bitwise.scala 103:77] + node _T_1783 = and(_T_1781, _T_1782) @[Bitwise.scala 103:75] + node _T_1784 = or(_T_1779, _T_1783) @[Bitwise.scala 103:39] + wire _T_1785 : UInt<8>[4] @[lsu_dccm_ctl.scala 264:63] + _T_1785[0] <= _T_1673 @[lsu_dccm_ctl.scala 264:63] + _T_1785[1] <= _T_1710 @[lsu_dccm_ctl.scala 264:63] + _T_1785[2] <= _T_1747 @[lsu_dccm_ctl.scala 264:63] + _T_1785[3] <= _T_1784 @[lsu_dccm_ctl.scala 264:63] + node _T_1786 = cat(_T_1785[2], _T_1785[3]) @[Cat.scala 29:58] + node _T_1787 = cat(_T_1785[0], _T_1785[1]) @[Cat.scala 29:58] + node _T_1788 = cat(_T_1787, _T_1786) @[Cat.scala 29:58] + node _T_1789 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1790 = xor(UInt<32>("h0ffffffff"), _T_1789) @[Bitwise.scala 102:21] + node _T_1791 = shr(_T_1788, 16) @[Bitwise.scala 103:21] + node _T_1792 = and(_T_1791, _T_1790) @[Bitwise.scala 103:31] + node _T_1793 = bits(_T_1788, 15, 0) @[Bitwise.scala 103:46] + node _T_1794 = shl(_T_1793, 16) @[Bitwise.scala 103:65] + node _T_1795 = not(_T_1790) @[Bitwise.scala 103:77] + node _T_1796 = and(_T_1794, _T_1795) @[Bitwise.scala 103:75] + node _T_1797 = or(_T_1792, _T_1796) @[Bitwise.scala 103:39] + node _T_1798 = bits(_T_1790, 23, 0) @[Bitwise.scala 102:28] + node _T_1799 = shl(_T_1798, 8) @[Bitwise.scala 102:47] + node _T_1800 = xor(_T_1790, _T_1799) @[Bitwise.scala 102:21] + node _T_1801 = shr(_T_1797, 8) @[Bitwise.scala 103:21] + node _T_1802 = and(_T_1801, _T_1800) @[Bitwise.scala 103:31] + node _T_1803 = bits(_T_1797, 23, 0) @[Bitwise.scala 103:46] + node _T_1804 = shl(_T_1803, 8) @[Bitwise.scala 103:65] + node _T_1805 = not(_T_1800) @[Bitwise.scala 103:77] + node _T_1806 = and(_T_1804, _T_1805) @[Bitwise.scala 103:75] + node _T_1807 = or(_T_1802, _T_1806) @[Bitwise.scala 103:39] + node _T_1808 = bits(_T_1800, 27, 0) @[Bitwise.scala 102:28] + node _T_1809 = shl(_T_1808, 4) @[Bitwise.scala 102:47] + node _T_1810 = xor(_T_1800, _T_1809) @[Bitwise.scala 102:21] + node _T_1811 = shr(_T_1807, 4) @[Bitwise.scala 103:21] + node _T_1812 = and(_T_1811, _T_1810) @[Bitwise.scala 103:31] + node _T_1813 = bits(_T_1807, 27, 0) @[Bitwise.scala 103:46] + node _T_1814 = shl(_T_1813, 4) @[Bitwise.scala 103:65] + node _T_1815 = not(_T_1810) @[Bitwise.scala 103:77] + node _T_1816 = and(_T_1814, _T_1815) @[Bitwise.scala 103:75] + node _T_1817 = or(_T_1812, _T_1816) @[Bitwise.scala 103:39] + node _T_1818 = bits(_T_1810, 29, 0) @[Bitwise.scala 102:28] + node _T_1819 = shl(_T_1818, 2) @[Bitwise.scala 102:47] + node _T_1820 = xor(_T_1810, _T_1819) @[Bitwise.scala 102:21] + node _T_1821 = shr(_T_1817, 2) @[Bitwise.scala 103:21] + node _T_1822 = and(_T_1821, _T_1820) @[Bitwise.scala 103:31] + node _T_1823 = bits(_T_1817, 29, 0) @[Bitwise.scala 103:46] + node _T_1824 = shl(_T_1823, 2) @[Bitwise.scala 103:65] + node _T_1825 = not(_T_1820) @[Bitwise.scala 103:77] + node _T_1826 = and(_T_1824, _T_1825) @[Bitwise.scala 103:75] + node _T_1827 = or(_T_1822, _T_1826) @[Bitwise.scala 103:39] + node _T_1828 = bits(_T_1820, 30, 0) @[Bitwise.scala 102:28] + node _T_1829 = shl(_T_1828, 1) @[Bitwise.scala 102:47] + node _T_1830 = xor(_T_1820, _T_1829) @[Bitwise.scala 102:21] + node _T_1831 = shr(_T_1827, 1) @[Bitwise.scala 103:21] + node _T_1832 = and(_T_1831, _T_1830) @[Bitwise.scala 103:31] + node _T_1833 = bits(_T_1827, 30, 0) @[Bitwise.scala 103:46] + node _T_1834 = shl(_T_1833, 1) @[Bitwise.scala 103:65] + node _T_1835 = not(_T_1830) @[Bitwise.scala 103:77] + node _T_1836 = and(_T_1834, _T_1835) @[Bitwise.scala 103:75] + node _T_1837 = or(_T_1832, _T_1836) @[Bitwise.scala 103:39] + io.store_datafn_hi_r <= _T_1837 @[lsu_dccm_ctl.scala 264:29] + node _T_1838 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 265:55] + node _T_1839 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 265:80] + node _T_1840 = cat(_T_1838, _T_1839) @[Cat.scala 29:58] + node _T_1841 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 265:108] + node _T_1842 = mul(UInt<4>("h08"), _T_1841) @[lsu_dccm_ctl.scala 265:94] + node _T_1843 = dshr(_T_1840, _T_1842) @[lsu_dccm_ctl.scala 265:88] + node _T_1844 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 265:174] + node _T_1845 = bits(_T_1844, 0, 0) @[Bitwise.scala 72:15] + node _T_1846 = mux(_T_1845, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1847 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 265:174] + node _T_1848 = bits(_T_1847, 0, 0) @[Bitwise.scala 72:15] + node _T_1849 = mux(_T_1848, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1850 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 265:174] + node _T_1851 = bits(_T_1850, 0, 0) @[Bitwise.scala 72:15] + node _T_1852 = mux(_T_1851, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1853 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 265:174] + node _T_1854 = bits(_T_1853, 0, 0) @[Bitwise.scala 72:15] + node _T_1855 = mux(_T_1854, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + wire _T_1856 : UInt<8>[4] @[lsu_dccm_ctl.scala 265:148] + _T_1856[0] <= _T_1846 @[lsu_dccm_ctl.scala 265:148] + _T_1856[1] <= _T_1849 @[lsu_dccm_ctl.scala 265:148] + _T_1856[2] <= _T_1852 @[lsu_dccm_ctl.scala 265:148] + _T_1856[3] <= _T_1855 @[lsu_dccm_ctl.scala 265:148] + node _T_1857 = cat(_T_1856[2], _T_1856[3]) @[Cat.scala 29:58] + node _T_1858 = cat(_T_1856[0], _T_1856[1]) @[Cat.scala 29:58] + node _T_1859 = cat(_T_1858, _T_1857) @[Cat.scala 29:58] + node _T_1860 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1861 = xor(UInt<32>("h0ffffffff"), _T_1860) @[Bitwise.scala 102:21] + node _T_1862 = shr(_T_1859, 16) @[Bitwise.scala 103:21] + node _T_1863 = and(_T_1862, _T_1861) @[Bitwise.scala 103:31] + node _T_1864 = bits(_T_1859, 15, 0) @[Bitwise.scala 103:46] + node _T_1865 = shl(_T_1864, 16) @[Bitwise.scala 103:65] + node _T_1866 = not(_T_1861) @[Bitwise.scala 103:77] + node _T_1867 = and(_T_1865, _T_1866) @[Bitwise.scala 103:75] + node _T_1868 = or(_T_1863, _T_1867) @[Bitwise.scala 103:39] + node _T_1869 = bits(_T_1861, 23, 0) @[Bitwise.scala 102:28] + node _T_1870 = shl(_T_1869, 8) @[Bitwise.scala 102:47] + node _T_1871 = xor(_T_1861, _T_1870) @[Bitwise.scala 102:21] + node _T_1872 = shr(_T_1868, 8) @[Bitwise.scala 103:21] + node _T_1873 = and(_T_1872, _T_1871) @[Bitwise.scala 103:31] + node _T_1874 = bits(_T_1868, 23, 0) @[Bitwise.scala 103:46] + node _T_1875 = shl(_T_1874, 8) @[Bitwise.scala 103:65] + node _T_1876 = not(_T_1871) @[Bitwise.scala 103:77] + node _T_1877 = and(_T_1875, _T_1876) @[Bitwise.scala 103:75] + node _T_1878 = or(_T_1873, _T_1877) @[Bitwise.scala 103:39] + node _T_1879 = bits(_T_1871, 27, 0) @[Bitwise.scala 102:28] + node _T_1880 = shl(_T_1879, 4) @[Bitwise.scala 102:47] + node _T_1881 = xor(_T_1871, _T_1880) @[Bitwise.scala 102:21] + node _T_1882 = shr(_T_1878, 4) @[Bitwise.scala 103:21] + node _T_1883 = and(_T_1882, _T_1881) @[Bitwise.scala 103:31] + node _T_1884 = bits(_T_1878, 27, 0) @[Bitwise.scala 103:46] + node _T_1885 = shl(_T_1884, 4) @[Bitwise.scala 103:65] + node _T_1886 = not(_T_1881) @[Bitwise.scala 103:77] + node _T_1887 = and(_T_1885, _T_1886) @[Bitwise.scala 103:75] + node _T_1888 = or(_T_1883, _T_1887) @[Bitwise.scala 103:39] + node _T_1889 = bits(_T_1881, 29, 0) @[Bitwise.scala 102:28] + node _T_1890 = shl(_T_1889, 2) @[Bitwise.scala 102:47] + node _T_1891 = xor(_T_1881, _T_1890) @[Bitwise.scala 102:21] + node _T_1892 = shr(_T_1888, 2) @[Bitwise.scala 103:21] + node _T_1893 = and(_T_1892, _T_1891) @[Bitwise.scala 103:31] + node _T_1894 = bits(_T_1888, 29, 0) @[Bitwise.scala 103:46] + node _T_1895 = shl(_T_1894, 2) @[Bitwise.scala 103:65] + node _T_1896 = not(_T_1891) @[Bitwise.scala 103:77] + node _T_1897 = and(_T_1895, _T_1896) @[Bitwise.scala 103:75] + node _T_1898 = or(_T_1893, _T_1897) @[Bitwise.scala 103:39] + node _T_1899 = bits(_T_1891, 30, 0) @[Bitwise.scala 102:28] + node _T_1900 = shl(_T_1899, 1) @[Bitwise.scala 102:47] + node _T_1901 = xor(_T_1891, _T_1900) @[Bitwise.scala 102:21] + node _T_1902 = shr(_T_1898, 1) @[Bitwise.scala 103:21] + node _T_1903 = and(_T_1902, _T_1901) @[Bitwise.scala 103:31] + node _T_1904 = bits(_T_1898, 30, 0) @[Bitwise.scala 103:46] + node _T_1905 = shl(_T_1904, 1) @[Bitwise.scala 103:65] + node _T_1906 = not(_T_1901) @[Bitwise.scala 103:77] + node _T_1907 = and(_T_1905, _T_1906) @[Bitwise.scala 103:75] + node _T_1908 = or(_T_1903, _T_1907) @[Bitwise.scala 103:39] + node _T_1909 = and(_T_1843, _T_1908) @[lsu_dccm_ctl.scala 265:115] + io.store_data_r <= _T_1909 @[lsu_dccm_ctl.scala 265:29] + node _T_1910 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48] + io.dccm_rdata_lo_m <= _T_1910 @[lsu_dccm_ctl.scala 267:27] + node _T_1911 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48] + io.dccm_rdata_hi_m <= _T_1911 @[lsu_dccm_ctl.scala 268:27] + node _T_1912 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48] + io.dccm_data_ecc_lo_m <= _T_1912 @[lsu_dccm_ctl.scala 269:27] + node _T_1913 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48] + io.dccm_data_ecc_hi_m <= _T_1913 @[lsu_dccm_ctl.scala 270:27] + node _T_1914 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58] + node _T_1915 = and(_T_1914, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84] + node _T_1916 = and(_T_1915, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103] + node _T_1917 = or(_T_1916, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122] + io.lsu_pic.picm_wren <= _T_1917 @[lsu_dccm_ctl.scala 272:35] + node _T_1918 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58] + node _T_1919 = and(_T_1918, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84] + io.lsu_pic.picm_rden <= _T_1919 @[lsu_dccm_ctl.scala 273:35] + node _T_1920 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58] + node _T_1921 = and(_T_1920, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84] + io.lsu_pic.picm_mken <= _T_1921 @[lsu_dccm_ctl.scala 274:35] + node _T_1922 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1923 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103] + node _T_1924 = cat(_T_1922, _T_1923) @[Cat.scala 29:58] + node _T_1925 = or(UInt<32>("h0f00c0000"), _T_1924) @[lsu_dccm_ctl.scala 275:62] + io.lsu_pic.picm_rdaddr <= _T_1925 @[lsu_dccm_ctl.scala 275:35] + node _T_1926 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1927 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109] + node _T_1928 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144] + node _T_1929 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172] + node _T_1930 = mux(_T_1927, _T_1928, _T_1929) @[lsu_dccm_ctl.scala 276:93] + node _T_1931 = cat(_T_1926, _T_1930) @[Cat.scala 29:58] + node _T_1932 = or(UInt<32>("h0f00c0000"), _T_1931) @[lsu_dccm_ctl.scala 276:62] + io.lsu_pic.picm_wraddr <= _T_1932 @[lsu_dccm_ctl.scala 276:35] + node _T_1933 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44] + io.picm_mask_data_m <= _T_1933 @[lsu_dccm_ctl.scala 277:27] + node _T_1934 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57] + node _T_1935 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93] + node _T_1936 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120] + node _T_1937 = mux(_T_1934, _T_1935, _T_1936) @[lsu_dccm_ctl.scala 278:41] + io.lsu_pic.picm_wr_data <= _T_1937 @[lsu_dccm_ctl.scala 278:35] + reg _T_1938 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61] + _T_1938 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61] + io.lsu_dccm_rden_m <= _T_1938 @[lsu_dccm_ctl.scala 280:24] + reg _T_1939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61] + _T_1939 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61] + io.lsu_dccm_rden_r <= _T_1939 @[lsu_dccm_ctl.scala 281:24] + reg _T_1940 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73] + _T_1940 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73] + lsu_double_ecc_error_r_ff <= _T_1940 @[lsu_dccm_ctl.scala 282:33] + reg _T_1941 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73] + _T_1941 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73] + ld_single_ecc_error_hi_r_ff <= _T_1941 @[lsu_dccm_ctl.scala 283:33] + reg _T_1942 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73] + _T_1942 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73] + ld_single_ecc_error_lo_r_ff <= _T_1942 @[lsu_dccm_ctl.scala 284:33] + node _T_1943 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48] + node _T_1944 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90] + node _T_1945 = bits(_T_1944, 0, 0) @[lib.scala 8:44] + node _T_1946 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128] + inst rvclkhdr_2 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_1945 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= _T_1946 @[lib.scala 372:24] + reg _T_1947 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1947 <= _T_1943 @[lib.scala 374:16] + ld_sec_addr_hi_r_ff <= _T_1947 @[lsu_dccm_ctl.scala 285:25] + node _T_1948 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48] + node _T_1949 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90] + node _T_1950 = bits(_T_1949, 0, 0) @[lib.scala 8:44] + node _T_1951 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128] + inst rvclkhdr_3 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_1950 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= _T_1951 @[lib.scala 372:24] + reg _T_1952 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1952 <= _T_1948 @[lib.scala 374:16] + ld_sec_addr_lo_r_ff <= _T_1952 @[lsu_dccm_ctl.scala 286:25] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_stbuf : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_stbuf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip store_stbuf_reqvld_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip dec_lsu_valid_raw_d : UInt<1>, flip store_data_hi_r : UInt<32>, flip store_data_lo_r : UInt<32>, flip store_datafn_hi_r : UInt<32>, flip store_datafn_lo_r : UInt<32>, flip lsu_stbuf_commit_any : UInt<1>, flip lsu_addr_d : UInt<16>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_cmpen_m : UInt<1>, flip scan_mode : UInt<1>, stbuf_reqvld_any : UInt<1>, stbuf_reqvld_flushed_any : UInt<1>, stbuf_addr_any : UInt<16>, stbuf_data_any : UInt<32>, lsu_stbuf_full_any : UInt<1>, lsu_stbuf_empty_any : UInt<1>, ldst_stbuf_reqvld_r : UInt<1>, stbuf_fwddata_hi_m : UInt<32>, stbuf_fwddata_lo_m : UInt<32>, stbuf_fwdbyteen_hi_m : UInt<4>, stbuf_fwdbyteen_lo_m : UInt<4>} + + io.stbuf_reqvld_any <= UInt<1>("h00") @[lsu_stbuf.scala 51:47] + io.stbuf_reqvld_flushed_any <= UInt<1>("h00") @[lsu_stbuf.scala 52:35] + io.stbuf_addr_any <= UInt<1>("h00") @[lsu_stbuf.scala 53:35] + io.stbuf_data_any <= UInt<1>("h00") @[lsu_stbuf.scala 54:35] + io.lsu_stbuf_full_any <= UInt<1>("h00") @[lsu_stbuf.scala 55:43] + io.lsu_stbuf_empty_any <= UInt<1>("h00") @[lsu_stbuf.scala 56:43] + io.ldst_stbuf_reqvld_r <= UInt<1>("h00") @[lsu_stbuf.scala 57:43] + io.stbuf_fwddata_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 58:43] + io.stbuf_fwddata_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 59:43] + io.stbuf_fwdbyteen_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 60:37] + io.stbuf_fwdbyteen_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 61:37] + wire stbuf_vld : UInt<4> + stbuf_vld <= UInt<1>("h00") + wire stbuf_wr_en : UInt<4> + stbuf_wr_en <= UInt<1>("h00") + wire stbuf_dma_kill_en : UInt<4> + stbuf_dma_kill_en <= UInt<1>("h00") + wire stbuf_dma_kill : UInt<4> + stbuf_dma_kill <= UInt<1>("h00") + wire stbuf_reset : UInt<4> + stbuf_reset <= UInt<1>("h00") + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + wire stbuf_addr : UInt<16>[4] @[lsu_stbuf.scala 70:38] + stbuf_addr[0] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[1] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[2] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[3] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + wire stbuf_byteen : UInt<4>[4] @[lsu_stbuf.scala 72:38] + stbuf_byteen[0] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[1] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[2] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[3] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + wire stbuf_data : UInt<32>[4] @[lsu_stbuf.scala 74:38] + stbuf_data[0] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[1] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[2] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[3] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + wire stbuf_addrin : UInt<16>[4] @[lsu_stbuf.scala 76:38] + stbuf_addrin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + wire stbuf_datain : UInt<32>[4] @[lsu_stbuf.scala 78:38] + stbuf_datain[0] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[1] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[2] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[3] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + wire stbuf_byteenin : UInt<4>[4] @[lsu_stbuf.scala 80:38] + stbuf_byteenin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + wire WrPtr : UInt<2> + WrPtr <= UInt<1>("h00") + wire RdPtr : UInt<2> + RdPtr <= UInt<1>("h00") + wire cmpaddr_hi_m : UInt<16> + cmpaddr_hi_m <= UInt<16>("h00") + wire stbuf_specvld_m : UInt<2> + stbuf_specvld_m <= UInt<2>("h00") + wire stbuf_specvld_r : UInt<2> + stbuf_specvld_r <= UInt<2>("h00") + wire cmpaddr_lo_m : UInt<16> + cmpaddr_lo_m <= UInt<16>("h00") + wire stbuf_fwdata_hi_pre_m : UInt<32> + stbuf_fwdata_hi_pre_m <= UInt<1>("h00") + wire stbuf_fwdata_lo_pre_m : UInt<32> + stbuf_fwdata_lo_pre_m <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire datain1 : UInt<8>[4] @[lsu_stbuf.scala 103:33] + wire datain2 : UInt<8>[4] @[lsu_stbuf.scala 104:33] + wire datain3 : UInt<8>[4] @[lsu_stbuf.scala 105:33] + wire datain4 : UInt<8>[4] @[lsu_stbuf.scala 106:33] + node _T = bits(io.lsu_pkt_r.bits.by, 0, 0) @[lsu_stbuf.scala 110:26] + node _T_1 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[lsu_stbuf.scala 111:28] + node _T_2 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[lsu_stbuf.scala 112:28] + node _T_3 = bits(io.lsu_pkt_r.bits.dword, 0, 0) @[lsu_stbuf.scala 113:29] + node _T_4 = mux(_T, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_1, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = mux(_T_2, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_7 = mux(_T_3, UInt<8>("h0ff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_8 = or(_T_4, _T_5) @[Mux.scala 27:72] + node _T_9 = or(_T_8, _T_6) @[Mux.scala 27:72] + node _T_10 = or(_T_9, _T_7) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<8> @[Mux.scala 27:72] + ldst_byteen_r <= _T_10 @[Mux.scala 27:72] + node dual_stbuf_write_r = and(io.ldst_dual_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 115:43] + node _T_11 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 117:55] + node _T_12 = dshl(ldst_byteen_r, _T_11) @[lsu_stbuf.scala 117:39] + store_byteen_ext_r <= _T_12 @[lsu_stbuf.scala 117:22] + node _T_13 = bits(store_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 118:46] + node _T_14 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_15 = mux(_T_14, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_hi_r = and(_T_13, _T_15) @[lsu_stbuf.scala 118:52] + node _T_16 = bits(store_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 119:46] + node _T_17 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_18 = mux(_T_17, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_lo_r = and(_T_16, _T_18) @[lsu_stbuf.scala 119:52] + node _T_19 = add(RdPtr, UInt<1>("h01")) @[lsu_stbuf.scala 121:26] + node RdPtrPlus1 = tail(_T_19, 1) @[lsu_stbuf.scala 121:26] + node _T_20 = add(WrPtr, UInt<1>("h01")) @[lsu_stbuf.scala 122:26] + node WrPtrPlus1 = tail(_T_20, 1) @[lsu_stbuf.scala 122:26] + node _T_21 = add(WrPtr, UInt<2>("h02")) @[lsu_stbuf.scala 123:26] + node WrPtrPlus2 = tail(_T_21, 1) @[lsu_stbuf.scala 123:26] + node _T_22 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_stbuf.scala 125:46] + node _T_23 = and(_T_22, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 125:71] + io.ldst_stbuf_reqvld_r <= _T_23 @[lsu_stbuf.scala 125:26] + node _T_24 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_25 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_26 = eq(_T_24, _T_25) @[lsu_stbuf.scala 127:120] + node _T_27 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 127:191] + node _T_28 = and(_T_26, _T_27) @[lsu_stbuf.scala 127:179] + node _T_29 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 127:212] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_31 = and(_T_28, _T_30) @[lsu_stbuf.scala 127:195] + node _T_32 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 127:230] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_34 = and(_T_31, _T_33) @[lsu_stbuf.scala 127:216] + node _T_35 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_36 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_37 = eq(_T_35, _T_36) @[lsu_stbuf.scala 127:120] + node _T_38 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 127:191] + node _T_39 = and(_T_37, _T_38) @[lsu_stbuf.scala 127:179] + node _T_40 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 127:212] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_42 = and(_T_39, _T_41) @[lsu_stbuf.scala 127:195] + node _T_43 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 127:230] + node _T_44 = eq(_T_43, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_45 = and(_T_42, _T_44) @[lsu_stbuf.scala 127:216] + node _T_46 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_47 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_48 = eq(_T_46, _T_47) @[lsu_stbuf.scala 127:120] + node _T_49 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 127:191] + node _T_50 = and(_T_48, _T_49) @[lsu_stbuf.scala 127:179] + node _T_51 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 127:212] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_53 = and(_T_50, _T_52) @[lsu_stbuf.scala 127:195] + node _T_54 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 127:230] + node _T_55 = eq(_T_54, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_56 = and(_T_53, _T_55) @[lsu_stbuf.scala 127:216] + node _T_57 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_58 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_59 = eq(_T_57, _T_58) @[lsu_stbuf.scala 127:120] + node _T_60 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 127:191] + node _T_61 = and(_T_59, _T_60) @[lsu_stbuf.scala 127:179] + node _T_62 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 127:212] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_64 = and(_T_61, _T_63) @[lsu_stbuf.scala 127:195] + node _T_65 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 127:230] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_67 = and(_T_64, _T_66) @[lsu_stbuf.scala 127:216] + node _T_68 = cat(_T_67, _T_56) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_45) @[Cat.scala 29:58] + node store_matchvec_lo_r = cat(_T_69, _T_34) @[Cat.scala 29:58] + node _T_70 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_71 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_72 = eq(_T_70, _T_71) @[lsu_stbuf.scala 128:120] + node _T_73 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 128:190] + node _T_74 = and(_T_72, _T_73) @[lsu_stbuf.scala 128:179] + node _T_75 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 128:211] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_77 = and(_T_74, _T_76) @[lsu_stbuf.scala 128:194] + node _T_78 = and(_T_77, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_79 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 128:250] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_81 = and(_T_78, _T_80) @[lsu_stbuf.scala 128:236] + node _T_82 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_83 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_84 = eq(_T_82, _T_83) @[lsu_stbuf.scala 128:120] + node _T_85 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 128:190] + node _T_86 = and(_T_84, _T_85) @[lsu_stbuf.scala 128:179] + node _T_87 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 128:211] + node _T_88 = eq(_T_87, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_89 = and(_T_86, _T_88) @[lsu_stbuf.scala 128:194] + node _T_90 = and(_T_89, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_91 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 128:250] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_93 = and(_T_90, _T_92) @[lsu_stbuf.scala 128:236] + node _T_94 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_95 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_96 = eq(_T_94, _T_95) @[lsu_stbuf.scala 128:120] + node _T_97 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 128:190] + node _T_98 = and(_T_96, _T_97) @[lsu_stbuf.scala 128:179] + node _T_99 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 128:211] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_101 = and(_T_98, _T_100) @[lsu_stbuf.scala 128:194] + node _T_102 = and(_T_101, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_103 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 128:250] + node _T_104 = eq(_T_103, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_105 = and(_T_102, _T_104) @[lsu_stbuf.scala 128:236] + node _T_106 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_107 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_108 = eq(_T_106, _T_107) @[lsu_stbuf.scala 128:120] + node _T_109 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 128:190] + node _T_110 = and(_T_108, _T_109) @[lsu_stbuf.scala 128:179] + node _T_111 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 128:211] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_113 = and(_T_110, _T_112) @[lsu_stbuf.scala 128:194] + node _T_114 = and(_T_113, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_115 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 128:250] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_117 = and(_T_114, _T_116) @[lsu_stbuf.scala 128:236] + node _T_118 = cat(_T_117, _T_105) @[Cat.scala 29:58] + node _T_119 = cat(_T_118, _T_93) @[Cat.scala 29:58] + node store_matchvec_hi_r = cat(_T_119, _T_81) @[Cat.scala 29:58] + node store_coalesce_lo_r = orr(store_matchvec_lo_r) @[lsu_stbuf.scala 130:49] + node store_coalesce_hi_r = orr(store_matchvec_hi_r) @[lsu_stbuf.scala 131:49] + node _T_120 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_121 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_122 = and(_T_120, _T_121) @[lsu_stbuf.scala 134:29] + node _T_123 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_124 = and(_T_123, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_125 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_126 = and(_T_124, _T_125) @[lsu_stbuf.scala 135:52] + node _T_127 = or(_T_122, _T_126) @[lsu_stbuf.scala 134:53] + node _T_128 = eq(UInt<1>("h00"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_129 = and(_T_128, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_130 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_131 = eq(_T_130, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_132 = and(_T_129, _T_131) @[lsu_stbuf.scala 136:57] + node _T_133 = or(_T_127, _T_132) @[lsu_stbuf.scala 135:76] + node _T_134 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 137:28] + node _T_135 = or(_T_133, _T_134) @[lsu_stbuf.scala 136:105] + node _T_136 = bits(store_matchvec_hi_r, 0, 0) @[lsu_stbuf.scala 137:53] + node _T_137 = or(_T_135, _T_136) @[lsu_stbuf.scala 137:32] + node _T_138 = and(io.ldst_stbuf_reqvld_r, _T_137) @[lsu_stbuf.scala 133:79] + node _T_139 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_140 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_141 = and(_T_139, _T_140) @[lsu_stbuf.scala 134:29] + node _T_142 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_143 = and(_T_142, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_144 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_145 = and(_T_143, _T_144) @[lsu_stbuf.scala 135:52] + node _T_146 = or(_T_141, _T_145) @[lsu_stbuf.scala 134:53] + node _T_147 = eq(UInt<1>("h01"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_148 = and(_T_147, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_149 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_151 = and(_T_148, _T_150) @[lsu_stbuf.scala 136:57] + node _T_152 = or(_T_146, _T_151) @[lsu_stbuf.scala 135:76] + node _T_153 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 137:28] + node _T_154 = or(_T_152, _T_153) @[lsu_stbuf.scala 136:105] + node _T_155 = bits(store_matchvec_hi_r, 1, 1) @[lsu_stbuf.scala 137:53] + node _T_156 = or(_T_154, _T_155) @[lsu_stbuf.scala 137:32] + node _T_157 = and(io.ldst_stbuf_reqvld_r, _T_156) @[lsu_stbuf.scala 133:79] + node _T_158 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_159 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_160 = and(_T_158, _T_159) @[lsu_stbuf.scala 134:29] + node _T_161 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_162 = and(_T_161, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_163 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_164 = and(_T_162, _T_163) @[lsu_stbuf.scala 135:52] + node _T_165 = or(_T_160, _T_164) @[lsu_stbuf.scala 134:53] + node _T_166 = eq(UInt<2>("h02"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_167 = and(_T_166, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_168 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_170 = and(_T_167, _T_169) @[lsu_stbuf.scala 136:57] + node _T_171 = or(_T_165, _T_170) @[lsu_stbuf.scala 135:76] + node _T_172 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 137:28] + node _T_173 = or(_T_171, _T_172) @[lsu_stbuf.scala 136:105] + node _T_174 = bits(store_matchvec_hi_r, 2, 2) @[lsu_stbuf.scala 137:53] + node _T_175 = or(_T_173, _T_174) @[lsu_stbuf.scala 137:32] + node _T_176 = and(io.ldst_stbuf_reqvld_r, _T_175) @[lsu_stbuf.scala 133:79] + node _T_177 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_178 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_179 = and(_T_177, _T_178) @[lsu_stbuf.scala 134:29] + node _T_180 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_181 = and(_T_180, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_182 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_183 = and(_T_181, _T_182) @[lsu_stbuf.scala 135:52] + node _T_184 = or(_T_179, _T_183) @[lsu_stbuf.scala 134:53] + node _T_185 = eq(UInt<2>("h03"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_186 = and(_T_185, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_187 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_188 = eq(_T_187, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_189 = and(_T_186, _T_188) @[lsu_stbuf.scala 136:57] + node _T_190 = or(_T_184, _T_189) @[lsu_stbuf.scala 135:76] + node _T_191 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 137:28] + node _T_192 = or(_T_190, _T_191) @[lsu_stbuf.scala 136:105] + node _T_193 = bits(store_matchvec_hi_r, 3, 3) @[lsu_stbuf.scala 137:53] + node _T_194 = or(_T_192, _T_193) @[lsu_stbuf.scala 137:32] + node _T_195 = and(io.ldst_stbuf_reqvld_r, _T_194) @[lsu_stbuf.scala 133:79] + node _T_196 = cat(_T_195, _T_176) @[Cat.scala 29:58] + node _T_197 = cat(_T_196, _T_157) @[Cat.scala 29:58] + node _T_198 = cat(_T_197, _T_138) @[Cat.scala 29:58] + stbuf_wr_en <= _T_198 @[lsu_stbuf.scala 133:17] + node _T_199 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_200 = eq(UInt<1>("h00"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_201 = bits(_T_200, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_202 = and(_T_199, _T_201) @[lsu_stbuf.scala 138:112] + node _T_203 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_204 = eq(UInt<1>("h01"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_205 = bits(_T_204, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_206 = and(_T_203, _T_205) @[lsu_stbuf.scala 138:112] + node _T_207 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_208 = eq(UInt<2>("h02"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_209 = bits(_T_208, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_210 = and(_T_207, _T_209) @[lsu_stbuf.scala 138:112] + node _T_211 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_212 = eq(UInt<2>("h03"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_213 = bits(_T_212, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_214 = and(_T_211, _T_213) @[lsu_stbuf.scala 138:112] + node _T_215 = cat(_T_214, _T_210) @[Cat.scala 29:58] + node _T_216 = cat(_T_215, _T_206) @[Cat.scala 29:58] + node _T_217 = cat(_T_216, _T_202) @[Cat.scala 29:58] + stbuf_reset <= _T_217 @[lsu_stbuf.scala 138:17] + node _T_218 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_219 = or(_T_218, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_220 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_221 = bits(_T_220, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_222 = and(_T_219, _T_221) @[lsu_stbuf.scala 139:99] + node _T_223 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_224 = and(_T_222, _T_223) @[lsu_stbuf.scala 139:129] + node _T_225 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 139:174] + node _T_226 = or(_T_224, _T_225) @[lsu_stbuf.scala 139:153] + node _T_227 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_228 = or(_T_227, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_229 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_230 = bits(_T_229, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_231 = and(_T_228, _T_230) @[lsu_stbuf.scala 139:99] + node _T_232 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_233 = and(_T_231, _T_232) @[lsu_stbuf.scala 139:129] + node _T_234 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 139:174] + node _T_235 = or(_T_233, _T_234) @[lsu_stbuf.scala 139:153] + node _T_236 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_237 = or(_T_236, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_238 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_239 = bits(_T_238, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_240 = and(_T_237, _T_239) @[lsu_stbuf.scala 139:99] + node _T_241 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_242 = and(_T_240, _T_241) @[lsu_stbuf.scala 139:129] + node _T_243 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 139:174] + node _T_244 = or(_T_242, _T_243) @[lsu_stbuf.scala 139:153] + node _T_245 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_246 = or(_T_245, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_247 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_248 = bits(_T_247, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_249 = and(_T_246, _T_248) @[lsu_stbuf.scala 139:99] + node _T_250 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_251 = and(_T_249, _T_250) @[lsu_stbuf.scala 139:129] + node _T_252 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 139:174] + node _T_253 = or(_T_251, _T_252) @[lsu_stbuf.scala 139:153] + node _T_254 = cat(_T_253, _T_244) @[Cat.scala 29:58] + node _T_255 = cat(_T_254, _T_235) @[Cat.scala 29:58] + node sel_lo = cat(_T_255, _T_226) @[Cat.scala 29:58] + node _T_256 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 141:66] + node _T_257 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_258 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_259 = mux(_T_256, _T_257, _T_258) @[lsu_stbuf.scala 141:59] + node _T_260 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 141:66] + node _T_261 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_262 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_263 = mux(_T_260, _T_261, _T_262) @[lsu_stbuf.scala 141:59] + node _T_264 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 141:66] + node _T_265 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_266 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_267 = mux(_T_264, _T_265, _T_266) @[lsu_stbuf.scala 141:59] + node _T_268 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 141:66] + node _T_269 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_270 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_271 = mux(_T_268, _T_269, _T_270) @[lsu_stbuf.scala 141:59] + stbuf_addrin[0] <= _T_259 @[lsu_stbuf.scala 141:18] + stbuf_addrin[1] <= _T_263 @[lsu_stbuf.scala 141:18] + stbuf_addrin[2] <= _T_267 @[lsu_stbuf.scala 141:18] + stbuf_addrin[3] <= _T_271 @[lsu_stbuf.scala 141:18] + node _T_272 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 142:68] + node _T_273 = or(stbuf_byteen[0], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_274 = or(stbuf_byteen[0], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_275 = mux(_T_272, _T_273, _T_274) @[lsu_stbuf.scala 142:61] + node _T_276 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 142:68] + node _T_277 = or(stbuf_byteen[1], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_278 = or(stbuf_byteen[1], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_279 = mux(_T_276, _T_277, _T_278) @[lsu_stbuf.scala 142:61] + node _T_280 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 142:68] + node _T_281 = or(stbuf_byteen[2], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_282 = or(stbuf_byteen[2], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_283 = mux(_T_280, _T_281, _T_282) @[lsu_stbuf.scala 142:61] + node _T_284 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 142:68] + node _T_285 = or(stbuf_byteen[3], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_286 = or(stbuf_byteen[3], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_287 = mux(_T_284, _T_285, _T_286) @[lsu_stbuf.scala 142:61] + stbuf_byteenin[0] <= _T_275 @[lsu_stbuf.scala 142:20] + stbuf_byteenin[1] <= _T_279 @[lsu_stbuf.scala 142:20] + stbuf_byteenin[2] <= _T_283 @[lsu_stbuf.scala 142:20] + stbuf_byteenin[3] <= _T_287 @[lsu_stbuf.scala 142:20] + node _T_288 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 144:61] + node _T_289 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_290 = eq(_T_289, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_291 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_292 = or(_T_290, _T_291) @[lsu_stbuf.scala 144:90] + node _T_293 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_294 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_295 = mux(_T_292, _T_293, _T_294) @[lsu_stbuf.scala 144:69] + node _T_296 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_297 = eq(_T_296, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_298 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_299 = or(_T_297, _T_298) @[lsu_stbuf.scala 145:31] + node _T_300 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_301 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_302 = mux(_T_299, _T_300, _T_301) @[lsu_stbuf.scala 145:10] + node _T_303 = mux(_T_288, _T_295, _T_302) @[lsu_stbuf.scala 144:54] + node _T_304 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 144:61] + node _T_305 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_307 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_308 = or(_T_306, _T_307) @[lsu_stbuf.scala 144:90] + node _T_309 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_310 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_311 = mux(_T_308, _T_309, _T_310) @[lsu_stbuf.scala 144:69] + node _T_312 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_314 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_315 = or(_T_313, _T_314) @[lsu_stbuf.scala 145:31] + node _T_316 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_317 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_318 = mux(_T_315, _T_316, _T_317) @[lsu_stbuf.scala 145:10] + node _T_319 = mux(_T_304, _T_311, _T_318) @[lsu_stbuf.scala 144:54] + node _T_320 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 144:61] + node _T_321 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_323 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_324 = or(_T_322, _T_323) @[lsu_stbuf.scala 144:90] + node _T_325 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_326 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_327 = mux(_T_324, _T_325, _T_326) @[lsu_stbuf.scala 144:69] + node _T_328 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_330 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_331 = or(_T_329, _T_330) @[lsu_stbuf.scala 145:31] + node _T_332 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_333 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_334 = mux(_T_331, _T_332, _T_333) @[lsu_stbuf.scala 145:10] + node _T_335 = mux(_T_320, _T_327, _T_334) @[lsu_stbuf.scala 144:54] + node _T_336 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 144:61] + node _T_337 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_339 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_340 = or(_T_338, _T_339) @[lsu_stbuf.scala 144:90] + node _T_341 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_342 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_343 = mux(_T_340, _T_341, _T_342) @[lsu_stbuf.scala 144:69] + node _T_344 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_346 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_347 = or(_T_345, _T_346) @[lsu_stbuf.scala 145:31] + node _T_348 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_349 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_350 = mux(_T_347, _T_348, _T_349) @[lsu_stbuf.scala 145:10] + node _T_351 = mux(_T_336, _T_343, _T_350) @[lsu_stbuf.scala 144:54] + datain1[0] <= _T_303 @[lsu_stbuf.scala 144:13] + datain1[1] <= _T_319 @[lsu_stbuf.scala 144:13] + datain1[2] <= _T_335 @[lsu_stbuf.scala 144:13] + datain1[3] <= _T_351 @[lsu_stbuf.scala 144:13] + node _T_352 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 147:61] + node _T_353 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_355 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_356 = or(_T_354, _T_355) @[lsu_stbuf.scala 147:90] + node _T_357 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_358 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_359 = mux(_T_356, _T_357, _T_358) @[lsu_stbuf.scala 147:69] + node _T_360 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_362 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_363 = or(_T_361, _T_362) @[lsu_stbuf.scala 148:31] + node _T_364 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_365 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_366 = mux(_T_363, _T_364, _T_365) @[lsu_stbuf.scala 148:10] + node _T_367 = mux(_T_352, _T_359, _T_366) @[lsu_stbuf.scala 147:54] + node _T_368 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 147:61] + node _T_369 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_371 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_372 = or(_T_370, _T_371) @[lsu_stbuf.scala 147:90] + node _T_373 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_374 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_375 = mux(_T_372, _T_373, _T_374) @[lsu_stbuf.scala 147:69] + node _T_376 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_378 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_379 = or(_T_377, _T_378) @[lsu_stbuf.scala 148:31] + node _T_380 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_381 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_382 = mux(_T_379, _T_380, _T_381) @[lsu_stbuf.scala 148:10] + node _T_383 = mux(_T_368, _T_375, _T_382) @[lsu_stbuf.scala 147:54] + node _T_384 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 147:61] + node _T_385 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_387 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_388 = or(_T_386, _T_387) @[lsu_stbuf.scala 147:90] + node _T_389 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_390 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_391 = mux(_T_388, _T_389, _T_390) @[lsu_stbuf.scala 147:69] + node _T_392 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_393 = eq(_T_392, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_394 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_395 = or(_T_393, _T_394) @[lsu_stbuf.scala 148:31] + node _T_396 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_397 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_398 = mux(_T_395, _T_396, _T_397) @[lsu_stbuf.scala 148:10] + node _T_399 = mux(_T_384, _T_391, _T_398) @[lsu_stbuf.scala 147:54] + node _T_400 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 147:61] + node _T_401 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_403 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_404 = or(_T_402, _T_403) @[lsu_stbuf.scala 147:90] + node _T_405 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_406 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_407 = mux(_T_404, _T_405, _T_406) @[lsu_stbuf.scala 147:69] + node _T_408 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_409 = eq(_T_408, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_410 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_411 = or(_T_409, _T_410) @[lsu_stbuf.scala 148:31] + node _T_412 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_413 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_414 = mux(_T_411, _T_412, _T_413) @[lsu_stbuf.scala 148:10] + node _T_415 = mux(_T_400, _T_407, _T_414) @[lsu_stbuf.scala 147:54] + datain2[0] <= _T_367 @[lsu_stbuf.scala 147:13] + datain2[1] <= _T_383 @[lsu_stbuf.scala 147:13] + datain2[2] <= _T_399 @[lsu_stbuf.scala 147:13] + datain2[3] <= _T_415 @[lsu_stbuf.scala 147:13] + node _T_416 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 150:61] + node _T_417 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_419 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_420 = or(_T_418, _T_419) @[lsu_stbuf.scala 150:90] + node _T_421 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_422 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_423 = mux(_T_420, _T_421, _T_422) @[lsu_stbuf.scala 150:69] + node _T_424 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_425 = eq(_T_424, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_426 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_427 = or(_T_425, _T_426) @[lsu_stbuf.scala 151:31] + node _T_428 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_429 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_430 = mux(_T_427, _T_428, _T_429) @[lsu_stbuf.scala 151:10] + node _T_431 = mux(_T_416, _T_423, _T_430) @[lsu_stbuf.scala 150:54] + node _T_432 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 150:61] + node _T_433 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_434 = eq(_T_433, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_435 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_436 = or(_T_434, _T_435) @[lsu_stbuf.scala 150:90] + node _T_437 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_438 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_439 = mux(_T_436, _T_437, _T_438) @[lsu_stbuf.scala 150:69] + node _T_440 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_441 = eq(_T_440, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_442 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_443 = or(_T_441, _T_442) @[lsu_stbuf.scala 151:31] + node _T_444 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_445 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_446 = mux(_T_443, _T_444, _T_445) @[lsu_stbuf.scala 151:10] + node _T_447 = mux(_T_432, _T_439, _T_446) @[lsu_stbuf.scala 150:54] + node _T_448 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 150:61] + node _T_449 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_450 = eq(_T_449, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_451 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_452 = or(_T_450, _T_451) @[lsu_stbuf.scala 150:90] + node _T_453 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_454 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_455 = mux(_T_452, _T_453, _T_454) @[lsu_stbuf.scala 150:69] + node _T_456 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_457 = eq(_T_456, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_458 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_459 = or(_T_457, _T_458) @[lsu_stbuf.scala 151:31] + node _T_460 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_461 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_462 = mux(_T_459, _T_460, _T_461) @[lsu_stbuf.scala 151:10] + node _T_463 = mux(_T_448, _T_455, _T_462) @[lsu_stbuf.scala 150:54] + node _T_464 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 150:61] + node _T_465 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_467 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_468 = or(_T_466, _T_467) @[lsu_stbuf.scala 150:90] + node _T_469 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_470 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_471 = mux(_T_468, _T_469, _T_470) @[lsu_stbuf.scala 150:69] + node _T_472 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_474 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_475 = or(_T_473, _T_474) @[lsu_stbuf.scala 151:31] + node _T_476 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_477 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_478 = mux(_T_475, _T_476, _T_477) @[lsu_stbuf.scala 151:10] + node _T_479 = mux(_T_464, _T_471, _T_478) @[lsu_stbuf.scala 150:54] + datain3[0] <= _T_431 @[lsu_stbuf.scala 150:13] + datain3[1] <= _T_447 @[lsu_stbuf.scala 150:13] + datain3[2] <= _T_463 @[lsu_stbuf.scala 150:13] + datain3[3] <= _T_479 @[lsu_stbuf.scala 150:13] + node _T_480 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 153:61] + node _T_481 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_482 = eq(_T_481, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_483 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_484 = or(_T_482, _T_483) @[lsu_stbuf.scala 153:90] + node _T_485 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_486 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_487 = mux(_T_484, _T_485, _T_486) @[lsu_stbuf.scala 153:69] + node _T_488 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_490 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_491 = or(_T_489, _T_490) @[lsu_stbuf.scala 154:31] + node _T_492 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_493 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_494 = mux(_T_491, _T_492, _T_493) @[lsu_stbuf.scala 154:10] + node _T_495 = mux(_T_480, _T_487, _T_494) @[lsu_stbuf.scala 153:54] + node _T_496 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 153:61] + node _T_497 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_498 = eq(_T_497, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_499 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_500 = or(_T_498, _T_499) @[lsu_stbuf.scala 153:90] + node _T_501 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_502 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_503 = mux(_T_500, _T_501, _T_502) @[lsu_stbuf.scala 153:69] + node _T_504 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_506 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_507 = or(_T_505, _T_506) @[lsu_stbuf.scala 154:31] + node _T_508 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_509 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_510 = mux(_T_507, _T_508, _T_509) @[lsu_stbuf.scala 154:10] + node _T_511 = mux(_T_496, _T_503, _T_510) @[lsu_stbuf.scala 153:54] + node _T_512 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 153:61] + node _T_513 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_515 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_516 = or(_T_514, _T_515) @[lsu_stbuf.scala 153:90] + node _T_517 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_518 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_519 = mux(_T_516, _T_517, _T_518) @[lsu_stbuf.scala 153:69] + node _T_520 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_521 = eq(_T_520, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_522 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_523 = or(_T_521, _T_522) @[lsu_stbuf.scala 154:31] + node _T_524 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_525 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_526 = mux(_T_523, _T_524, _T_525) @[lsu_stbuf.scala 154:10] + node _T_527 = mux(_T_512, _T_519, _T_526) @[lsu_stbuf.scala 153:54] + node _T_528 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 153:61] + node _T_529 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_530 = eq(_T_529, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_531 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_532 = or(_T_530, _T_531) @[lsu_stbuf.scala 153:90] + node _T_533 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_534 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_535 = mux(_T_532, _T_533, _T_534) @[lsu_stbuf.scala 153:69] + node _T_536 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_537 = eq(_T_536, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_538 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_539 = or(_T_537, _T_538) @[lsu_stbuf.scala 154:31] + node _T_540 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_541 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_542 = mux(_T_539, _T_540, _T_541) @[lsu_stbuf.scala 154:10] + node _T_543 = mux(_T_528, _T_535, _T_542) @[lsu_stbuf.scala 153:54] + datain4[0] <= _T_495 @[lsu_stbuf.scala 153:13] + datain4[1] <= _T_511 @[lsu_stbuf.scala 153:13] + datain4[2] <= _T_527 @[lsu_stbuf.scala 153:13] + datain4[3] <= _T_543 @[lsu_stbuf.scala 153:13] + node _T_544 = cat(datain2[0], datain1[0]) @[Cat.scala 29:58] + node _T_545 = cat(datain4[0], datain3[0]) @[Cat.scala 29:58] + node _T_546 = cat(_T_545, _T_544) @[Cat.scala 29:58] + node _T_547 = cat(datain2[1], datain1[1]) @[Cat.scala 29:58] + node _T_548 = cat(datain4[1], datain3[1]) @[Cat.scala 29:58] + node _T_549 = cat(_T_548, _T_547) @[Cat.scala 29:58] + node _T_550 = cat(datain2[2], datain1[2]) @[Cat.scala 29:58] + node _T_551 = cat(datain4[2], datain3[2]) @[Cat.scala 29:58] + node _T_552 = cat(_T_551, _T_550) @[Cat.scala 29:58] + node _T_553 = cat(datain2[3], datain1[3]) @[Cat.scala 29:58] + node _T_554 = cat(datain4[3], datain3[3]) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_553) @[Cat.scala 29:58] + stbuf_datain[0] <= _T_546 @[lsu_stbuf.scala 156:18] + stbuf_datain[1] <= _T_549 @[lsu_stbuf.scala 156:18] + stbuf_datain[2] <= _T_552 @[lsu_stbuf.scala 156:18] + stbuf_datain[3] <= _T_555 @[lsu_stbuf.scala 156:18] + node _T_556 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 160:30] + node _T_557 = bits(_T_556, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_558 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 160:58] + node _T_559 = mux(_T_557, UInt<1>("h01"), _T_558) @[lsu_stbuf.scala 160:18] + node _T_560 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 160:77] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_562 = and(_T_559, _T_561) @[lsu_stbuf.scala 160:63] + reg _T_563 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_563 <= _T_562 @[lsu_stbuf.scala 160:14] + node _T_564 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 160:30] + node _T_565 = bits(_T_564, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_566 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 160:58] + node _T_567 = mux(_T_565, UInt<1>("h01"), _T_566) @[lsu_stbuf.scala 160:18] + node _T_568 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 160:77] + node _T_569 = eq(_T_568, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_570 = and(_T_567, _T_569) @[lsu_stbuf.scala 160:63] + reg _T_571 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_571 <= _T_570 @[lsu_stbuf.scala 160:14] + node _T_572 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 160:30] + node _T_573 = bits(_T_572, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_574 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 160:58] + node _T_575 = mux(_T_573, UInt<1>("h01"), _T_574) @[lsu_stbuf.scala 160:18] + node _T_576 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 160:77] + node _T_577 = eq(_T_576, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_578 = and(_T_575, _T_577) @[lsu_stbuf.scala 160:63] + reg _T_579 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_579 <= _T_578 @[lsu_stbuf.scala 160:14] + node _T_580 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 160:30] + node _T_581 = bits(_T_580, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_582 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 160:58] + node _T_583 = mux(_T_581, UInt<1>("h01"), _T_582) @[lsu_stbuf.scala 160:18] + node _T_584 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 160:77] + node _T_585 = eq(_T_584, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_586 = and(_T_583, _T_585) @[lsu_stbuf.scala 160:63] + reg _T_587 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_587 <= _T_586 @[lsu_stbuf.scala 160:14] + node _T_588 = cat(_T_587, _T_579) @[Cat.scala 29:58] + node _T_589 = cat(_T_588, _T_571) @[Cat.scala 29:58] + node _T_590 = cat(_T_589, _T_563) @[Cat.scala 29:58] + stbuf_vld <= _T_590 @[lsu_stbuf.scala 159:15] + node _T_591 = bits(stbuf_dma_kill_en, 0, 0) @[lsu_stbuf.scala 163:36] + node _T_592 = bits(_T_591, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_593 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 163:67] + node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[lsu_stbuf.scala 163:18] + node _T_595 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 163:86] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_597 = and(_T_594, _T_596) @[lsu_stbuf.scala 163:72] + reg _T_598 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_598 <= _T_597 @[lsu_stbuf.scala 163:14] + node _T_599 = bits(stbuf_dma_kill_en, 1, 1) @[lsu_stbuf.scala 163:36] + node _T_600 = bits(_T_599, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_601 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 163:67] + node _T_602 = mux(_T_600, UInt<1>("h01"), _T_601) @[lsu_stbuf.scala 163:18] + node _T_603 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 163:86] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_605 = and(_T_602, _T_604) @[lsu_stbuf.scala 163:72] + reg _T_606 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_606 <= _T_605 @[lsu_stbuf.scala 163:14] + node _T_607 = bits(stbuf_dma_kill_en, 2, 2) @[lsu_stbuf.scala 163:36] + node _T_608 = bits(_T_607, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_609 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 163:67] + node _T_610 = mux(_T_608, UInt<1>("h01"), _T_609) @[lsu_stbuf.scala 163:18] + node _T_611 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 163:86] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_613 = and(_T_610, _T_612) @[lsu_stbuf.scala 163:72] + reg _T_614 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_614 <= _T_613 @[lsu_stbuf.scala 163:14] + node _T_615 = bits(stbuf_dma_kill_en, 3, 3) @[lsu_stbuf.scala 163:36] + node _T_616 = bits(_T_615, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_617 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 163:67] + node _T_618 = mux(_T_616, UInt<1>("h01"), _T_617) @[lsu_stbuf.scala 163:18] + node _T_619 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 163:86] + node _T_620 = eq(_T_619, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_621 = and(_T_618, _T_620) @[lsu_stbuf.scala 163:72] + reg _T_622 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_622 <= _T_621 @[lsu_stbuf.scala 163:14] + node _T_623 = cat(_T_622, _T_614) @[Cat.scala 29:58] + node _T_624 = cat(_T_623, _T_606) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_598) @[Cat.scala 29:58] + stbuf_dma_kill <= _T_625 @[lsu_stbuf.scala 162:20] + node _T_626 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 166:30] + node _T_627 = bits(_T_626, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_628 = mux(_T_627, stbuf_byteenin[0], stbuf_byteen[0]) @[lsu_stbuf.scala 166:18] + node _T_629 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 166:127] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_633 = and(_T_628, _T_632) @[lsu_stbuf.scala 166:80] + reg _T_634 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_634 <= _T_633 @[lsu_stbuf.scala 166:14] + node _T_635 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 166:30] + node _T_636 = bits(_T_635, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_637 = mux(_T_636, stbuf_byteenin[1], stbuf_byteen[1]) @[lsu_stbuf.scala 166:18] + node _T_638 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 166:127] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] + node _T_641 = mux(_T_640, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_642 = and(_T_637, _T_641) @[lsu_stbuf.scala 166:80] + reg _T_643 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_643 <= _T_642 @[lsu_stbuf.scala 166:14] + node _T_644 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 166:30] + node _T_645 = bits(_T_644, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_646 = mux(_T_645, stbuf_byteenin[2], stbuf_byteen[2]) @[lsu_stbuf.scala 166:18] + node _T_647 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 166:127] + node _T_648 = eq(_T_647, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_649 = bits(_T_648, 0, 0) @[Bitwise.scala 72:15] + node _T_650 = mux(_T_649, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_651 = and(_T_646, _T_650) @[lsu_stbuf.scala 166:80] + reg _T_652 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_652 <= _T_651 @[lsu_stbuf.scala 166:14] + node _T_653 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 166:30] + node _T_654 = bits(_T_653, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_655 = mux(_T_654, stbuf_byteenin[3], stbuf_byteen[3]) @[lsu_stbuf.scala 166:18] + node _T_656 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 166:127] + node _T_657 = eq(_T_656, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] + node _T_659 = mux(_T_658, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_660 = and(_T_655, _T_659) @[lsu_stbuf.scala 166:80] + reg _T_661 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_661 <= _T_660 @[lsu_stbuf.scala 166:14] + stbuf_byteen[0] <= _T_634 @[lsu_stbuf.scala 165:18] + stbuf_byteen[1] <= _T_643 @[lsu_stbuf.scala 165:18] + stbuf_byteen[2] <= _T_652 @[lsu_stbuf.scala 165:18] + stbuf_byteen[3] <= _T_661 @[lsu_stbuf.scala 165:18] + node _T_662 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 169:59] + node _T_663 = bits(_T_662, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_663 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_664 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_664 <= stbuf_addrin[0] @[lib.scala 374:16] + stbuf_addr[0] <= _T_664 @[lsu_stbuf.scala 169:21] + node _T_665 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 170:59] + node _T_666 = bits(_T_665, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_1 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_666 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_667 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_667 <= stbuf_datain[0] @[lib.scala 374:16] + stbuf_data[0] <= _T_667 @[lsu_stbuf.scala 170:21] + node _T_668 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 169:59] + node _T_669 = bits(_T_668, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr_2 of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_669 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_670 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_670 <= stbuf_addrin[1] @[lib.scala 374:16] + stbuf_addr[1] <= _T_670 @[lsu_stbuf.scala 169:21] + node _T_671 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 170:59] + node _T_672 = bits(_T_671, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_3 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_672 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_673 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_673 <= stbuf_datain[1] @[lib.scala 374:16] + stbuf_data[1] <= _T_673 @[lsu_stbuf.scala 170:21] + node _T_674 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 169:59] + node _T_675 = bits(_T_674, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr_4 of rvclkhdr_12 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_675 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_676 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_676 <= stbuf_addrin[2] @[lib.scala 374:16] + stbuf_addr[2] <= _T_676 @[lsu_stbuf.scala 169:21] + node _T_677 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 170:59] + node _T_678 = bits(_T_677, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_5 of rvclkhdr_13 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_678 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_679 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_679 <= stbuf_datain[2] @[lib.scala 374:16] + stbuf_data[2] <= _T_679 @[lsu_stbuf.scala 170:21] + node _T_680 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 169:59] + node _T_681 = bits(_T_680, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr_6 of rvclkhdr_14 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_681 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_682 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_682 <= stbuf_addrin[3] @[lib.scala 374:16] + stbuf_addr[3] <= _T_682 @[lsu_stbuf.scala 169:21] + node _T_683 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 170:59] + node _T_684 = bits(_T_683, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_7 of rvclkhdr_15 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_684 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_685 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_685 <= stbuf_datain[3] @[lib.scala 374:16] + stbuf_data[3] <= _T_685 @[lsu_stbuf.scala 170:21] + node _T_686 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 183:43] + node _T_687 = bits(_T_686, 0, 0) @[lsu_stbuf.scala 183:43] + node _T_688 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 183:67] + node _T_689 = bits(_T_688, 0, 0) @[lsu_stbuf.scala 183:67] + node _T_690 = and(_T_687, _T_689) @[lsu_stbuf.scala 183:51] + io.stbuf_reqvld_flushed_any <= _T_690 @[lsu_stbuf.scala 183:31] + node _T_691 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 184:36] + node _T_692 = bits(_T_691, 0, 0) @[lsu_stbuf.scala 184:36] + node _T_693 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 184:61] + node _T_694 = bits(_T_693, 0, 0) @[lsu_stbuf.scala 184:61] + node _T_695 = eq(_T_694, UInt<1>("h00")) @[lsu_stbuf.scala 184:46] + node _T_696 = and(_T_692, _T_695) @[lsu_stbuf.scala 184:44] + node _T_697 = orr(stbuf_dma_kill_en) @[lsu_stbuf.scala 184:91] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[lsu_stbuf.scala 184:71] + node _T_699 = and(_T_696, _T_698) @[lsu_stbuf.scala 184:69] + io.stbuf_reqvld_any <= _T_699 @[lsu_stbuf.scala 184:24] + io.stbuf_addr_any <= stbuf_addr[RdPtr] @[lsu_stbuf.scala 185:22] + io.stbuf_data_any <= stbuf_data[RdPtr] @[lsu_stbuf.scala 186:22] + node _T_700 = eq(dual_stbuf_write_r, UInt<1>("h00")) @[lsu_stbuf.scala 188:44] + node _T_701 = and(io.ldst_stbuf_reqvld_r, _T_700) @[lsu_stbuf.scala 188:42] + node _T_702 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 188:88] + node _T_703 = eq(_T_702, UInt<1>("h00")) @[lsu_stbuf.scala 188:66] + node _T_704 = and(_T_701, _T_703) @[lsu_stbuf.scala 188:64] + node _T_705 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 189:30] + node _T_706 = and(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 189:76] + node _T_707 = eq(_T_706, UInt<1>("h00")) @[lsu_stbuf.scala 189:54] + node _T_708 = and(_T_705, _T_707) @[lsu_stbuf.scala 189:52] + node _T_709 = or(_T_704, _T_708) @[lsu_stbuf.scala 188:113] + node WrPtrEn = bits(_T_709, 0, 0) @[lsu_stbuf.scala 189:101] + node _T_710 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 190:46] + node _T_711 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 190:91] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[lsu_stbuf.scala 190:69] + node _T_713 = and(_T_710, _T_712) @[lsu_stbuf.scala 190:67] + node _T_714 = bits(_T_713, 0, 0) @[lsu_stbuf.scala 190:115] + node NxtWrPtr = mux(_T_714, WrPtrPlus2, WrPtrPlus1) @[lsu_stbuf.scala 190:21] + node RdPtrEn = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 191:42] + reg _T_715 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when WrPtrEn : @[Reg.scala 28:19] + _T_715 <= NxtWrPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + WrPtr <= _T_715 @[lsu_stbuf.scala 194:41] + reg _T_716 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when RdPtrEn : @[Reg.scala 28:19] + _T_716 <= RdPtrPlus1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + RdPtr <= _T_716 @[lsu_stbuf.scala 195:41] + node _T_717 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 197:86] + node _T_718 = cat(UInt<3>("h00"), _T_717) @[Cat.scala 29:58] + node _T_719 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 197:86] + node _T_720 = cat(UInt<3>("h00"), _T_719) @[Cat.scala 29:58] + node _T_721 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 197:86] + node _T_722 = cat(UInt<3>("h00"), _T_721) @[Cat.scala 29:58] + node _T_723 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 197:86] + node _T_724 = cat(UInt<3>("h00"), _T_723) @[Cat.scala 29:58] + wire _T_725 : UInt<4>[4] @[lsu_stbuf.scala 197:59] + _T_725[0] <= _T_718 @[lsu_stbuf.scala 197:59] + _T_725[1] <= _T_720 @[lsu_stbuf.scala 197:59] + _T_725[2] <= _T_722 @[lsu_stbuf.scala 197:59] + _T_725[3] <= _T_724 @[lsu_stbuf.scala 197:59] + node _T_726 = add(_T_725[0], _T_725[1]) @[lsu_stbuf.scala 197:101] + node _T_727 = tail(_T_726, 1) @[lsu_stbuf.scala 197:101] + node _T_728 = add(_T_727, _T_725[2]) @[lsu_stbuf.scala 197:101] + node _T_729 = tail(_T_728, 1) @[lsu_stbuf.scala 197:101] + node _T_730 = add(_T_729, _T_725[3]) @[lsu_stbuf.scala 197:101] + node stbuf_numvld_any = tail(_T_730, 1) @[lsu_stbuf.scala 197:101] + node _T_731 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 198:39] + node _T_732 = and(_T_731, io.addr_in_dccm_m) @[lsu_stbuf.scala 198:65] + node _T_733 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 198:87] + node isdccmst_m = and(_T_732, _T_733) @[lsu_stbuf.scala 198:85] + node _T_734 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 199:39] + node _T_735 = and(_T_734, io.addr_in_dccm_r) @[lsu_stbuf.scala 199:65] + node _T_736 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 199:87] + node isdccmst_r = and(_T_735, _T_736) @[lsu_stbuf.scala 199:85] + node _T_737 = cat(UInt<1>("h00"), isdccmst_m) @[Cat.scala 29:58] + node _T_738 = and(isdccmst_m, io.ldst_dual_m) @[lsu_stbuf.scala 201:62] + node _T_739 = dshl(_T_737, _T_738) @[lsu_stbuf.scala 201:47] + stbuf_specvld_m <= _T_739 @[lsu_stbuf.scala 201:19] + node _T_740 = cat(UInt<1>("h00"), isdccmst_r) @[Cat.scala 29:58] + node _T_741 = and(isdccmst_r, io.ldst_dual_r) @[lsu_stbuf.scala 202:62] + node _T_742 = dshl(_T_740, _T_741) @[lsu_stbuf.scala 202:47] + stbuf_specvld_r <= _T_742 @[lsu_stbuf.scala 202:19] + node _T_743 = cat(UInt<2>("h00"), stbuf_specvld_m) @[Cat.scala 29:58] + node _T_744 = add(stbuf_numvld_any, _T_743) @[lsu_stbuf.scala 203:44] + node _T_745 = tail(_T_744, 1) @[lsu_stbuf.scala 203:44] + node _T_746 = cat(UInt<2>("h00"), stbuf_specvld_r) @[Cat.scala 29:58] + node _T_747 = add(_T_745, _T_746) @[lsu_stbuf.scala 203:78] + node stbuf_specvld_any = tail(_T_747, 1) @[lsu_stbuf.scala 203:78] + node _T_748 = eq(io.ldst_dual_d, UInt<1>("h00")) @[lsu_stbuf.scala 205:34] + node _T_749 = and(_T_748, io.dec_lsu_valid_raw_d) @[lsu_stbuf.scala 205:50] + node _T_750 = bits(_T_749, 0, 0) @[lsu_stbuf.scala 205:76] + node _T_751 = geq(stbuf_specvld_any, UInt<3>("h04")) @[lsu_stbuf.scala 205:102] + node _T_752 = geq(stbuf_specvld_any, UInt<2>("h03")) @[lsu_stbuf.scala 205:143] + node _T_753 = mux(_T_750, _T_751, _T_752) @[lsu_stbuf.scala 205:32] + io.lsu_stbuf_full_any <= _T_753 @[lsu_stbuf.scala 205:26] + node _T_754 = eq(stbuf_numvld_any, UInt<1>("h00")) @[lsu_stbuf.scala 206:46] + io.lsu_stbuf_empty_any <= _T_754 @[lsu_stbuf.scala 206:26] + node _T_755 = bits(io.end_addr_m, 15, 2) @[lsu_stbuf.scala 208:32] + cmpaddr_hi_m <= _T_755 @[lsu_stbuf.scala 208:16] + node _T_756 = bits(io.lsu_addr_m, 15, 2) @[lsu_stbuf.scala 209:33] + cmpaddr_lo_m <= _T_756 @[lsu_stbuf.scala 209:17] + node _T_757 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_758 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_759 = eq(_T_757, _T_758) @[lsu_stbuf.scala 212:115] + node _T_760 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:150] + node _T_761 = and(_T_759, _T_760) @[lsu_stbuf.scala 212:139] + node _T_762 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 212:171] + node _T_763 = eq(_T_762, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_764 = and(_T_761, _T_763) @[lsu_stbuf.scala 212:154] + node _T_765 = and(_T_764, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_766 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_767 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_768 = eq(_T_766, _T_767) @[lsu_stbuf.scala 212:115] + node _T_769 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:150] + node _T_770 = and(_T_768, _T_769) @[lsu_stbuf.scala 212:139] + node _T_771 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 212:171] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_773 = and(_T_770, _T_772) @[lsu_stbuf.scala 212:154] + node _T_774 = and(_T_773, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_775 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_776 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_777 = eq(_T_775, _T_776) @[lsu_stbuf.scala 212:115] + node _T_778 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:150] + node _T_779 = and(_T_777, _T_778) @[lsu_stbuf.scala 212:139] + node _T_780 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 212:171] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_782 = and(_T_779, _T_781) @[lsu_stbuf.scala 212:154] + node _T_783 = and(_T_782, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_784 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_785 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_786 = eq(_T_784, _T_785) @[lsu_stbuf.scala 212:115] + node _T_787 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:150] + node _T_788 = and(_T_786, _T_787) @[lsu_stbuf.scala 212:139] + node _T_789 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 212:171] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_791 = and(_T_788, _T_790) @[lsu_stbuf.scala 212:154] + node _T_792 = and(_T_791, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_793 = cat(_T_792, _T_783) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, _T_774) @[Cat.scala 29:58] + node stbuf_match_hi = cat(_T_794, _T_765) @[Cat.scala 29:58] + node _T_795 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_796 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_797 = eq(_T_795, _T_796) @[lsu_stbuf.scala 213:115] + node _T_798 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 213:150] + node _T_799 = and(_T_797, _T_798) @[lsu_stbuf.scala 213:139] + node _T_800 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 213:171] + node _T_801 = eq(_T_800, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_802 = and(_T_799, _T_801) @[lsu_stbuf.scala 213:154] + node _T_803 = and(_T_802, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_804 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_805 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_806 = eq(_T_804, _T_805) @[lsu_stbuf.scala 213:115] + node _T_807 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 213:150] + node _T_808 = and(_T_806, _T_807) @[lsu_stbuf.scala 213:139] + node _T_809 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 213:171] + node _T_810 = eq(_T_809, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_811 = and(_T_808, _T_810) @[lsu_stbuf.scala 213:154] + node _T_812 = and(_T_811, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_813 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_814 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_815 = eq(_T_813, _T_814) @[lsu_stbuf.scala 213:115] + node _T_816 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 213:150] + node _T_817 = and(_T_815, _T_816) @[lsu_stbuf.scala 213:139] + node _T_818 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 213:171] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_820 = and(_T_817, _T_819) @[lsu_stbuf.scala 213:154] + node _T_821 = and(_T_820, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_822 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_823 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_824 = eq(_T_822, _T_823) @[lsu_stbuf.scala 213:115] + node _T_825 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 213:150] + node _T_826 = and(_T_824, _T_825) @[lsu_stbuf.scala 213:139] + node _T_827 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 213:171] + node _T_828 = eq(_T_827, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_829 = and(_T_826, _T_828) @[lsu_stbuf.scala 213:154] + node _T_830 = and(_T_829, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_831 = cat(_T_830, _T_821) @[Cat.scala 29:58] + node _T_832 = cat(_T_831, _T_812) @[Cat.scala 29:58] + node stbuf_match_lo = cat(_T_832, _T_803) @[Cat.scala 29:58] + node _T_833 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 214:74] + node _T_834 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 214:94] + node _T_835 = or(_T_833, _T_834) @[lsu_stbuf.scala 214:78] + node _T_836 = and(_T_835, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_837 = and(_T_836, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_838 = and(_T_837, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_839 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 214:74] + node _T_840 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 214:94] + node _T_841 = or(_T_839, _T_840) @[lsu_stbuf.scala 214:78] + node _T_842 = and(_T_841, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_843 = and(_T_842, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_844 = and(_T_843, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_845 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 214:74] + node _T_846 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 214:94] + node _T_847 = or(_T_845, _T_846) @[lsu_stbuf.scala 214:78] + node _T_848 = and(_T_847, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_849 = and(_T_848, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_850 = and(_T_849, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_851 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 214:74] + node _T_852 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 214:94] + node _T_853 = or(_T_851, _T_852) @[lsu_stbuf.scala 214:78] + node _T_854 = and(_T_853, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_855 = and(_T_854, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_856 = and(_T_855, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_857 = cat(_T_856, _T_850) @[Cat.scala 29:58] + node _T_858 = cat(_T_857, _T_844) @[Cat.scala 29:58] + node _T_859 = cat(_T_858, _T_838) @[Cat.scala 29:58] + stbuf_dma_kill_en <= _T_859 @[lsu_stbuf.scala 214:21] + node _T_860 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_861 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_862 = and(_T_860, _T_861) @[lsu_stbuf.scala 217:116] + node _T_863 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_0 = and(_T_862, _T_863) @[lsu_stbuf.scala 217:137] + node _T_864 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_865 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_866 = and(_T_864, _T_865) @[lsu_stbuf.scala 217:116] + node _T_867 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_1 = and(_T_866, _T_867) @[lsu_stbuf.scala 217:137] + node _T_868 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_869 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_870 = and(_T_868, _T_869) @[lsu_stbuf.scala 217:116] + node _T_871 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_2 = and(_T_870, _T_871) @[lsu_stbuf.scala 217:137] + node _T_872 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_873 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_874 = and(_T_872, _T_873) @[lsu_stbuf.scala 217:116] + node _T_875 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_3 = and(_T_874, _T_875) @[lsu_stbuf.scala 217:137] + node _T_876 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_877 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_878 = and(_T_876, _T_877) @[lsu_stbuf.scala 217:116] + node _T_879 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_0 = and(_T_878, _T_879) @[lsu_stbuf.scala 217:137] + node _T_880 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_881 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_882 = and(_T_880, _T_881) @[lsu_stbuf.scala 217:116] + node _T_883 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_1 = and(_T_882, _T_883) @[lsu_stbuf.scala 217:137] + node _T_884 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_885 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_886 = and(_T_884, _T_885) @[lsu_stbuf.scala 217:116] + node _T_887 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_2 = and(_T_886, _T_887) @[lsu_stbuf.scala 217:137] + node _T_888 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_889 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_890 = and(_T_888, _T_889) @[lsu_stbuf.scala 217:116] + node _T_891 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_3 = and(_T_890, _T_891) @[lsu_stbuf.scala 217:137] + node _T_892 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_893 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_894 = and(_T_892, _T_893) @[lsu_stbuf.scala 217:116] + node _T_895 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_0 = and(_T_894, _T_895) @[lsu_stbuf.scala 217:137] + node _T_896 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_897 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_898 = and(_T_896, _T_897) @[lsu_stbuf.scala 217:116] + node _T_899 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_1 = and(_T_898, _T_899) @[lsu_stbuf.scala 217:137] + node _T_900 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_901 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_902 = and(_T_900, _T_901) @[lsu_stbuf.scala 217:116] + node _T_903 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_2 = and(_T_902, _T_903) @[lsu_stbuf.scala 217:137] + node _T_904 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_905 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_906 = and(_T_904, _T_905) @[lsu_stbuf.scala 217:116] + node _T_907 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_3 = and(_T_906, _T_907) @[lsu_stbuf.scala 217:137] + node _T_908 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_909 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_910 = and(_T_908, _T_909) @[lsu_stbuf.scala 217:116] + node _T_911 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_0 = and(_T_910, _T_911) @[lsu_stbuf.scala 217:137] + node _T_912 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_913 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_914 = and(_T_912, _T_913) @[lsu_stbuf.scala 217:116] + node _T_915 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_1 = and(_T_914, _T_915) @[lsu_stbuf.scala 217:137] + node _T_916 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_917 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_918 = and(_T_916, _T_917) @[lsu_stbuf.scala 217:116] + node _T_919 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_2 = and(_T_918, _T_919) @[lsu_stbuf.scala 217:137] + node _T_920 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_921 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_922 = and(_T_920, _T_921) @[lsu_stbuf.scala 217:116] + node _T_923 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_3 = and(_T_922, _T_923) @[lsu_stbuf.scala 217:137] + node _T_924 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_925 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_926 = and(_T_924, _T_925) @[lsu_stbuf.scala 218:116] + node _T_927 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_0 = and(_T_926, _T_927) @[lsu_stbuf.scala 218:137] + node _T_928 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_929 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_930 = and(_T_928, _T_929) @[lsu_stbuf.scala 218:116] + node _T_931 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_1 = and(_T_930, _T_931) @[lsu_stbuf.scala 218:137] + node _T_932 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_933 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_934 = and(_T_932, _T_933) @[lsu_stbuf.scala 218:116] + node _T_935 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_2 = and(_T_934, _T_935) @[lsu_stbuf.scala 218:137] + node _T_936 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_937 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_938 = and(_T_936, _T_937) @[lsu_stbuf.scala 218:116] + node _T_939 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_3 = and(_T_938, _T_939) @[lsu_stbuf.scala 218:137] + node _T_940 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_941 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_942 = and(_T_940, _T_941) @[lsu_stbuf.scala 218:116] + node _T_943 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_0 = and(_T_942, _T_943) @[lsu_stbuf.scala 218:137] + node _T_944 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_945 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_946 = and(_T_944, _T_945) @[lsu_stbuf.scala 218:116] + node _T_947 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_1 = and(_T_946, _T_947) @[lsu_stbuf.scala 218:137] + node _T_948 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_949 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_950 = and(_T_948, _T_949) @[lsu_stbuf.scala 218:116] + node _T_951 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_2 = and(_T_950, _T_951) @[lsu_stbuf.scala 218:137] + node _T_952 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_953 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_954 = and(_T_952, _T_953) @[lsu_stbuf.scala 218:116] + node _T_955 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_3 = and(_T_954, _T_955) @[lsu_stbuf.scala 218:137] + node _T_956 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_957 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_958 = and(_T_956, _T_957) @[lsu_stbuf.scala 218:116] + node _T_959 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_0 = and(_T_958, _T_959) @[lsu_stbuf.scala 218:137] + node _T_960 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_961 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_962 = and(_T_960, _T_961) @[lsu_stbuf.scala 218:116] + node _T_963 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_1 = and(_T_962, _T_963) @[lsu_stbuf.scala 218:137] + node _T_964 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_965 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_966 = and(_T_964, _T_965) @[lsu_stbuf.scala 218:116] + node _T_967 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_2 = and(_T_966, _T_967) @[lsu_stbuf.scala 218:137] + node _T_968 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_969 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_970 = and(_T_968, _T_969) @[lsu_stbuf.scala 218:116] + node _T_971 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_3 = and(_T_970, _T_971) @[lsu_stbuf.scala 218:137] + node _T_972 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_973 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_974 = and(_T_972, _T_973) @[lsu_stbuf.scala 218:116] + node _T_975 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_0 = and(_T_974, _T_975) @[lsu_stbuf.scala 218:137] + node _T_976 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_977 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_978 = and(_T_976, _T_977) @[lsu_stbuf.scala 218:116] + node _T_979 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_1 = and(_T_978, _T_979) @[lsu_stbuf.scala 218:137] + node _T_980 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_981 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_982 = and(_T_980, _T_981) @[lsu_stbuf.scala 218:116] + node _T_983 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_2 = and(_T_982, _T_983) @[lsu_stbuf.scala 218:137] + node _T_984 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_985 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_986 = and(_T_984, _T_985) @[lsu_stbuf.scala 218:116] + node _T_987 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_3 = and(_T_986, _T_987) @[lsu_stbuf.scala 218:137] + node _T_988 = or(stbuf_fwdbyteenvec_hi_0_0, stbuf_fwdbyteenvec_hi_1_0) @[lsu_stbuf.scala 219:147] + node _T_989 = or(_T_988, stbuf_fwdbyteenvec_hi_2_0) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_0 = or(_T_989, stbuf_fwdbyteenvec_hi_3_0) @[lsu_stbuf.scala 219:147] + node _T_990 = or(stbuf_fwdbyteenvec_hi_0_1, stbuf_fwdbyteenvec_hi_1_1) @[lsu_stbuf.scala 219:147] + node _T_991 = or(_T_990, stbuf_fwdbyteenvec_hi_2_1) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_1 = or(_T_991, stbuf_fwdbyteenvec_hi_3_1) @[lsu_stbuf.scala 219:147] + node _T_992 = or(stbuf_fwdbyteenvec_hi_0_2, stbuf_fwdbyteenvec_hi_1_2) @[lsu_stbuf.scala 219:147] + node _T_993 = or(_T_992, stbuf_fwdbyteenvec_hi_2_2) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_2 = or(_T_993, stbuf_fwdbyteenvec_hi_3_2) @[lsu_stbuf.scala 219:147] + node _T_994 = or(stbuf_fwdbyteenvec_hi_0_3, stbuf_fwdbyteenvec_hi_1_3) @[lsu_stbuf.scala 219:147] + node _T_995 = or(_T_994, stbuf_fwdbyteenvec_hi_2_3) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_3 = or(_T_995, stbuf_fwdbyteenvec_hi_3_3) @[lsu_stbuf.scala 219:147] + node _T_996 = or(stbuf_fwdbyteenvec_lo_0_0, stbuf_fwdbyteenvec_lo_1_0) @[lsu_stbuf.scala 220:147] + node _T_997 = or(_T_996, stbuf_fwdbyteenvec_lo_2_0) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_0 = or(_T_997, stbuf_fwdbyteenvec_lo_3_0) @[lsu_stbuf.scala 220:147] + node _T_998 = or(stbuf_fwdbyteenvec_lo_0_1, stbuf_fwdbyteenvec_lo_1_1) @[lsu_stbuf.scala 220:147] + node _T_999 = or(_T_998, stbuf_fwdbyteenvec_lo_2_1) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_1 = or(_T_999, stbuf_fwdbyteenvec_lo_3_1) @[lsu_stbuf.scala 220:147] + node _T_1000 = or(stbuf_fwdbyteenvec_lo_0_2, stbuf_fwdbyteenvec_lo_1_2) @[lsu_stbuf.scala 220:147] + node _T_1001 = or(_T_1000, stbuf_fwdbyteenvec_lo_2_2) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_2 = or(_T_1001, stbuf_fwdbyteenvec_lo_3_2) @[lsu_stbuf.scala 220:147] + node _T_1002 = or(stbuf_fwdbyteenvec_lo_0_3, stbuf_fwdbyteenvec_lo_1_3) @[lsu_stbuf.scala 220:147] + node _T_1003 = or(_T_1002, stbuf_fwdbyteenvec_lo_2_3) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_3 = or(_T_1003, stbuf_fwdbyteenvec_lo_3_3) @[lsu_stbuf.scala 220:147] + node _T_1004 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 222:92] + node _T_1005 = bits(_T_1004, 0, 0) @[Bitwise.scala 72:15] + node _T_1006 = mux(_T_1005, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1007 = and(_T_1006, stbuf_data[0]) @[lsu_stbuf.scala 222:97] + node _T_1008 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 222:92] + node _T_1009 = bits(_T_1008, 0, 0) @[Bitwise.scala 72:15] + node _T_1010 = mux(_T_1009, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1011 = and(_T_1010, stbuf_data[1]) @[lsu_stbuf.scala 222:97] + node _T_1012 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 222:92] + node _T_1013 = bits(_T_1012, 0, 0) @[Bitwise.scala 72:15] + node _T_1014 = mux(_T_1013, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1015 = and(_T_1014, stbuf_data[2]) @[lsu_stbuf.scala 222:97] + node _T_1016 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 222:92] + node _T_1017 = bits(_T_1016, 0, 0) @[Bitwise.scala 72:15] + node _T_1018 = mux(_T_1017, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1019 = and(_T_1018, stbuf_data[3]) @[lsu_stbuf.scala 222:97] + wire _T_1020 : UInt<32>[4] @[lsu_stbuf.scala 222:65] + _T_1020[0] <= _T_1007 @[lsu_stbuf.scala 222:65] + _T_1020[1] <= _T_1011 @[lsu_stbuf.scala 222:65] + _T_1020[2] <= _T_1015 @[lsu_stbuf.scala 222:65] + _T_1020[3] <= _T_1019 @[lsu_stbuf.scala 222:65] + node _T_1021 = or(_T_1020[3], _T_1020[2]) @[lsu_stbuf.scala 222:130] + node _T_1022 = or(_T_1021, _T_1020[1]) @[lsu_stbuf.scala 222:130] + node stbuf_fwddata_hi_pre_m = or(_T_1022, _T_1020[0]) @[lsu_stbuf.scala 222:130] + node _T_1023 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 223:92] + node _T_1024 = bits(_T_1023, 0, 0) @[Bitwise.scala 72:15] + node _T_1025 = mux(_T_1024, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1026 = and(_T_1025, stbuf_data[0]) @[lsu_stbuf.scala 223:97] + node _T_1027 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 223:92] + node _T_1028 = bits(_T_1027, 0, 0) @[Bitwise.scala 72:15] + node _T_1029 = mux(_T_1028, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1030 = and(_T_1029, stbuf_data[1]) @[lsu_stbuf.scala 223:97] + node _T_1031 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 223:92] + node _T_1032 = bits(_T_1031, 0, 0) @[Bitwise.scala 72:15] + node _T_1033 = mux(_T_1032, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1034 = and(_T_1033, stbuf_data[2]) @[lsu_stbuf.scala 223:97] + node _T_1035 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 223:92] + node _T_1036 = bits(_T_1035, 0, 0) @[Bitwise.scala 72:15] + node _T_1037 = mux(_T_1036, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1038 = and(_T_1037, stbuf_data[3]) @[lsu_stbuf.scala 223:97] + wire _T_1039 : UInt<32>[4] @[lsu_stbuf.scala 223:65] + _T_1039[0] <= _T_1026 @[lsu_stbuf.scala 223:65] + _T_1039[1] <= _T_1030 @[lsu_stbuf.scala 223:65] + _T_1039[2] <= _T_1034 @[lsu_stbuf.scala 223:65] + _T_1039[3] <= _T_1038 @[lsu_stbuf.scala 223:65] + node _T_1040 = or(_T_1039[3], _T_1039[2]) @[lsu_stbuf.scala 223:130] + node _T_1041 = or(_T_1040, _T_1039[1]) @[lsu_stbuf.scala 223:130] + node stbuf_fwddata_lo_pre_m = or(_T_1041, _T_1039[0]) @[lsu_stbuf.scala 223:130] + node _T_1042 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 226:54] + node _T_1043 = dshl(ldst_byteen_r, _T_1042) @[lsu_stbuf.scala 226:38] + ldst_byteen_ext_r <= _T_1043 @[lsu_stbuf.scala 226:21] + node ldst_byteen_hi_r = bits(ldst_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 227:43] + node ldst_byteen_lo_r = bits(ldst_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 228:43] + node _T_1044 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 230:42] + node _T_1045 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 230:66] + node _T_1046 = eq(_T_1044, _T_1045) @[lsu_stbuf.scala 230:49] + node _T_1047 = and(_T_1046, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 230:74] + node _T_1048 = and(_T_1047, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 230:95] + node _T_1049 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 230:123] + node ld_addr_rhit_lo_lo = and(_T_1048, _T_1049) @[lsu_stbuf.scala 230:121] + node _T_1050 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 231:42] + node _T_1051 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 231:66] + node _T_1052 = eq(_T_1050, _T_1051) @[lsu_stbuf.scala 231:49] + node _T_1053 = and(_T_1052, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 231:74] + node _T_1054 = and(_T_1053, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 231:95] + node _T_1055 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 231:123] + node ld_addr_rhit_lo_hi = and(_T_1054, _T_1055) @[lsu_stbuf.scala 231:121] + node _T_1056 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 232:42] + node _T_1057 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 232:66] + node _T_1058 = eq(_T_1056, _T_1057) @[lsu_stbuf.scala 232:49] + node _T_1059 = and(_T_1058, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 232:74] + node _T_1060 = and(_T_1059, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 232:95] + node _T_1061 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 232:123] + node _T_1062 = and(_T_1060, _T_1061) @[lsu_stbuf.scala 232:121] + node ld_addr_rhit_hi_lo = and(_T_1062, dual_stbuf_write_r) @[lsu_stbuf.scala 232:146] + node _T_1063 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 233:42] + node _T_1064 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 233:66] + node _T_1065 = eq(_T_1063, _T_1064) @[lsu_stbuf.scala 233:49] + node _T_1066 = and(_T_1065, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 233:74] + node _T_1067 = and(_T_1066, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 233:95] + node _T_1068 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 233:123] + node _T_1069 = and(_T_1067, _T_1068) @[lsu_stbuf.scala 233:121] + node ld_addr_rhit_hi_hi = and(_T_1069, dual_stbuf_write_r) @[lsu_stbuf.scala 233:146] + node _T_1070 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 235:97] + node _T_1071 = and(ld_addr_rhit_lo_lo, _T_1070) @[lsu_stbuf.scala 235:79] + node _T_1072 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 235:97] + node _T_1073 = and(ld_addr_rhit_lo_lo, _T_1072) @[lsu_stbuf.scala 235:79] + node _T_1074 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 235:97] + node _T_1075 = and(ld_addr_rhit_lo_lo, _T_1074) @[lsu_stbuf.scala 235:79] + node _T_1076 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 235:97] + node _T_1077 = and(ld_addr_rhit_lo_lo, _T_1076) @[lsu_stbuf.scala 235:79] + node _T_1078 = cat(_T_1077, _T_1075) @[Cat.scala 29:58] + node _T_1079 = cat(_T_1078, _T_1073) @[Cat.scala 29:58] + node _T_1080 = cat(_T_1079, _T_1071) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_1080 @[lsu_stbuf.scala 235:22] + node _T_1081 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 236:97] + node _T_1082 = and(ld_addr_rhit_lo_hi, _T_1081) @[lsu_stbuf.scala 236:79] + node _T_1083 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 236:97] + node _T_1084 = and(ld_addr_rhit_lo_hi, _T_1083) @[lsu_stbuf.scala 236:79] + node _T_1085 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 236:97] + node _T_1086 = and(ld_addr_rhit_lo_hi, _T_1085) @[lsu_stbuf.scala 236:79] + node _T_1087 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 236:97] + node _T_1088 = and(ld_addr_rhit_lo_hi, _T_1087) @[lsu_stbuf.scala 236:79] + node _T_1089 = cat(_T_1088, _T_1086) @[Cat.scala 29:58] + node _T_1090 = cat(_T_1089, _T_1084) @[Cat.scala 29:58] + node _T_1091 = cat(_T_1090, _T_1082) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_1091 @[lsu_stbuf.scala 236:22] + node _T_1092 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 237:97] + node _T_1093 = and(ld_addr_rhit_hi_lo, _T_1092) @[lsu_stbuf.scala 237:79] + node _T_1094 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 237:97] + node _T_1095 = and(ld_addr_rhit_hi_lo, _T_1094) @[lsu_stbuf.scala 237:79] + node _T_1096 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 237:97] + node _T_1097 = and(ld_addr_rhit_hi_lo, _T_1096) @[lsu_stbuf.scala 237:79] + node _T_1098 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 237:97] + node _T_1099 = and(ld_addr_rhit_hi_lo, _T_1098) @[lsu_stbuf.scala 237:79] + node _T_1100 = cat(_T_1099, _T_1097) @[Cat.scala 29:58] + node _T_1101 = cat(_T_1100, _T_1095) @[Cat.scala 29:58] + node _T_1102 = cat(_T_1101, _T_1093) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_1102 @[lsu_stbuf.scala 237:22] + node _T_1103 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 238:97] + node _T_1104 = and(ld_addr_rhit_hi_hi, _T_1103) @[lsu_stbuf.scala 238:79] + node _T_1105 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 238:97] + node _T_1106 = and(ld_addr_rhit_hi_hi, _T_1105) @[lsu_stbuf.scala 238:79] + node _T_1107 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 238:97] + node _T_1108 = and(ld_addr_rhit_hi_hi, _T_1107) @[lsu_stbuf.scala 238:79] + node _T_1109 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 238:97] + node _T_1110 = and(ld_addr_rhit_hi_hi, _T_1109) @[lsu_stbuf.scala 238:79] + node _T_1111 = cat(_T_1110, _T_1108) @[Cat.scala 29:58] + node _T_1112 = cat(_T_1111, _T_1106) @[Cat.scala 29:58] + node _T_1113 = cat(_T_1112, _T_1104) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_1113 @[lsu_stbuf.scala 238:22] + node _T_1114 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 240:75] + node _T_1115 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 240:99] + node _T_1116 = or(_T_1114, _T_1115) @[lsu_stbuf.scala 240:79] + node _T_1117 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 240:75] + node _T_1118 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 240:99] + node _T_1119 = or(_T_1117, _T_1118) @[lsu_stbuf.scala 240:79] + node _T_1120 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 240:75] + node _T_1121 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 240:99] + node _T_1122 = or(_T_1120, _T_1121) @[lsu_stbuf.scala 240:79] + node _T_1123 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 240:75] + node _T_1124 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 240:99] + node _T_1125 = or(_T_1123, _T_1124) @[lsu_stbuf.scala 240:79] + node _T_1126 = cat(_T_1125, _T_1122) @[Cat.scala 29:58] + node _T_1127 = cat(_T_1126, _T_1119) @[Cat.scala 29:58] + node _T_1128 = cat(_T_1127, _T_1116) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_1128 @[lsu_stbuf.scala 240:19] + node _T_1129 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 241:75] + node _T_1130 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 241:99] + node _T_1131 = or(_T_1129, _T_1130) @[lsu_stbuf.scala 241:79] + node _T_1132 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 241:75] + node _T_1133 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 241:99] + node _T_1134 = or(_T_1132, _T_1133) @[lsu_stbuf.scala 241:79] + node _T_1135 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 241:75] + node _T_1136 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 241:99] + node _T_1137 = or(_T_1135, _T_1136) @[lsu_stbuf.scala 241:79] + node _T_1138 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 241:75] + node _T_1139 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 241:99] + node _T_1140 = or(_T_1138, _T_1139) @[lsu_stbuf.scala 241:79] + node _T_1141 = cat(_T_1140, _T_1137) @[Cat.scala 29:58] + node _T_1142 = cat(_T_1141, _T_1134) @[Cat.scala 29:58] + node _T_1143 = cat(_T_1142, _T_1131) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_1143 @[lsu_stbuf.scala 241:19] + node _T_1144 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 243:48] + node _T_1145 = bits(_T_1144, 0, 0) @[Bitwise.scala 72:15] + node _T_1146 = mux(_T_1145, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1147 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 243:73] + node _T_1148 = and(_T_1146, _T_1147) @[lsu_stbuf.scala 243:53] + node _T_1149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 243:109] + node _T_1150 = bits(_T_1149, 0, 0) @[Bitwise.scala 72:15] + node _T_1151 = mux(_T_1150, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1152 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 243:134] + node _T_1153 = and(_T_1151, _T_1152) @[lsu_stbuf.scala 243:114] + node fwdpipe1_lo = or(_T_1148, _T_1153) @[lsu_stbuf.scala 243:80] + node _T_1154 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 244:48] + node _T_1155 = bits(_T_1154, 0, 0) @[Bitwise.scala 72:15] + node _T_1156 = mux(_T_1155, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1157 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 244:73] + node _T_1158 = and(_T_1156, _T_1157) @[lsu_stbuf.scala 244:53] + node _T_1159 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 244:110] + node _T_1160 = bits(_T_1159, 0, 0) @[Bitwise.scala 72:15] + node _T_1161 = mux(_T_1160, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1162 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 244:135] + node _T_1163 = and(_T_1161, _T_1162) @[lsu_stbuf.scala 244:115] + node fwdpipe2_lo = or(_T_1158, _T_1163) @[lsu_stbuf.scala 244:81] + node _T_1164 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 245:48] + node _T_1165 = bits(_T_1164, 0, 0) @[Bitwise.scala 72:15] + node _T_1166 = mux(_T_1165, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1167 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 245:73] + node _T_1168 = and(_T_1166, _T_1167) @[lsu_stbuf.scala 245:53] + node _T_1169 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 245:111] + node _T_1170 = bits(_T_1169, 0, 0) @[Bitwise.scala 72:15] + node _T_1171 = mux(_T_1170, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1172 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 245:136] + node _T_1173 = and(_T_1171, _T_1172) @[lsu_stbuf.scala 245:116] + node fwdpipe3_lo = or(_T_1168, _T_1173) @[lsu_stbuf.scala 245:82] + node _T_1174 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 246:48] + node _T_1175 = bits(_T_1174, 0, 0) @[Bitwise.scala 72:15] + node _T_1176 = mux(_T_1175, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1177 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 246:73] + node _T_1178 = and(_T_1176, _T_1177) @[lsu_stbuf.scala 246:53] + node _T_1179 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 246:111] + node _T_1180 = bits(_T_1179, 0, 0) @[Bitwise.scala 72:15] + node _T_1181 = mux(_T_1180, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1182 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 246:136] + node _T_1183 = and(_T_1181, _T_1182) @[lsu_stbuf.scala 246:116] + node fwdpipe4_lo = or(_T_1178, _T_1183) @[lsu_stbuf.scala 246:82] + node _T_1184 = cat(fwdpipe2_lo, fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1185 = cat(fwdpipe4_lo, fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_1184) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_1186 @[lsu_stbuf.scala 247:23] + node _T_1187 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 249:48] + node _T_1188 = bits(_T_1187, 0, 0) @[Bitwise.scala 72:15] + node _T_1189 = mux(_T_1188, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1190 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 249:73] + node _T_1191 = and(_T_1189, _T_1190) @[lsu_stbuf.scala 249:53] + node _T_1192 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 249:109] + node _T_1193 = bits(_T_1192, 0, 0) @[Bitwise.scala 72:15] + node _T_1194 = mux(_T_1193, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1195 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 249:134] + node _T_1196 = and(_T_1194, _T_1195) @[lsu_stbuf.scala 249:114] + node fwdpipe1_hi = or(_T_1191, _T_1196) @[lsu_stbuf.scala 249:80] + node _T_1197 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 250:48] + node _T_1198 = bits(_T_1197, 0, 0) @[Bitwise.scala 72:15] + node _T_1199 = mux(_T_1198, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1200 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 250:73] + node _T_1201 = and(_T_1199, _T_1200) @[lsu_stbuf.scala 250:53] + node _T_1202 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 250:110] + node _T_1203 = bits(_T_1202, 0, 0) @[Bitwise.scala 72:15] + node _T_1204 = mux(_T_1203, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1205 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 250:135] + node _T_1206 = and(_T_1204, _T_1205) @[lsu_stbuf.scala 250:115] + node fwdpipe2_hi = or(_T_1201, _T_1206) @[lsu_stbuf.scala 250:81] + node _T_1207 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 251:48] + node _T_1208 = bits(_T_1207, 0, 0) @[Bitwise.scala 72:15] + node _T_1209 = mux(_T_1208, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1210 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 251:73] + node _T_1211 = and(_T_1209, _T_1210) @[lsu_stbuf.scala 251:53] + node _T_1212 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 251:111] + node _T_1213 = bits(_T_1212, 0, 0) @[Bitwise.scala 72:15] + node _T_1214 = mux(_T_1213, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1215 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 251:136] + node _T_1216 = and(_T_1214, _T_1215) @[lsu_stbuf.scala 251:116] + node fwdpipe3_hi = or(_T_1211, _T_1216) @[lsu_stbuf.scala 251:82] + node _T_1217 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 252:48] + node _T_1218 = bits(_T_1217, 0, 0) @[Bitwise.scala 72:15] + node _T_1219 = mux(_T_1218, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1220 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 252:73] + node _T_1221 = and(_T_1219, _T_1220) @[lsu_stbuf.scala 252:53] + node _T_1222 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 252:111] + node _T_1223 = bits(_T_1222, 0, 0) @[Bitwise.scala 72:15] + node _T_1224 = mux(_T_1223, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1225 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 252:136] + node _T_1226 = and(_T_1224, _T_1225) @[lsu_stbuf.scala 252:116] + node fwdpipe4_hi = or(_T_1221, _T_1226) @[lsu_stbuf.scala 252:82] + node _T_1227 = cat(fwdpipe2_hi, fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1228 = cat(fwdpipe4_hi, fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1229 = cat(_T_1228, _T_1227) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_1229 @[lsu_stbuf.scala 253:23] + node _T_1230 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 255:74] + node _T_1231 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 255:98] + node _T_1232 = or(_T_1230, _T_1231) @[lsu_stbuf.scala 255:78] + node _T_1233 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 255:74] + node _T_1234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 255:98] + node _T_1235 = or(_T_1233, _T_1234) @[lsu_stbuf.scala 255:78] + node _T_1236 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 255:74] + node _T_1237 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 255:98] + node _T_1238 = or(_T_1236, _T_1237) @[lsu_stbuf.scala 255:78] + node _T_1239 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 255:74] + node _T_1240 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 255:98] + node _T_1241 = or(_T_1239, _T_1240) @[lsu_stbuf.scala 255:78] + node _T_1242 = cat(_T_1241, _T_1238) @[Cat.scala 29:58] + node _T_1243 = cat(_T_1242, _T_1235) @[Cat.scala 29:58] + node _T_1244 = cat(_T_1243, _T_1232) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_1244 @[lsu_stbuf.scala 255:18] + node _T_1245 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 256:74] + node _T_1246 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 256:98] + node _T_1247 = or(_T_1245, _T_1246) @[lsu_stbuf.scala 256:78] + node _T_1248 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 256:74] + node _T_1249 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 256:98] + node _T_1250 = or(_T_1248, _T_1249) @[lsu_stbuf.scala 256:78] + node _T_1251 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 256:74] + node _T_1252 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 256:98] + node _T_1253 = or(_T_1251, _T_1252) @[lsu_stbuf.scala 256:78] + node _T_1254 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 256:74] + node _T_1255 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 256:98] + node _T_1256 = or(_T_1254, _T_1255) @[lsu_stbuf.scala 256:78] + node _T_1257 = cat(_T_1256, _T_1253) @[Cat.scala 29:58] + node _T_1258 = cat(_T_1257, _T_1250) @[Cat.scala 29:58] + node _T_1259 = cat(_T_1258, _T_1247) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_1259 @[lsu_stbuf.scala 256:18] + node _T_1260 = bits(ld_byte_hit_hi, 0, 0) @[lsu_stbuf.scala 258:79] + node _T_1261 = or(_T_1260, stbuf_fwdbyteen_hi_pre_m_0) @[lsu_stbuf.scala 258:83] + node _T_1262 = bits(ld_byte_hit_hi, 1, 1) @[lsu_stbuf.scala 258:79] + node _T_1263 = or(_T_1262, stbuf_fwdbyteen_hi_pre_m_1) @[lsu_stbuf.scala 258:83] + node _T_1264 = bits(ld_byte_hit_hi, 2, 2) @[lsu_stbuf.scala 258:79] + node _T_1265 = or(_T_1264, stbuf_fwdbyteen_hi_pre_m_2) @[lsu_stbuf.scala 258:83] + node _T_1266 = bits(ld_byte_hit_hi, 3, 3) @[lsu_stbuf.scala 258:79] + node _T_1267 = or(_T_1266, stbuf_fwdbyteen_hi_pre_m_3) @[lsu_stbuf.scala 258:83] + node _T_1268 = cat(_T_1267, _T_1265) @[Cat.scala 29:58] + node _T_1269 = cat(_T_1268, _T_1263) @[Cat.scala 29:58] + node _T_1270 = cat(_T_1269, _T_1261) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_hi_m <= _T_1270 @[lsu_stbuf.scala 258:27] + node _T_1271 = bits(ld_byte_hit_lo, 0, 0) @[lsu_stbuf.scala 259:79] + node _T_1272 = or(_T_1271, stbuf_fwdbyteen_lo_pre_m_0) @[lsu_stbuf.scala 259:83] + node _T_1273 = bits(ld_byte_hit_lo, 1, 1) @[lsu_stbuf.scala 259:79] + node _T_1274 = or(_T_1273, stbuf_fwdbyteen_lo_pre_m_1) @[lsu_stbuf.scala 259:83] + node _T_1275 = bits(ld_byte_hit_lo, 2, 2) @[lsu_stbuf.scala 259:79] + node _T_1276 = or(_T_1275, stbuf_fwdbyteen_lo_pre_m_2) @[lsu_stbuf.scala 259:83] + node _T_1277 = bits(ld_byte_hit_lo, 3, 3) @[lsu_stbuf.scala 259:79] + node _T_1278 = or(_T_1277, stbuf_fwdbyteen_lo_pre_m_3) @[lsu_stbuf.scala 259:83] + node _T_1279 = cat(_T_1278, _T_1276) @[Cat.scala 29:58] + node _T_1280 = cat(_T_1279, _T_1274) @[Cat.scala 29:58] + node _T_1281 = cat(_T_1280, _T_1272) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_lo_m <= _T_1281 @[lsu_stbuf.scala 259:27] + node _T_1282 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_stbuf.scala 262:46] + node _T_1283 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_stbuf.scala 262:69] + node _T_1284 = bits(stbuf_fwddata_lo_pre_m, 7, 0) @[lsu_stbuf.scala 262:97] + node stbuf_fwdpipe1_lo = mux(_T_1282, _T_1283, _T_1284) @[lsu_stbuf.scala 262:30] + node _T_1285 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_stbuf.scala 263:46] + node _T_1286 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_stbuf.scala 263:69] + node _T_1287 = bits(stbuf_fwddata_lo_pre_m, 15, 8) @[lsu_stbuf.scala 263:98] + node stbuf_fwdpipe2_lo = mux(_T_1285, _T_1286, _T_1287) @[lsu_stbuf.scala 263:30] + node _T_1288 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_stbuf.scala 264:46] + node _T_1289 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_stbuf.scala 264:69] + node _T_1290 = bits(stbuf_fwddata_lo_pre_m, 23, 16) @[lsu_stbuf.scala 264:99] + node stbuf_fwdpipe3_lo = mux(_T_1288, _T_1289, _T_1290) @[lsu_stbuf.scala 264:30] + node _T_1291 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_stbuf.scala 265:46] + node _T_1292 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_stbuf.scala 265:69] + node _T_1293 = bits(stbuf_fwddata_lo_pre_m, 31, 24) @[lsu_stbuf.scala 265:99] + node stbuf_fwdpipe4_lo = mux(_T_1291, _T_1292, _T_1293) @[lsu_stbuf.scala 265:30] + node _T_1294 = cat(stbuf_fwdpipe2_lo, stbuf_fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1295 = cat(stbuf_fwdpipe4_lo, stbuf_fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1296 = cat(_T_1295, _T_1294) @[Cat.scala 29:58] + io.stbuf_fwddata_lo_m <= _T_1296 @[lsu_stbuf.scala 266:25] + node _T_1297 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_stbuf.scala 268:46] + node _T_1298 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_stbuf.scala 268:69] + node _T_1299 = bits(stbuf_fwddata_hi_pre_m, 7, 0) @[lsu_stbuf.scala 268:97] + node stbuf_fwdpipe1_hi = mux(_T_1297, _T_1298, _T_1299) @[lsu_stbuf.scala 268:30] + node _T_1300 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_stbuf.scala 269:46] + node _T_1301 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_stbuf.scala 269:69] + node _T_1302 = bits(stbuf_fwddata_hi_pre_m, 15, 8) @[lsu_stbuf.scala 269:98] + node stbuf_fwdpipe2_hi = mux(_T_1300, _T_1301, _T_1302) @[lsu_stbuf.scala 269:30] + node _T_1303 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_stbuf.scala 270:46] + node _T_1304 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_stbuf.scala 270:69] + node _T_1305 = bits(stbuf_fwddata_hi_pre_m, 23, 16) @[lsu_stbuf.scala 270:99] + node stbuf_fwdpipe3_hi = mux(_T_1303, _T_1304, _T_1305) @[lsu_stbuf.scala 270:30] + node _T_1306 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_stbuf.scala 271:46] + node _T_1307 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_stbuf.scala 271:69] + node _T_1308 = bits(stbuf_fwddata_hi_pre_m, 31, 24) @[lsu_stbuf.scala 271:99] + node stbuf_fwdpipe4_hi = mux(_T_1306, _T_1307, _T_1308) @[lsu_stbuf.scala 271:30] + node _T_1309 = cat(stbuf_fwdpipe2_hi, stbuf_fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1310 = cat(stbuf_fwdpipe4_hi, stbuf_fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1311 = cat(_T_1310, _T_1309) @[Cat.scala 29:58] + io.stbuf_fwddata_hi_m <= _T_1311 @[lsu_stbuf.scala 272:25] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_ecc : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_r_clk : Clock, flip clk_override : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip stbuf_data_any : UInt<32>, flip dec_tlu_core_ecc_disable : UInt<1>, flip lsu_dccm_rden_r : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_addr_r : UInt<16>, flip end_addr_r : UInt<16>, flip lsu_addr_m : UInt<16>, flip end_addr_m : UInt<16>, flip dccm_rdata_hi_r : UInt<32>, flip dccm_rdata_lo_r : UInt<32>, flip dccm_rdata_hi_m : UInt<32>, flip dccm_rdata_lo_m : UInt<32>, flip dccm_data_ecc_hi_r : UInt<7>, flip dccm_data_ecc_lo_r : UInt<7>, flip dccm_data_ecc_hi_m : UInt<7>, flip dccm_data_ecc_lo_m : UInt<7>, flip ld_single_ecc_error_r : UInt<1>, flip ld_single_ecc_error_r_ff : UInt<1>, flip lsu_dccm_rden_m : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_wen : UInt<1>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip scan_mode : UInt<1>, sec_data_hi_r : UInt<32>, sec_data_lo_r : UInt<32>, sec_data_hi_m : UInt<32>, sec_data_lo_m : UInt<32>, sec_data_hi_r_ff : UInt<32>, sec_data_lo_r_ff : UInt<32>, dma_dccm_wdata_ecc_hi : UInt<7>, dma_dccm_wdata_ecc_lo : UInt<7>, stbuf_ecc_any : UInt<7>, sec_data_ecc_hi_r_ff : UInt<7>, sec_data_ecc_lo_r_ff : UInt<7>, single_ecc_error_hi_r : UInt<1>, single_ecc_error_lo_r : UInt<1>, lsu_single_ecc_error_r : UInt<1>, lsu_double_ecc_error_r : UInt<1>, lsu_single_ecc_error_m : UInt<1>, lsu_double_ecc_error_m : UInt<1>} + + wire is_ldst_r : UInt<1> + is_ldst_r <= UInt<1>("h00") + wire is_ldst_hi_any : UInt<1> + is_ldst_hi_any <= UInt<1>("h00") + wire is_ldst_lo_any : UInt<1> + is_ldst_lo_any <= UInt<1>("h00") + wire dccm_wdata_hi_any : UInt<32> + dccm_wdata_hi_any <= UInt<32>("h00") + wire dccm_wdata_lo_any : UInt<32> + dccm_wdata_lo_any <= UInt<32>("h00") + wire dccm_rdata_hi_any : UInt<32> + dccm_rdata_hi_any <= UInt<32>("h00") + wire dccm_rdata_lo_any : UInt<32> + dccm_rdata_lo_any <= UInt<32>("h00") + wire dccm_data_ecc_hi_any : UInt<7> + dccm_data_ecc_hi_any <= UInt<7>("h00") + wire dccm_data_ecc_lo_any : UInt<7> + dccm_data_ecc_lo_any <= UInt<7>("h00") + wire double_ecc_error_hi_m : UInt<1> + double_ecc_error_hi_m <= UInt<1>("h00") + wire double_ecc_error_lo_m : UInt<1> + double_ecc_error_lo_m <= UInt<1>("h00") + wire double_ecc_error_hi_r : UInt<1> + double_ecc_error_hi_r <= UInt<1>("h00") + wire double_ecc_error_lo_r : UInt<1> + double_ecc_error_lo_r <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire is_ldst_m : UInt<1> + is_ldst_m <= UInt<1>("h00") + wire is_ldst_hi_m : UInt<1> + is_ldst_hi_m <= UInt<1>("h00") + wire is_ldst_lo_m : UInt<1> + is_ldst_lo_m <= UInt<1>("h00") + wire is_ldst_hi_r : UInt<1> + is_ldst_hi_r <= UInt<1>("h00") + wire is_ldst_lo_r : UInt<1> + is_ldst_lo_r <= UInt<1>("h00") + io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 89:32] + io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 90:32] + io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 91:30] + io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 92:30] + wire _T : UInt<1>[18] @[lib.scala 173:18] + wire _T_1 : UInt<1>[18] @[lib.scala 174:18] + wire _T_2 : UInt<1>[18] @[lib.scala 175:18] + wire _T_3 : UInt<1>[15] @[lib.scala 176:18] + wire _T_4 : UInt<1>[15] @[lib.scala 177:18] + wire _T_5 : UInt<1>[6] @[lib.scala 178:18] + node _T_6 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 185:36] + _T[0] <= _T_6 @[lib.scala 185:30] + node _T_7 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 186:36] + _T_1[0] <= _T_7 @[lib.scala 186:30] + node _T_8 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 185:36] + _T[1] <= _T_8 @[lib.scala 185:30] + node _T_9 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 187:36] + _T_2[0] <= _T_9 @[lib.scala 187:30] + node _T_10 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 186:36] + _T_1[1] <= _T_10 @[lib.scala 186:30] + node _T_11 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 187:36] + _T_2[1] <= _T_11 @[lib.scala 187:30] + node _T_12 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 185:36] + _T[2] <= _T_12 @[lib.scala 185:30] + node _T_13 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 186:36] + _T_1[2] <= _T_13 @[lib.scala 186:30] + node _T_14 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 187:36] + _T_2[2] <= _T_14 @[lib.scala 187:30] + node _T_15 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 185:36] + _T[3] <= _T_15 @[lib.scala 185:30] + node _T_16 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 188:36] + _T_3[0] <= _T_16 @[lib.scala 188:30] + node _T_17 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 186:36] + _T_1[3] <= _T_17 @[lib.scala 186:30] + node _T_18 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 188:36] + _T_3[1] <= _T_18 @[lib.scala 188:30] + node _T_19 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 185:36] + _T[4] <= _T_19 @[lib.scala 185:30] + node _T_20 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 186:36] + _T_1[4] <= _T_20 @[lib.scala 186:30] + node _T_21 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 188:36] + _T_3[2] <= _T_21 @[lib.scala 188:30] + node _T_22 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 187:36] + _T_2[3] <= _T_22 @[lib.scala 187:30] + node _T_23 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 188:36] + _T_3[3] <= _T_23 @[lib.scala 188:30] + node _T_24 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 185:36] + _T[5] <= _T_24 @[lib.scala 185:30] + node _T_25 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 187:36] + _T_2[4] <= _T_25 @[lib.scala 187:30] + node _T_26 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 188:36] + _T_3[4] <= _T_26 @[lib.scala 188:30] + node _T_27 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 186:36] + _T_1[5] <= _T_27 @[lib.scala 186:30] + node _T_28 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 187:36] + _T_2[5] <= _T_28 @[lib.scala 187:30] + node _T_29 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 188:36] + _T_3[5] <= _T_29 @[lib.scala 188:30] + node _T_30 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 185:36] + _T[6] <= _T_30 @[lib.scala 185:30] + node _T_31 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 186:36] + _T_1[6] <= _T_31 @[lib.scala 186:30] + node _T_32 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 187:36] + _T_2[6] <= _T_32 @[lib.scala 187:30] + node _T_33 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 188:36] + _T_3[6] <= _T_33 @[lib.scala 188:30] + node _T_34 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 185:36] + _T[7] <= _T_34 @[lib.scala 185:30] + node _T_35 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 189:36] + _T_4[0] <= _T_35 @[lib.scala 189:30] + node _T_36 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 186:36] + _T_1[7] <= _T_36 @[lib.scala 186:30] + node _T_37 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 189:36] + _T_4[1] <= _T_37 @[lib.scala 189:30] + node _T_38 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 185:36] + _T[8] <= _T_38 @[lib.scala 185:30] + node _T_39 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 186:36] + _T_1[8] <= _T_39 @[lib.scala 186:30] + node _T_40 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 189:36] + _T_4[2] <= _T_40 @[lib.scala 189:30] + node _T_41 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 187:36] + _T_2[7] <= _T_41 @[lib.scala 187:30] + node _T_42 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 189:36] + _T_4[3] <= _T_42 @[lib.scala 189:30] + node _T_43 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 185:36] + _T[9] <= _T_43 @[lib.scala 185:30] + node _T_44 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 187:36] + _T_2[8] <= _T_44 @[lib.scala 187:30] + node _T_45 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 189:36] + _T_4[4] <= _T_45 @[lib.scala 189:30] + node _T_46 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 186:36] + _T_1[9] <= _T_46 @[lib.scala 186:30] + node _T_47 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 187:36] + _T_2[9] <= _T_47 @[lib.scala 187:30] + node _T_48 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 189:36] + _T_4[5] <= _T_48 @[lib.scala 189:30] + node _T_49 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 185:36] + _T[10] <= _T_49 @[lib.scala 185:30] + node _T_50 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 186:36] + _T_1[10] <= _T_50 @[lib.scala 186:30] + node _T_51 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 187:36] + _T_2[10] <= _T_51 @[lib.scala 187:30] + node _T_52 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 189:36] + _T_4[6] <= _T_52 @[lib.scala 189:30] + node _T_53 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 188:36] + _T_3[7] <= _T_53 @[lib.scala 188:30] + node _T_54 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 189:36] + _T_4[7] <= _T_54 @[lib.scala 189:30] + node _T_55 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 185:36] + _T[11] <= _T_55 @[lib.scala 185:30] + node _T_56 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 188:36] + _T_3[8] <= _T_56 @[lib.scala 188:30] + node _T_57 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 189:36] + _T_4[8] <= _T_57 @[lib.scala 189:30] + node _T_58 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 186:36] + _T_1[11] <= _T_58 @[lib.scala 186:30] + node _T_59 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 188:36] + _T_3[9] <= _T_59 @[lib.scala 188:30] + node _T_60 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 189:36] + _T_4[9] <= _T_60 @[lib.scala 189:30] + node _T_61 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 185:36] + _T[12] <= _T_61 @[lib.scala 185:30] + node _T_62 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 186:36] + _T_1[12] <= _T_62 @[lib.scala 186:30] + node _T_63 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 188:36] + _T_3[10] <= _T_63 @[lib.scala 188:30] + node _T_64 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 189:36] + _T_4[10] <= _T_64 @[lib.scala 189:30] + node _T_65 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 187:36] + _T_2[11] <= _T_65 @[lib.scala 187:30] + node _T_66 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 188:36] + _T_3[11] <= _T_66 @[lib.scala 188:30] + node _T_67 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 189:36] + _T_4[11] <= _T_67 @[lib.scala 189:30] + node _T_68 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 185:36] + _T[13] <= _T_68 @[lib.scala 185:30] + node _T_69 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 187:36] + _T_2[12] <= _T_69 @[lib.scala 187:30] + node _T_70 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 188:36] + _T_3[12] <= _T_70 @[lib.scala 188:30] + node _T_71 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 189:36] + _T_4[12] <= _T_71 @[lib.scala 189:30] + node _T_72 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 186:36] + _T_1[13] <= _T_72 @[lib.scala 186:30] + node _T_73 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 187:36] + _T_2[13] <= _T_73 @[lib.scala 187:30] + node _T_74 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 188:36] + _T_3[13] <= _T_74 @[lib.scala 188:30] + node _T_75 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 189:36] + _T_4[13] <= _T_75 @[lib.scala 189:30] + node _T_76 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 185:36] + _T[14] <= _T_76 @[lib.scala 185:30] + node _T_77 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 186:36] + _T_1[14] <= _T_77 @[lib.scala 186:30] + node _T_78 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 187:36] + _T_2[14] <= _T_78 @[lib.scala 187:30] + node _T_79 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 188:36] + _T_3[14] <= _T_79 @[lib.scala 188:30] + node _T_80 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 189:36] + _T_4[14] <= _T_80 @[lib.scala 189:30] + node _T_81 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 185:36] + _T[15] <= _T_81 @[lib.scala 185:30] + node _T_82 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 190:36] + _T_5[0] <= _T_82 @[lib.scala 190:30] + node _T_83 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 186:36] + _T_1[15] <= _T_83 @[lib.scala 186:30] + node _T_84 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 190:36] + _T_5[1] <= _T_84 @[lib.scala 190:30] + node _T_85 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 185:36] + _T[16] <= _T_85 @[lib.scala 185:30] + node _T_86 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 186:36] + _T_1[16] <= _T_86 @[lib.scala 186:30] + node _T_87 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 190:36] + _T_5[2] <= _T_87 @[lib.scala 190:30] + node _T_88 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 187:36] + _T_2[15] <= _T_88 @[lib.scala 187:30] + node _T_89 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 190:36] + _T_5[3] <= _T_89 @[lib.scala 190:30] + node _T_90 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 185:36] + _T[17] <= _T_90 @[lib.scala 185:30] + node _T_91 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 187:36] + _T_2[16] <= _T_91 @[lib.scala 187:30] + node _T_92 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 190:36] + _T_5[4] <= _T_92 @[lib.scala 190:30] + node _T_93 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 186:36] + _T_1[17] <= _T_93 @[lib.scala 186:30] + node _T_94 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 187:36] + _T_2[17] <= _T_94 @[lib.scala 187:30] + node _T_95 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 190:36] + _T_5[5] <= _T_95 @[lib.scala 190:30] + node _T_96 = xorr(dccm_rdata_hi_any) @[lib.scala 193:30] + node _T_97 = xorr(dccm_data_ecc_hi_any) @[lib.scala 193:44] + node _T_98 = xor(_T_96, _T_97) @[lib.scala 193:35] + node _T_99 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_100 = and(_T_98, _T_99) @[lib.scala 193:50] + node _T_101 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 193:68] + node _T_102 = cat(_T_5[2], _T_5[1]) @[lib.scala 193:76] + node _T_103 = cat(_T_102, _T_5[0]) @[lib.scala 193:76] + node _T_104 = cat(_T_5[5], _T_5[4]) @[lib.scala 193:76] + node _T_105 = cat(_T_104, _T_5[3]) @[lib.scala 193:76] + node _T_106 = cat(_T_105, _T_103) @[lib.scala 193:76] + node _T_107 = xorr(_T_106) @[lib.scala 193:83] + node _T_108 = xor(_T_101, _T_107) @[lib.scala 193:71] + node _T_109 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 193:95] + node _T_110 = cat(_T_4[2], _T_4[1]) @[lib.scala 193:103] + node _T_111 = cat(_T_110, _T_4[0]) @[lib.scala 193:103] + node _T_112 = cat(_T_4[4], _T_4[3]) @[lib.scala 193:103] + node _T_113 = cat(_T_4[6], _T_4[5]) @[lib.scala 193:103] + node _T_114 = cat(_T_113, _T_112) @[lib.scala 193:103] + node _T_115 = cat(_T_114, _T_111) @[lib.scala 193:103] + node _T_116 = cat(_T_4[8], _T_4[7]) @[lib.scala 193:103] + node _T_117 = cat(_T_4[10], _T_4[9]) @[lib.scala 193:103] + node _T_118 = cat(_T_117, _T_116) @[lib.scala 193:103] + node _T_119 = cat(_T_4[12], _T_4[11]) @[lib.scala 193:103] + node _T_120 = cat(_T_4[14], _T_4[13]) @[lib.scala 193:103] + node _T_121 = cat(_T_120, _T_119) @[lib.scala 193:103] + node _T_122 = cat(_T_121, _T_118) @[lib.scala 193:103] + node _T_123 = cat(_T_122, _T_115) @[lib.scala 193:103] + node _T_124 = xorr(_T_123) @[lib.scala 193:110] + node _T_125 = xor(_T_109, _T_124) @[lib.scala 193:98] + node _T_126 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 193:122] + node _T_127 = cat(_T_3[2], _T_3[1]) @[lib.scala 193:130] + node _T_128 = cat(_T_127, _T_3[0]) @[lib.scala 193:130] + node _T_129 = cat(_T_3[4], _T_3[3]) @[lib.scala 193:130] + node _T_130 = cat(_T_3[6], _T_3[5]) @[lib.scala 193:130] + node _T_131 = cat(_T_130, _T_129) @[lib.scala 193:130] + node _T_132 = cat(_T_131, _T_128) @[lib.scala 193:130] + node _T_133 = cat(_T_3[8], _T_3[7]) @[lib.scala 193:130] + node _T_134 = cat(_T_3[10], _T_3[9]) @[lib.scala 193:130] + node _T_135 = cat(_T_134, _T_133) @[lib.scala 193:130] + node _T_136 = cat(_T_3[12], _T_3[11]) @[lib.scala 193:130] + node _T_137 = cat(_T_3[14], _T_3[13]) @[lib.scala 193:130] + node _T_138 = cat(_T_137, _T_136) @[lib.scala 193:130] + node _T_139 = cat(_T_138, _T_135) @[lib.scala 193:130] + node _T_140 = cat(_T_139, _T_132) @[lib.scala 193:130] + node _T_141 = xorr(_T_140) @[lib.scala 193:137] + node _T_142 = xor(_T_126, _T_141) @[lib.scala 193:125] + node _T_143 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 193:149] + node _T_144 = cat(_T_2[1], _T_2[0]) @[lib.scala 193:157] + node _T_145 = cat(_T_2[3], _T_2[2]) @[lib.scala 193:157] + node _T_146 = cat(_T_145, _T_144) @[lib.scala 193:157] + node _T_147 = cat(_T_2[5], _T_2[4]) @[lib.scala 193:157] + node _T_148 = cat(_T_2[8], _T_2[7]) @[lib.scala 193:157] + node _T_149 = cat(_T_148, _T_2[6]) @[lib.scala 193:157] + node _T_150 = cat(_T_149, _T_147) @[lib.scala 193:157] + node _T_151 = cat(_T_150, _T_146) @[lib.scala 193:157] + node _T_152 = cat(_T_2[10], _T_2[9]) @[lib.scala 193:157] + node _T_153 = cat(_T_2[12], _T_2[11]) @[lib.scala 193:157] + node _T_154 = cat(_T_153, _T_152) @[lib.scala 193:157] + node _T_155 = cat(_T_2[14], _T_2[13]) @[lib.scala 193:157] + node _T_156 = cat(_T_2[17], _T_2[16]) @[lib.scala 193:157] + node _T_157 = cat(_T_156, _T_2[15]) @[lib.scala 193:157] + node _T_158 = cat(_T_157, _T_155) @[lib.scala 193:157] + node _T_159 = cat(_T_158, _T_154) @[lib.scala 193:157] + node _T_160 = cat(_T_159, _T_151) @[lib.scala 193:157] + node _T_161 = xorr(_T_160) @[lib.scala 193:164] + node _T_162 = xor(_T_143, _T_161) @[lib.scala 193:152] + node _T_163 = bits(dccm_data_ecc_hi_any, 1, 1) @[lib.scala 193:176] + node _T_164 = cat(_T_1[1], _T_1[0]) @[lib.scala 193:184] + node _T_165 = cat(_T_1[3], _T_1[2]) @[lib.scala 193:184] + node _T_166 = cat(_T_165, _T_164) @[lib.scala 193:184] + node _T_167 = cat(_T_1[5], _T_1[4]) @[lib.scala 193:184] + node _T_168 = cat(_T_1[8], _T_1[7]) @[lib.scala 193:184] + node _T_169 = cat(_T_168, _T_1[6]) @[lib.scala 193:184] + node _T_170 = cat(_T_169, _T_167) @[lib.scala 193:184] + node _T_171 = cat(_T_170, _T_166) @[lib.scala 193:184] + node _T_172 = cat(_T_1[10], _T_1[9]) @[lib.scala 193:184] + node _T_173 = cat(_T_1[12], _T_1[11]) @[lib.scala 193:184] + node _T_174 = cat(_T_173, _T_172) @[lib.scala 193:184] + node _T_175 = cat(_T_1[14], _T_1[13]) @[lib.scala 193:184] + node _T_176 = cat(_T_1[17], _T_1[16]) @[lib.scala 193:184] + node _T_177 = cat(_T_176, _T_1[15]) @[lib.scala 193:184] + node _T_178 = cat(_T_177, _T_175) @[lib.scala 193:184] + node _T_179 = cat(_T_178, _T_174) @[lib.scala 193:184] + node _T_180 = cat(_T_179, _T_171) @[lib.scala 193:184] + node _T_181 = xorr(_T_180) @[lib.scala 193:191] + node _T_182 = xor(_T_163, _T_181) @[lib.scala 193:179] + node _T_183 = bits(dccm_data_ecc_hi_any, 0, 0) @[lib.scala 193:203] + node _T_184 = cat(_T[1], _T[0]) @[lib.scala 193:211] + node _T_185 = cat(_T[3], _T[2]) @[lib.scala 193:211] + node _T_186 = cat(_T_185, _T_184) @[lib.scala 193:211] + node _T_187 = cat(_T[5], _T[4]) @[lib.scala 193:211] + node _T_188 = cat(_T[8], _T[7]) @[lib.scala 193:211] + node _T_189 = cat(_T_188, _T[6]) @[lib.scala 193:211] + node _T_190 = cat(_T_189, _T_187) @[lib.scala 193:211] + node _T_191 = cat(_T_190, _T_186) @[lib.scala 193:211] + node _T_192 = cat(_T[10], _T[9]) @[lib.scala 193:211] + node _T_193 = cat(_T[12], _T[11]) @[lib.scala 193:211] + node _T_194 = cat(_T_193, _T_192) @[lib.scala 193:211] + node _T_195 = cat(_T[14], _T[13]) @[lib.scala 193:211] + node _T_196 = cat(_T[17], _T[16]) @[lib.scala 193:211] + node _T_197 = cat(_T_196, _T[15]) @[lib.scala 193:211] + node _T_198 = cat(_T_197, _T_195) @[lib.scala 193:211] + node _T_199 = cat(_T_198, _T_194) @[lib.scala 193:211] + node _T_200 = cat(_T_199, _T_191) @[lib.scala 193:211] + node _T_201 = xorr(_T_200) @[lib.scala 193:218] + node _T_202 = xor(_T_183, _T_201) @[lib.scala 193:206] + node _T_203 = cat(_T_162, _T_182) @[Cat.scala 29:58] + node _T_204 = cat(_T_203, _T_202) @[Cat.scala 29:58] + node _T_205 = cat(_T_125, _T_142) @[Cat.scala 29:58] + node _T_206 = cat(_T_100, _T_108) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, _T_205) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_204) @[Cat.scala 29:58] + node _T_209 = neq(_T_208, UInt<1>("h00")) @[lib.scala 194:44] + node _T_210 = and(is_ldst_hi_any, _T_209) @[lib.scala 194:32] + node _T_211 = bits(_T_208, 6, 6) @[lib.scala 194:64] + node single_ecc_error_hi_any = and(_T_210, _T_211) @[lib.scala 194:53] + node _T_212 = neq(_T_208, UInt<1>("h00")) @[lib.scala 195:44] + node _T_213 = and(is_ldst_hi_any, _T_212) @[lib.scala 195:32] + node _T_214 = bits(_T_208, 6, 6) @[lib.scala 195:65] + node _T_215 = not(_T_214) @[lib.scala 195:55] + node double_ecc_error_hi_any = and(_T_213, _T_215) @[lib.scala 195:53] + wire _T_216 : UInt<1>[39] @[lib.scala 196:26] + node _T_217 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_218 = eq(_T_217, UInt<1>("h01")) @[lib.scala 199:41] + _T_216[0] <= _T_218 @[lib.scala 199:23] + node _T_219 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_220 = eq(_T_219, UInt<2>("h02")) @[lib.scala 199:41] + _T_216[1] <= _T_220 @[lib.scala 199:23] + node _T_221 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_222 = eq(_T_221, UInt<2>("h03")) @[lib.scala 199:41] + _T_216[2] <= _T_222 @[lib.scala 199:23] + node _T_223 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_224 = eq(_T_223, UInt<3>("h04")) @[lib.scala 199:41] + _T_216[3] <= _T_224 @[lib.scala 199:23] + node _T_225 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_226 = eq(_T_225, UInt<3>("h05")) @[lib.scala 199:41] + _T_216[4] <= _T_226 @[lib.scala 199:23] + node _T_227 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_228 = eq(_T_227, UInt<3>("h06")) @[lib.scala 199:41] + _T_216[5] <= _T_228 @[lib.scala 199:23] + node _T_229 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_230 = eq(_T_229, UInt<3>("h07")) @[lib.scala 199:41] + _T_216[6] <= _T_230 @[lib.scala 199:23] + node _T_231 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_232 = eq(_T_231, UInt<4>("h08")) @[lib.scala 199:41] + _T_216[7] <= _T_232 @[lib.scala 199:23] + node _T_233 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_234 = eq(_T_233, UInt<4>("h09")) @[lib.scala 199:41] + _T_216[8] <= _T_234 @[lib.scala 199:23] + node _T_235 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_236 = eq(_T_235, UInt<4>("h0a")) @[lib.scala 199:41] + _T_216[9] <= _T_236 @[lib.scala 199:23] + node _T_237 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_238 = eq(_T_237, UInt<4>("h0b")) @[lib.scala 199:41] + _T_216[10] <= _T_238 @[lib.scala 199:23] + node _T_239 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_240 = eq(_T_239, UInt<4>("h0c")) @[lib.scala 199:41] + _T_216[11] <= _T_240 @[lib.scala 199:23] + node _T_241 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_242 = eq(_T_241, UInt<4>("h0d")) @[lib.scala 199:41] + _T_216[12] <= _T_242 @[lib.scala 199:23] + node _T_243 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_244 = eq(_T_243, UInt<4>("h0e")) @[lib.scala 199:41] + _T_216[13] <= _T_244 @[lib.scala 199:23] + node _T_245 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_246 = eq(_T_245, UInt<4>("h0f")) @[lib.scala 199:41] + _T_216[14] <= _T_246 @[lib.scala 199:23] + node _T_247 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_248 = eq(_T_247, UInt<5>("h010")) @[lib.scala 199:41] + _T_216[15] <= _T_248 @[lib.scala 199:23] + node _T_249 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_250 = eq(_T_249, UInt<5>("h011")) @[lib.scala 199:41] + _T_216[16] <= _T_250 @[lib.scala 199:23] + node _T_251 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_252 = eq(_T_251, UInt<5>("h012")) @[lib.scala 199:41] + _T_216[17] <= _T_252 @[lib.scala 199:23] + node _T_253 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_254 = eq(_T_253, UInt<5>("h013")) @[lib.scala 199:41] + _T_216[18] <= _T_254 @[lib.scala 199:23] + node _T_255 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_256 = eq(_T_255, UInt<5>("h014")) @[lib.scala 199:41] + _T_216[19] <= _T_256 @[lib.scala 199:23] + node _T_257 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_258 = eq(_T_257, UInt<5>("h015")) @[lib.scala 199:41] + _T_216[20] <= _T_258 @[lib.scala 199:23] + node _T_259 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_260 = eq(_T_259, UInt<5>("h016")) @[lib.scala 199:41] + _T_216[21] <= _T_260 @[lib.scala 199:23] + node _T_261 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_262 = eq(_T_261, UInt<5>("h017")) @[lib.scala 199:41] + _T_216[22] <= _T_262 @[lib.scala 199:23] + node _T_263 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_264 = eq(_T_263, UInt<5>("h018")) @[lib.scala 199:41] + _T_216[23] <= _T_264 @[lib.scala 199:23] + node _T_265 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_266 = eq(_T_265, UInt<5>("h019")) @[lib.scala 199:41] + _T_216[24] <= _T_266 @[lib.scala 199:23] + node _T_267 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_268 = eq(_T_267, UInt<5>("h01a")) @[lib.scala 199:41] + _T_216[25] <= _T_268 @[lib.scala 199:23] + node _T_269 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_270 = eq(_T_269, UInt<5>("h01b")) @[lib.scala 199:41] + _T_216[26] <= _T_270 @[lib.scala 199:23] + node _T_271 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_272 = eq(_T_271, UInt<5>("h01c")) @[lib.scala 199:41] + _T_216[27] <= _T_272 @[lib.scala 199:23] + node _T_273 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_274 = eq(_T_273, UInt<5>("h01d")) @[lib.scala 199:41] + _T_216[28] <= _T_274 @[lib.scala 199:23] + node _T_275 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_276 = eq(_T_275, UInt<5>("h01e")) @[lib.scala 199:41] + _T_216[29] <= _T_276 @[lib.scala 199:23] + node _T_277 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_278 = eq(_T_277, UInt<5>("h01f")) @[lib.scala 199:41] + _T_216[30] <= _T_278 @[lib.scala 199:23] + node _T_279 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_280 = eq(_T_279, UInt<6>("h020")) @[lib.scala 199:41] + _T_216[31] <= _T_280 @[lib.scala 199:23] + node _T_281 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_282 = eq(_T_281, UInt<6>("h021")) @[lib.scala 199:41] + _T_216[32] <= _T_282 @[lib.scala 199:23] + node _T_283 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_284 = eq(_T_283, UInt<6>("h022")) @[lib.scala 199:41] + _T_216[33] <= _T_284 @[lib.scala 199:23] + node _T_285 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_286 = eq(_T_285, UInt<6>("h023")) @[lib.scala 199:41] + _T_216[34] <= _T_286 @[lib.scala 199:23] + node _T_287 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_288 = eq(_T_287, UInt<6>("h024")) @[lib.scala 199:41] + _T_216[35] <= _T_288 @[lib.scala 199:23] + node _T_289 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_290 = eq(_T_289, UInt<6>("h025")) @[lib.scala 199:41] + _T_216[36] <= _T_290 @[lib.scala 199:23] + node _T_291 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_292 = eq(_T_291, UInt<6>("h026")) @[lib.scala 199:41] + _T_216[37] <= _T_292 @[lib.scala 199:23] + node _T_293 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_294 = eq(_T_293, UInt<6>("h027")) @[lib.scala 199:41] + _T_216[38] <= _T_294 @[lib.scala 199:23] + node _T_295 = bits(dccm_data_ecc_hi_any, 6, 6) @[lib.scala 201:37] + node _T_296 = bits(dccm_rdata_hi_any, 31, 26) @[lib.scala 201:45] + node _T_297 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 201:60] + node _T_298 = bits(dccm_rdata_hi_any, 25, 11) @[lib.scala 201:68] + node _T_299 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 201:83] + node _T_300 = bits(dccm_rdata_hi_any, 10, 4) @[lib.scala 201:91] + node _T_301 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 201:105] + node _T_302 = bits(dccm_rdata_hi_any, 3, 1) @[lib.scala 201:113] + node _T_303 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 201:126] + node _T_304 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 201:134] + node _T_305 = bits(dccm_data_ecc_hi_any, 1, 0) @[lib.scala 201:145] + node _T_306 = cat(_T_304, _T_305) @[Cat.scala 29:58] + node _T_307 = cat(_T_301, _T_302) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_303) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306) @[Cat.scala 29:58] + node _T_310 = cat(_T_298, _T_299) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_300) @[Cat.scala 29:58] + node _T_312 = cat(_T_295, _T_296) @[Cat.scala 29:58] + node _T_313 = cat(_T_312, _T_297) @[Cat.scala 29:58] + node _T_314 = cat(_T_313, _T_311) @[Cat.scala 29:58] + node _T_315 = cat(_T_314, _T_309) @[Cat.scala 29:58] + node _T_316 = bits(single_ecc_error_hi_any, 0, 0) @[lib.scala 202:49] + node _T_317 = cat(_T_216[1], _T_216[0]) @[lib.scala 202:69] + node _T_318 = cat(_T_216[3], _T_216[2]) @[lib.scala 202:69] + node _T_319 = cat(_T_318, _T_317) @[lib.scala 202:69] + node _T_320 = cat(_T_216[5], _T_216[4]) @[lib.scala 202:69] + node _T_321 = cat(_T_216[8], _T_216[7]) @[lib.scala 202:69] + node _T_322 = cat(_T_321, _T_216[6]) @[lib.scala 202:69] + node _T_323 = cat(_T_322, _T_320) @[lib.scala 202:69] + node _T_324 = cat(_T_323, _T_319) @[lib.scala 202:69] + node _T_325 = cat(_T_216[10], _T_216[9]) @[lib.scala 202:69] + node _T_326 = cat(_T_216[13], _T_216[12]) @[lib.scala 202:69] + node _T_327 = cat(_T_326, _T_216[11]) @[lib.scala 202:69] + node _T_328 = cat(_T_327, _T_325) @[lib.scala 202:69] + node _T_329 = cat(_T_216[15], _T_216[14]) @[lib.scala 202:69] + node _T_330 = cat(_T_216[18], _T_216[17]) @[lib.scala 202:69] + node _T_331 = cat(_T_330, _T_216[16]) @[lib.scala 202:69] + node _T_332 = cat(_T_331, _T_329) @[lib.scala 202:69] + node _T_333 = cat(_T_332, _T_328) @[lib.scala 202:69] + node _T_334 = cat(_T_333, _T_324) @[lib.scala 202:69] + node _T_335 = cat(_T_216[20], _T_216[19]) @[lib.scala 202:69] + node _T_336 = cat(_T_216[23], _T_216[22]) @[lib.scala 202:69] + node _T_337 = cat(_T_336, _T_216[21]) @[lib.scala 202:69] + node _T_338 = cat(_T_337, _T_335) @[lib.scala 202:69] + node _T_339 = cat(_T_216[25], _T_216[24]) @[lib.scala 202:69] + node _T_340 = cat(_T_216[28], _T_216[27]) @[lib.scala 202:69] + node _T_341 = cat(_T_340, _T_216[26]) @[lib.scala 202:69] + node _T_342 = cat(_T_341, _T_339) @[lib.scala 202:69] + node _T_343 = cat(_T_342, _T_338) @[lib.scala 202:69] + node _T_344 = cat(_T_216[30], _T_216[29]) @[lib.scala 202:69] + node _T_345 = cat(_T_216[33], _T_216[32]) @[lib.scala 202:69] + node _T_346 = cat(_T_345, _T_216[31]) @[lib.scala 202:69] + node _T_347 = cat(_T_346, _T_344) @[lib.scala 202:69] + node _T_348 = cat(_T_216[35], _T_216[34]) @[lib.scala 202:69] + node _T_349 = cat(_T_216[38], _T_216[37]) @[lib.scala 202:69] + node _T_350 = cat(_T_349, _T_216[36]) @[lib.scala 202:69] + node _T_351 = cat(_T_350, _T_348) @[lib.scala 202:69] + node _T_352 = cat(_T_351, _T_347) @[lib.scala 202:69] + node _T_353 = cat(_T_352, _T_343) @[lib.scala 202:69] + node _T_354 = cat(_T_353, _T_334) @[lib.scala 202:69] + node _T_355 = xor(_T_354, _T_315) @[lib.scala 202:76] + node _T_356 = mux(_T_316, _T_355, _T_315) @[lib.scala 202:31] + node _T_357 = bits(_T_356, 37, 32) @[lib.scala 204:37] + node _T_358 = bits(_T_356, 30, 16) @[lib.scala 204:61] + node _T_359 = bits(_T_356, 14, 8) @[lib.scala 204:86] + node _T_360 = bits(_T_356, 6, 4) @[lib.scala 204:110] + node _T_361 = bits(_T_356, 2, 2) @[lib.scala 204:133] + node _T_362 = cat(_T_360, _T_361) @[Cat.scala 29:58] + node _T_363 = cat(_T_357, _T_358) @[Cat.scala 29:58] + node _T_364 = cat(_T_363, _T_359) @[Cat.scala 29:58] + node sec_data_hi_any = cat(_T_364, _T_362) @[Cat.scala 29:58] + node _T_365 = bits(_T_356, 38, 38) @[lib.scala 205:39] + node _T_366 = bits(_T_208, 6, 0) @[lib.scala 205:56] + node _T_367 = eq(_T_366, UInt<7>("h040")) @[lib.scala 205:62] + node _T_368 = xor(_T_365, _T_367) @[lib.scala 205:44] + node _T_369 = bits(_T_356, 31, 31) @[lib.scala 205:102] + node _T_370 = bits(_T_356, 15, 15) @[lib.scala 205:124] + node _T_371 = bits(_T_356, 7, 7) @[lib.scala 205:146] + node _T_372 = bits(_T_356, 3, 3) @[lib.scala 205:167] + node _T_373 = bits(_T_356, 1, 0) @[lib.scala 205:188] + node _T_374 = cat(_T_371, _T_372) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_373) @[Cat.scala 29:58] + node _T_376 = cat(_T_368, _T_369) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_370) @[Cat.scala 29:58] + node ecc_out_hi_nc = cat(_T_377, _T_375) @[Cat.scala 29:58] + wire _T_378 : UInt<1>[18] @[lib.scala 173:18] + wire _T_379 : UInt<1>[18] @[lib.scala 174:18] + wire _T_380 : UInt<1>[18] @[lib.scala 175:18] + wire _T_381 : UInt<1>[15] @[lib.scala 176:18] + wire _T_382 : UInt<1>[15] @[lib.scala 177:18] + wire _T_383 : UInt<1>[6] @[lib.scala 178:18] + node _T_384 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 185:36] + _T_378[0] <= _T_384 @[lib.scala 185:30] + node _T_385 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 186:36] + _T_379[0] <= _T_385 @[lib.scala 186:30] + node _T_386 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 185:36] + _T_378[1] <= _T_386 @[lib.scala 185:30] + node _T_387 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 187:36] + _T_380[0] <= _T_387 @[lib.scala 187:30] + node _T_388 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 186:36] + _T_379[1] <= _T_388 @[lib.scala 186:30] + node _T_389 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 187:36] + _T_380[1] <= _T_389 @[lib.scala 187:30] + node _T_390 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 185:36] + _T_378[2] <= _T_390 @[lib.scala 185:30] + node _T_391 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 186:36] + _T_379[2] <= _T_391 @[lib.scala 186:30] + node _T_392 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 187:36] + _T_380[2] <= _T_392 @[lib.scala 187:30] + node _T_393 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 185:36] + _T_378[3] <= _T_393 @[lib.scala 185:30] + node _T_394 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 188:36] + _T_381[0] <= _T_394 @[lib.scala 188:30] + node _T_395 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 186:36] + _T_379[3] <= _T_395 @[lib.scala 186:30] + node _T_396 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 188:36] + _T_381[1] <= _T_396 @[lib.scala 188:30] + node _T_397 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 185:36] + _T_378[4] <= _T_397 @[lib.scala 185:30] + node _T_398 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 186:36] + _T_379[4] <= _T_398 @[lib.scala 186:30] + node _T_399 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 188:36] + _T_381[2] <= _T_399 @[lib.scala 188:30] + node _T_400 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 187:36] + _T_380[3] <= _T_400 @[lib.scala 187:30] + node _T_401 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 188:36] + _T_381[3] <= _T_401 @[lib.scala 188:30] + node _T_402 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 185:36] + _T_378[5] <= _T_402 @[lib.scala 185:30] + node _T_403 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 187:36] + _T_380[4] <= _T_403 @[lib.scala 187:30] + node _T_404 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 188:36] + _T_381[4] <= _T_404 @[lib.scala 188:30] + node _T_405 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 186:36] + _T_379[5] <= _T_405 @[lib.scala 186:30] + node _T_406 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 187:36] + _T_380[5] <= _T_406 @[lib.scala 187:30] + node _T_407 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 188:36] + _T_381[5] <= _T_407 @[lib.scala 188:30] + node _T_408 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 185:36] + _T_378[6] <= _T_408 @[lib.scala 185:30] + node _T_409 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 186:36] + _T_379[6] <= _T_409 @[lib.scala 186:30] + node _T_410 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 187:36] + _T_380[6] <= _T_410 @[lib.scala 187:30] + node _T_411 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 188:36] + _T_381[6] <= _T_411 @[lib.scala 188:30] + node _T_412 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 185:36] + _T_378[7] <= _T_412 @[lib.scala 185:30] + node _T_413 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 189:36] + _T_382[0] <= _T_413 @[lib.scala 189:30] + node _T_414 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 186:36] + _T_379[7] <= _T_414 @[lib.scala 186:30] + node _T_415 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 189:36] + _T_382[1] <= _T_415 @[lib.scala 189:30] + node _T_416 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 185:36] + _T_378[8] <= _T_416 @[lib.scala 185:30] + node _T_417 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 186:36] + _T_379[8] <= _T_417 @[lib.scala 186:30] + node _T_418 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 189:36] + _T_382[2] <= _T_418 @[lib.scala 189:30] + node _T_419 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 187:36] + _T_380[7] <= _T_419 @[lib.scala 187:30] + node _T_420 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 189:36] + _T_382[3] <= _T_420 @[lib.scala 189:30] + node _T_421 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 185:36] + _T_378[9] <= _T_421 @[lib.scala 185:30] + node _T_422 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 187:36] + _T_380[8] <= _T_422 @[lib.scala 187:30] + node _T_423 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 189:36] + _T_382[4] <= _T_423 @[lib.scala 189:30] + node _T_424 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 186:36] + _T_379[9] <= _T_424 @[lib.scala 186:30] + node _T_425 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 187:36] + _T_380[9] <= _T_425 @[lib.scala 187:30] + node _T_426 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 189:36] + _T_382[5] <= _T_426 @[lib.scala 189:30] + node _T_427 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 185:36] + _T_378[10] <= _T_427 @[lib.scala 185:30] + node _T_428 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 186:36] + _T_379[10] <= _T_428 @[lib.scala 186:30] + node _T_429 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 187:36] + _T_380[10] <= _T_429 @[lib.scala 187:30] + node _T_430 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 189:36] + _T_382[6] <= _T_430 @[lib.scala 189:30] + node _T_431 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 188:36] + _T_381[7] <= _T_431 @[lib.scala 188:30] + node _T_432 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 189:36] + _T_382[7] <= _T_432 @[lib.scala 189:30] + node _T_433 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 185:36] + _T_378[11] <= _T_433 @[lib.scala 185:30] + node _T_434 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 188:36] + _T_381[8] <= _T_434 @[lib.scala 188:30] + node _T_435 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 189:36] + _T_382[8] <= _T_435 @[lib.scala 189:30] + node _T_436 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 186:36] + _T_379[11] <= _T_436 @[lib.scala 186:30] + node _T_437 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 188:36] + _T_381[9] <= _T_437 @[lib.scala 188:30] + node _T_438 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 189:36] + _T_382[9] <= _T_438 @[lib.scala 189:30] + node _T_439 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 185:36] + _T_378[12] <= _T_439 @[lib.scala 185:30] + node _T_440 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 186:36] + _T_379[12] <= _T_440 @[lib.scala 186:30] + node _T_441 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 188:36] + _T_381[10] <= _T_441 @[lib.scala 188:30] + node _T_442 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 189:36] + _T_382[10] <= _T_442 @[lib.scala 189:30] + node _T_443 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 187:36] + _T_380[11] <= _T_443 @[lib.scala 187:30] + node _T_444 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 188:36] + _T_381[11] <= _T_444 @[lib.scala 188:30] + node _T_445 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 189:36] + _T_382[11] <= _T_445 @[lib.scala 189:30] + node _T_446 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 185:36] + _T_378[13] <= _T_446 @[lib.scala 185:30] + node _T_447 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 187:36] + _T_380[12] <= _T_447 @[lib.scala 187:30] + node _T_448 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 188:36] + _T_381[12] <= _T_448 @[lib.scala 188:30] + node _T_449 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 189:36] + _T_382[12] <= _T_449 @[lib.scala 189:30] + node _T_450 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 186:36] + _T_379[13] <= _T_450 @[lib.scala 186:30] + node _T_451 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 187:36] + _T_380[13] <= _T_451 @[lib.scala 187:30] + node _T_452 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 188:36] + _T_381[13] <= _T_452 @[lib.scala 188:30] + node _T_453 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 189:36] + _T_382[13] <= _T_453 @[lib.scala 189:30] + node _T_454 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 185:36] + _T_378[14] <= _T_454 @[lib.scala 185:30] + node _T_455 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 186:36] + _T_379[14] <= _T_455 @[lib.scala 186:30] + node _T_456 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 187:36] + _T_380[14] <= _T_456 @[lib.scala 187:30] + node _T_457 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 188:36] + _T_381[14] <= _T_457 @[lib.scala 188:30] + node _T_458 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 189:36] + _T_382[14] <= _T_458 @[lib.scala 189:30] + node _T_459 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 185:36] + _T_378[15] <= _T_459 @[lib.scala 185:30] + node _T_460 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 190:36] + _T_383[0] <= _T_460 @[lib.scala 190:30] + node _T_461 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 186:36] + _T_379[15] <= _T_461 @[lib.scala 186:30] + node _T_462 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 190:36] + _T_383[1] <= _T_462 @[lib.scala 190:30] + node _T_463 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 185:36] + _T_378[16] <= _T_463 @[lib.scala 185:30] + node _T_464 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 186:36] + _T_379[16] <= _T_464 @[lib.scala 186:30] + node _T_465 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 190:36] + _T_383[2] <= _T_465 @[lib.scala 190:30] + node _T_466 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 187:36] + _T_380[15] <= _T_466 @[lib.scala 187:30] + node _T_467 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 190:36] + _T_383[3] <= _T_467 @[lib.scala 190:30] + node _T_468 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 185:36] + _T_378[17] <= _T_468 @[lib.scala 185:30] + node _T_469 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 187:36] + _T_380[16] <= _T_469 @[lib.scala 187:30] + node _T_470 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 190:36] + _T_383[4] <= _T_470 @[lib.scala 190:30] + node _T_471 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 186:36] + _T_379[17] <= _T_471 @[lib.scala 186:30] + node _T_472 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 187:36] + _T_380[17] <= _T_472 @[lib.scala 187:30] + node _T_473 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 190:36] + _T_383[5] <= _T_473 @[lib.scala 190:30] + node _T_474 = xorr(dccm_rdata_lo_any) @[lib.scala 193:30] + node _T_475 = xorr(dccm_data_ecc_lo_any) @[lib.scala 193:44] + node _T_476 = xor(_T_474, _T_475) @[lib.scala 193:35] + node _T_477 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_478 = and(_T_476, _T_477) @[lib.scala 193:50] + node _T_479 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 193:68] + node _T_480 = cat(_T_383[2], _T_383[1]) @[lib.scala 193:76] + node _T_481 = cat(_T_480, _T_383[0]) @[lib.scala 193:76] + node _T_482 = cat(_T_383[5], _T_383[4]) @[lib.scala 193:76] + node _T_483 = cat(_T_482, _T_383[3]) @[lib.scala 193:76] + node _T_484 = cat(_T_483, _T_481) @[lib.scala 193:76] + node _T_485 = xorr(_T_484) @[lib.scala 193:83] + node _T_486 = xor(_T_479, _T_485) @[lib.scala 193:71] + node _T_487 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 193:95] + node _T_488 = cat(_T_382[2], _T_382[1]) @[lib.scala 193:103] + node _T_489 = cat(_T_488, _T_382[0]) @[lib.scala 193:103] + node _T_490 = cat(_T_382[4], _T_382[3]) @[lib.scala 193:103] + node _T_491 = cat(_T_382[6], _T_382[5]) @[lib.scala 193:103] + node _T_492 = cat(_T_491, _T_490) @[lib.scala 193:103] + node _T_493 = cat(_T_492, _T_489) @[lib.scala 193:103] + node _T_494 = cat(_T_382[8], _T_382[7]) @[lib.scala 193:103] + node _T_495 = cat(_T_382[10], _T_382[9]) @[lib.scala 193:103] + node _T_496 = cat(_T_495, _T_494) @[lib.scala 193:103] + node _T_497 = cat(_T_382[12], _T_382[11]) @[lib.scala 193:103] + node _T_498 = cat(_T_382[14], _T_382[13]) @[lib.scala 193:103] + node _T_499 = cat(_T_498, _T_497) @[lib.scala 193:103] + node _T_500 = cat(_T_499, _T_496) @[lib.scala 193:103] + node _T_501 = cat(_T_500, _T_493) @[lib.scala 193:103] + node _T_502 = xorr(_T_501) @[lib.scala 193:110] + node _T_503 = xor(_T_487, _T_502) @[lib.scala 193:98] + node _T_504 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 193:122] + node _T_505 = cat(_T_381[2], _T_381[1]) @[lib.scala 193:130] + node _T_506 = cat(_T_505, _T_381[0]) @[lib.scala 193:130] + node _T_507 = cat(_T_381[4], _T_381[3]) @[lib.scala 193:130] + node _T_508 = cat(_T_381[6], _T_381[5]) @[lib.scala 193:130] + node _T_509 = cat(_T_508, _T_507) @[lib.scala 193:130] + node _T_510 = cat(_T_509, _T_506) @[lib.scala 193:130] + node _T_511 = cat(_T_381[8], _T_381[7]) @[lib.scala 193:130] + node _T_512 = cat(_T_381[10], _T_381[9]) @[lib.scala 193:130] + node _T_513 = cat(_T_512, _T_511) @[lib.scala 193:130] + node _T_514 = cat(_T_381[12], _T_381[11]) @[lib.scala 193:130] + node _T_515 = cat(_T_381[14], _T_381[13]) @[lib.scala 193:130] + node _T_516 = cat(_T_515, _T_514) @[lib.scala 193:130] + node _T_517 = cat(_T_516, _T_513) @[lib.scala 193:130] + node _T_518 = cat(_T_517, _T_510) @[lib.scala 193:130] + node _T_519 = xorr(_T_518) @[lib.scala 193:137] + node _T_520 = xor(_T_504, _T_519) @[lib.scala 193:125] + node _T_521 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 193:149] + node _T_522 = cat(_T_380[1], _T_380[0]) @[lib.scala 193:157] + node _T_523 = cat(_T_380[3], _T_380[2]) @[lib.scala 193:157] + node _T_524 = cat(_T_523, _T_522) @[lib.scala 193:157] + node _T_525 = cat(_T_380[5], _T_380[4]) @[lib.scala 193:157] + node _T_526 = cat(_T_380[8], _T_380[7]) @[lib.scala 193:157] + node _T_527 = cat(_T_526, _T_380[6]) @[lib.scala 193:157] + node _T_528 = cat(_T_527, _T_525) @[lib.scala 193:157] + node _T_529 = cat(_T_528, _T_524) @[lib.scala 193:157] + node _T_530 = cat(_T_380[10], _T_380[9]) @[lib.scala 193:157] + node _T_531 = cat(_T_380[12], _T_380[11]) @[lib.scala 193:157] + node _T_532 = cat(_T_531, _T_530) @[lib.scala 193:157] + node _T_533 = cat(_T_380[14], _T_380[13]) @[lib.scala 193:157] + node _T_534 = cat(_T_380[17], _T_380[16]) @[lib.scala 193:157] + node _T_535 = cat(_T_534, _T_380[15]) @[lib.scala 193:157] + node _T_536 = cat(_T_535, _T_533) @[lib.scala 193:157] + node _T_537 = cat(_T_536, _T_532) @[lib.scala 193:157] + node _T_538 = cat(_T_537, _T_529) @[lib.scala 193:157] + node _T_539 = xorr(_T_538) @[lib.scala 193:164] + node _T_540 = xor(_T_521, _T_539) @[lib.scala 193:152] + node _T_541 = bits(dccm_data_ecc_lo_any, 1, 1) @[lib.scala 193:176] + node _T_542 = cat(_T_379[1], _T_379[0]) @[lib.scala 193:184] + node _T_543 = cat(_T_379[3], _T_379[2]) @[lib.scala 193:184] + node _T_544 = cat(_T_543, _T_542) @[lib.scala 193:184] + node _T_545 = cat(_T_379[5], _T_379[4]) @[lib.scala 193:184] + node _T_546 = cat(_T_379[8], _T_379[7]) @[lib.scala 193:184] + node _T_547 = cat(_T_546, _T_379[6]) @[lib.scala 193:184] + node _T_548 = cat(_T_547, _T_545) @[lib.scala 193:184] + node _T_549 = cat(_T_548, _T_544) @[lib.scala 193:184] + node _T_550 = cat(_T_379[10], _T_379[9]) @[lib.scala 193:184] + node _T_551 = cat(_T_379[12], _T_379[11]) @[lib.scala 193:184] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 193:184] + node _T_553 = cat(_T_379[14], _T_379[13]) @[lib.scala 193:184] + node _T_554 = cat(_T_379[17], _T_379[16]) @[lib.scala 193:184] + node _T_555 = cat(_T_554, _T_379[15]) @[lib.scala 193:184] + node _T_556 = cat(_T_555, _T_553) @[lib.scala 193:184] + node _T_557 = cat(_T_556, _T_552) @[lib.scala 193:184] + node _T_558 = cat(_T_557, _T_549) @[lib.scala 193:184] + node _T_559 = xorr(_T_558) @[lib.scala 193:191] + node _T_560 = xor(_T_541, _T_559) @[lib.scala 193:179] + node _T_561 = bits(dccm_data_ecc_lo_any, 0, 0) @[lib.scala 193:203] + node _T_562 = cat(_T_378[1], _T_378[0]) @[lib.scala 193:211] + node _T_563 = cat(_T_378[3], _T_378[2]) @[lib.scala 193:211] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 193:211] + node _T_565 = cat(_T_378[5], _T_378[4]) @[lib.scala 193:211] + node _T_566 = cat(_T_378[8], _T_378[7]) @[lib.scala 193:211] + node _T_567 = cat(_T_566, _T_378[6]) @[lib.scala 193:211] + node _T_568 = cat(_T_567, _T_565) @[lib.scala 193:211] + node _T_569 = cat(_T_568, _T_564) @[lib.scala 193:211] + node _T_570 = cat(_T_378[10], _T_378[9]) @[lib.scala 193:211] + node _T_571 = cat(_T_378[12], _T_378[11]) @[lib.scala 193:211] + node _T_572 = cat(_T_571, _T_570) @[lib.scala 193:211] + node _T_573 = cat(_T_378[14], _T_378[13]) @[lib.scala 193:211] + node _T_574 = cat(_T_378[17], _T_378[16]) @[lib.scala 193:211] + node _T_575 = cat(_T_574, _T_378[15]) @[lib.scala 193:211] + node _T_576 = cat(_T_575, _T_573) @[lib.scala 193:211] + node _T_577 = cat(_T_576, _T_572) @[lib.scala 193:211] + node _T_578 = cat(_T_577, _T_569) @[lib.scala 193:211] + node _T_579 = xorr(_T_578) @[lib.scala 193:218] + node _T_580 = xor(_T_561, _T_579) @[lib.scala 193:206] + node _T_581 = cat(_T_540, _T_560) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_580) @[Cat.scala 29:58] + node _T_583 = cat(_T_503, _T_520) @[Cat.scala 29:58] + node _T_584 = cat(_T_478, _T_486) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_583) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_582) @[Cat.scala 29:58] + node _T_587 = neq(_T_586, UInt<1>("h00")) @[lib.scala 194:44] + node _T_588 = and(is_ldst_lo_any, _T_587) @[lib.scala 194:32] + node _T_589 = bits(_T_586, 6, 6) @[lib.scala 194:64] + node single_ecc_error_lo_any = and(_T_588, _T_589) @[lib.scala 194:53] + node _T_590 = neq(_T_586, UInt<1>("h00")) @[lib.scala 195:44] + node _T_591 = and(is_ldst_lo_any, _T_590) @[lib.scala 195:32] + node _T_592 = bits(_T_586, 6, 6) @[lib.scala 195:65] + node _T_593 = not(_T_592) @[lib.scala 195:55] + node double_ecc_error_lo_any = and(_T_591, _T_593) @[lib.scala 195:53] + wire _T_594 : UInt<1>[39] @[lib.scala 196:26] + node _T_595 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_596 = eq(_T_595, UInt<1>("h01")) @[lib.scala 199:41] + _T_594[0] <= _T_596 @[lib.scala 199:23] + node _T_597 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_598 = eq(_T_597, UInt<2>("h02")) @[lib.scala 199:41] + _T_594[1] <= _T_598 @[lib.scala 199:23] + node _T_599 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_600 = eq(_T_599, UInt<2>("h03")) @[lib.scala 199:41] + _T_594[2] <= _T_600 @[lib.scala 199:23] + node _T_601 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_602 = eq(_T_601, UInt<3>("h04")) @[lib.scala 199:41] + _T_594[3] <= _T_602 @[lib.scala 199:23] + node _T_603 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_604 = eq(_T_603, UInt<3>("h05")) @[lib.scala 199:41] + _T_594[4] <= _T_604 @[lib.scala 199:23] + node _T_605 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_606 = eq(_T_605, UInt<3>("h06")) @[lib.scala 199:41] + _T_594[5] <= _T_606 @[lib.scala 199:23] + node _T_607 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_608 = eq(_T_607, UInt<3>("h07")) @[lib.scala 199:41] + _T_594[6] <= _T_608 @[lib.scala 199:23] + node _T_609 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_610 = eq(_T_609, UInt<4>("h08")) @[lib.scala 199:41] + _T_594[7] <= _T_610 @[lib.scala 199:23] + node _T_611 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_612 = eq(_T_611, UInt<4>("h09")) @[lib.scala 199:41] + _T_594[8] <= _T_612 @[lib.scala 199:23] + node _T_613 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_614 = eq(_T_613, UInt<4>("h0a")) @[lib.scala 199:41] + _T_594[9] <= _T_614 @[lib.scala 199:23] + node _T_615 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_616 = eq(_T_615, UInt<4>("h0b")) @[lib.scala 199:41] + _T_594[10] <= _T_616 @[lib.scala 199:23] + node _T_617 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_618 = eq(_T_617, UInt<4>("h0c")) @[lib.scala 199:41] + _T_594[11] <= _T_618 @[lib.scala 199:23] + node _T_619 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_620 = eq(_T_619, UInt<4>("h0d")) @[lib.scala 199:41] + _T_594[12] <= _T_620 @[lib.scala 199:23] + node _T_621 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_622 = eq(_T_621, UInt<4>("h0e")) @[lib.scala 199:41] + _T_594[13] <= _T_622 @[lib.scala 199:23] + node _T_623 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_624 = eq(_T_623, UInt<4>("h0f")) @[lib.scala 199:41] + _T_594[14] <= _T_624 @[lib.scala 199:23] + node _T_625 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_626 = eq(_T_625, UInt<5>("h010")) @[lib.scala 199:41] + _T_594[15] <= _T_626 @[lib.scala 199:23] + node _T_627 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_628 = eq(_T_627, UInt<5>("h011")) @[lib.scala 199:41] + _T_594[16] <= _T_628 @[lib.scala 199:23] + node _T_629 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_630 = eq(_T_629, UInt<5>("h012")) @[lib.scala 199:41] + _T_594[17] <= _T_630 @[lib.scala 199:23] + node _T_631 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_632 = eq(_T_631, UInt<5>("h013")) @[lib.scala 199:41] + _T_594[18] <= _T_632 @[lib.scala 199:23] + node _T_633 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_634 = eq(_T_633, UInt<5>("h014")) @[lib.scala 199:41] + _T_594[19] <= _T_634 @[lib.scala 199:23] + node _T_635 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_636 = eq(_T_635, UInt<5>("h015")) @[lib.scala 199:41] + _T_594[20] <= _T_636 @[lib.scala 199:23] + node _T_637 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_638 = eq(_T_637, UInt<5>("h016")) @[lib.scala 199:41] + _T_594[21] <= _T_638 @[lib.scala 199:23] + node _T_639 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_640 = eq(_T_639, UInt<5>("h017")) @[lib.scala 199:41] + _T_594[22] <= _T_640 @[lib.scala 199:23] + node _T_641 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_642 = eq(_T_641, UInt<5>("h018")) @[lib.scala 199:41] + _T_594[23] <= _T_642 @[lib.scala 199:23] + node _T_643 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_644 = eq(_T_643, UInt<5>("h019")) @[lib.scala 199:41] + _T_594[24] <= _T_644 @[lib.scala 199:23] + node _T_645 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_646 = eq(_T_645, UInt<5>("h01a")) @[lib.scala 199:41] + _T_594[25] <= _T_646 @[lib.scala 199:23] + node _T_647 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_648 = eq(_T_647, UInt<5>("h01b")) @[lib.scala 199:41] + _T_594[26] <= _T_648 @[lib.scala 199:23] + node _T_649 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_650 = eq(_T_649, UInt<5>("h01c")) @[lib.scala 199:41] + _T_594[27] <= _T_650 @[lib.scala 199:23] + node _T_651 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_652 = eq(_T_651, UInt<5>("h01d")) @[lib.scala 199:41] + _T_594[28] <= _T_652 @[lib.scala 199:23] + node _T_653 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_654 = eq(_T_653, UInt<5>("h01e")) @[lib.scala 199:41] + _T_594[29] <= _T_654 @[lib.scala 199:23] + node _T_655 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_656 = eq(_T_655, UInt<5>("h01f")) @[lib.scala 199:41] + _T_594[30] <= _T_656 @[lib.scala 199:23] + node _T_657 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_658 = eq(_T_657, UInt<6>("h020")) @[lib.scala 199:41] + _T_594[31] <= _T_658 @[lib.scala 199:23] + node _T_659 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_660 = eq(_T_659, UInt<6>("h021")) @[lib.scala 199:41] + _T_594[32] <= _T_660 @[lib.scala 199:23] + node _T_661 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_662 = eq(_T_661, UInt<6>("h022")) @[lib.scala 199:41] + _T_594[33] <= _T_662 @[lib.scala 199:23] + node _T_663 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_664 = eq(_T_663, UInt<6>("h023")) @[lib.scala 199:41] + _T_594[34] <= _T_664 @[lib.scala 199:23] + node _T_665 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_666 = eq(_T_665, UInt<6>("h024")) @[lib.scala 199:41] + _T_594[35] <= _T_666 @[lib.scala 199:23] + node _T_667 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_668 = eq(_T_667, UInt<6>("h025")) @[lib.scala 199:41] + _T_594[36] <= _T_668 @[lib.scala 199:23] + node _T_669 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_670 = eq(_T_669, UInt<6>("h026")) @[lib.scala 199:41] + _T_594[37] <= _T_670 @[lib.scala 199:23] + node _T_671 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_672 = eq(_T_671, UInt<6>("h027")) @[lib.scala 199:41] + _T_594[38] <= _T_672 @[lib.scala 199:23] + node _T_673 = bits(dccm_data_ecc_lo_any, 6, 6) @[lib.scala 201:37] + node _T_674 = bits(dccm_rdata_lo_any, 31, 26) @[lib.scala 201:45] + node _T_675 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 201:60] + node _T_676 = bits(dccm_rdata_lo_any, 25, 11) @[lib.scala 201:68] + node _T_677 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 201:83] + node _T_678 = bits(dccm_rdata_lo_any, 10, 4) @[lib.scala 201:91] + node _T_679 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 201:105] + node _T_680 = bits(dccm_rdata_lo_any, 3, 1) @[lib.scala 201:113] + node _T_681 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 201:126] + node _T_682 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 201:134] + node _T_683 = bits(dccm_data_ecc_lo_any, 1, 0) @[lib.scala 201:145] + node _T_684 = cat(_T_682, _T_683) @[Cat.scala 29:58] + node _T_685 = cat(_T_679, _T_680) @[Cat.scala 29:58] + node _T_686 = cat(_T_685, _T_681) @[Cat.scala 29:58] + node _T_687 = cat(_T_686, _T_684) @[Cat.scala 29:58] + node _T_688 = cat(_T_676, _T_677) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_678) @[Cat.scala 29:58] + node _T_690 = cat(_T_673, _T_674) @[Cat.scala 29:58] + node _T_691 = cat(_T_690, _T_675) @[Cat.scala 29:58] + node _T_692 = cat(_T_691, _T_689) @[Cat.scala 29:58] + node _T_693 = cat(_T_692, _T_687) @[Cat.scala 29:58] + node _T_694 = bits(single_ecc_error_lo_any, 0, 0) @[lib.scala 202:49] + node _T_695 = cat(_T_594[1], _T_594[0]) @[lib.scala 202:69] + node _T_696 = cat(_T_594[3], _T_594[2]) @[lib.scala 202:69] + node _T_697 = cat(_T_696, _T_695) @[lib.scala 202:69] + node _T_698 = cat(_T_594[5], _T_594[4]) @[lib.scala 202:69] + node _T_699 = cat(_T_594[8], _T_594[7]) @[lib.scala 202:69] + node _T_700 = cat(_T_699, _T_594[6]) @[lib.scala 202:69] + node _T_701 = cat(_T_700, _T_698) @[lib.scala 202:69] + node _T_702 = cat(_T_701, _T_697) @[lib.scala 202:69] + node _T_703 = cat(_T_594[10], _T_594[9]) @[lib.scala 202:69] + node _T_704 = cat(_T_594[13], _T_594[12]) @[lib.scala 202:69] + node _T_705 = cat(_T_704, _T_594[11]) @[lib.scala 202:69] + node _T_706 = cat(_T_705, _T_703) @[lib.scala 202:69] + node _T_707 = cat(_T_594[15], _T_594[14]) @[lib.scala 202:69] + node _T_708 = cat(_T_594[18], _T_594[17]) @[lib.scala 202:69] + node _T_709 = cat(_T_708, _T_594[16]) @[lib.scala 202:69] + node _T_710 = cat(_T_709, _T_707) @[lib.scala 202:69] + node _T_711 = cat(_T_710, _T_706) @[lib.scala 202:69] + node _T_712 = cat(_T_711, _T_702) @[lib.scala 202:69] + node _T_713 = cat(_T_594[20], _T_594[19]) @[lib.scala 202:69] + node _T_714 = cat(_T_594[23], _T_594[22]) @[lib.scala 202:69] + node _T_715 = cat(_T_714, _T_594[21]) @[lib.scala 202:69] + node _T_716 = cat(_T_715, _T_713) @[lib.scala 202:69] + node _T_717 = cat(_T_594[25], _T_594[24]) @[lib.scala 202:69] + node _T_718 = cat(_T_594[28], _T_594[27]) @[lib.scala 202:69] + node _T_719 = cat(_T_718, _T_594[26]) @[lib.scala 202:69] + node _T_720 = cat(_T_719, _T_717) @[lib.scala 202:69] + node _T_721 = cat(_T_720, _T_716) @[lib.scala 202:69] + node _T_722 = cat(_T_594[30], _T_594[29]) @[lib.scala 202:69] + node _T_723 = cat(_T_594[33], _T_594[32]) @[lib.scala 202:69] + node _T_724 = cat(_T_723, _T_594[31]) @[lib.scala 202:69] + node _T_725 = cat(_T_724, _T_722) @[lib.scala 202:69] + node _T_726 = cat(_T_594[35], _T_594[34]) @[lib.scala 202:69] + node _T_727 = cat(_T_594[38], _T_594[37]) @[lib.scala 202:69] + node _T_728 = cat(_T_727, _T_594[36]) @[lib.scala 202:69] + node _T_729 = cat(_T_728, _T_726) @[lib.scala 202:69] + node _T_730 = cat(_T_729, _T_725) @[lib.scala 202:69] + node _T_731 = cat(_T_730, _T_721) @[lib.scala 202:69] + node _T_732 = cat(_T_731, _T_712) @[lib.scala 202:69] + node _T_733 = xor(_T_732, _T_693) @[lib.scala 202:76] + node _T_734 = mux(_T_694, _T_733, _T_693) @[lib.scala 202:31] + node _T_735 = bits(_T_734, 37, 32) @[lib.scala 204:37] + node _T_736 = bits(_T_734, 30, 16) @[lib.scala 204:61] + node _T_737 = bits(_T_734, 14, 8) @[lib.scala 204:86] + node _T_738 = bits(_T_734, 6, 4) @[lib.scala 204:110] + node _T_739 = bits(_T_734, 2, 2) @[lib.scala 204:133] + node _T_740 = cat(_T_738, _T_739) @[Cat.scala 29:58] + node _T_741 = cat(_T_735, _T_736) @[Cat.scala 29:58] + node _T_742 = cat(_T_741, _T_737) @[Cat.scala 29:58] + node sec_data_lo_any = cat(_T_742, _T_740) @[Cat.scala 29:58] + node _T_743 = bits(_T_734, 38, 38) @[lib.scala 205:39] + node _T_744 = bits(_T_586, 6, 0) @[lib.scala 205:56] + node _T_745 = eq(_T_744, UInt<7>("h040")) @[lib.scala 205:62] + node _T_746 = xor(_T_743, _T_745) @[lib.scala 205:44] + node _T_747 = bits(_T_734, 31, 31) @[lib.scala 205:102] + node _T_748 = bits(_T_734, 15, 15) @[lib.scala 205:124] + node _T_749 = bits(_T_734, 7, 7) @[lib.scala 205:146] + node _T_750 = bits(_T_734, 3, 3) @[lib.scala 205:167] + node _T_751 = bits(_T_734, 1, 0) @[lib.scala 205:188] + node _T_752 = cat(_T_749, _T_750) @[Cat.scala 29:58] + node _T_753 = cat(_T_752, _T_751) @[Cat.scala 29:58] + node _T_754 = cat(_T_746, _T_747) @[Cat.scala 29:58] + node _T_755 = cat(_T_754, _T_748) @[Cat.scala 29:58] + node ecc_out_lo_nc = cat(_T_755, _T_753) @[Cat.scala 29:58] + node _T_756 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_757 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_758 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_759 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_760 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_761 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_762 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_763 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_764 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_765 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_766 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_767 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_768 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_769 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_770 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_771 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_772 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_773 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_774 = xor(_T_756, _T_757) @[lib.scala 119:74] + node _T_775 = xor(_T_774, _T_758) @[lib.scala 119:74] + node _T_776 = xor(_T_775, _T_759) @[lib.scala 119:74] + node _T_777 = xor(_T_776, _T_760) @[lib.scala 119:74] + node _T_778 = xor(_T_777, _T_761) @[lib.scala 119:74] + node _T_779 = xor(_T_778, _T_762) @[lib.scala 119:74] + node _T_780 = xor(_T_779, _T_763) @[lib.scala 119:74] + node _T_781 = xor(_T_780, _T_764) @[lib.scala 119:74] + node _T_782 = xor(_T_781, _T_765) @[lib.scala 119:74] + node _T_783 = xor(_T_782, _T_766) @[lib.scala 119:74] + node _T_784 = xor(_T_783, _T_767) @[lib.scala 119:74] + node _T_785 = xor(_T_784, _T_768) @[lib.scala 119:74] + node _T_786 = xor(_T_785, _T_769) @[lib.scala 119:74] + node _T_787 = xor(_T_786, _T_770) @[lib.scala 119:74] + node _T_788 = xor(_T_787, _T_771) @[lib.scala 119:74] + node _T_789 = xor(_T_788, _T_772) @[lib.scala 119:74] + node _T_790 = xor(_T_789, _T_773) @[lib.scala 119:74] + node _T_791 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_792 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_793 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_794 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_795 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_796 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_797 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_798 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_799 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_800 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_801 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_802 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_803 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_804 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_805 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_806 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_807 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_808 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_809 = xor(_T_791, _T_792) @[lib.scala 119:74] + node _T_810 = xor(_T_809, _T_793) @[lib.scala 119:74] + node _T_811 = xor(_T_810, _T_794) @[lib.scala 119:74] + node _T_812 = xor(_T_811, _T_795) @[lib.scala 119:74] + node _T_813 = xor(_T_812, _T_796) @[lib.scala 119:74] + node _T_814 = xor(_T_813, _T_797) @[lib.scala 119:74] + node _T_815 = xor(_T_814, _T_798) @[lib.scala 119:74] + node _T_816 = xor(_T_815, _T_799) @[lib.scala 119:74] + node _T_817 = xor(_T_816, _T_800) @[lib.scala 119:74] + node _T_818 = xor(_T_817, _T_801) @[lib.scala 119:74] + node _T_819 = xor(_T_818, _T_802) @[lib.scala 119:74] + node _T_820 = xor(_T_819, _T_803) @[lib.scala 119:74] + node _T_821 = xor(_T_820, _T_804) @[lib.scala 119:74] + node _T_822 = xor(_T_821, _T_805) @[lib.scala 119:74] + node _T_823 = xor(_T_822, _T_806) @[lib.scala 119:74] + node _T_824 = xor(_T_823, _T_807) @[lib.scala 119:74] + node _T_825 = xor(_T_824, _T_808) @[lib.scala 119:74] + node _T_826 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_827 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_828 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_829 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_830 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_831 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_832 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_833 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_834 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_835 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_836 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_837 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_838 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_839 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_840 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_841 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_842 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_843 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_844 = xor(_T_826, _T_827) @[lib.scala 119:74] + node _T_845 = xor(_T_844, _T_828) @[lib.scala 119:74] + node _T_846 = xor(_T_845, _T_829) @[lib.scala 119:74] + node _T_847 = xor(_T_846, _T_830) @[lib.scala 119:74] + node _T_848 = xor(_T_847, _T_831) @[lib.scala 119:74] + node _T_849 = xor(_T_848, _T_832) @[lib.scala 119:74] + node _T_850 = xor(_T_849, _T_833) @[lib.scala 119:74] + node _T_851 = xor(_T_850, _T_834) @[lib.scala 119:74] + node _T_852 = xor(_T_851, _T_835) @[lib.scala 119:74] + node _T_853 = xor(_T_852, _T_836) @[lib.scala 119:74] + node _T_854 = xor(_T_853, _T_837) @[lib.scala 119:74] + node _T_855 = xor(_T_854, _T_838) @[lib.scala 119:74] + node _T_856 = xor(_T_855, _T_839) @[lib.scala 119:74] + node _T_857 = xor(_T_856, _T_840) @[lib.scala 119:74] + node _T_858 = xor(_T_857, _T_841) @[lib.scala 119:74] + node _T_859 = xor(_T_858, _T_842) @[lib.scala 119:74] + node _T_860 = xor(_T_859, _T_843) @[lib.scala 119:74] + node _T_861 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_862 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_863 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_864 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_865 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_866 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_867 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_868 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_869 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_870 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_871 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_872 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_873 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_874 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_875 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_876 = xor(_T_861, _T_862) @[lib.scala 119:74] + node _T_877 = xor(_T_876, _T_863) @[lib.scala 119:74] + node _T_878 = xor(_T_877, _T_864) @[lib.scala 119:74] + node _T_879 = xor(_T_878, _T_865) @[lib.scala 119:74] + node _T_880 = xor(_T_879, _T_866) @[lib.scala 119:74] + node _T_881 = xor(_T_880, _T_867) @[lib.scala 119:74] + node _T_882 = xor(_T_881, _T_868) @[lib.scala 119:74] + node _T_883 = xor(_T_882, _T_869) @[lib.scala 119:74] + node _T_884 = xor(_T_883, _T_870) @[lib.scala 119:74] + node _T_885 = xor(_T_884, _T_871) @[lib.scala 119:74] + node _T_886 = xor(_T_885, _T_872) @[lib.scala 119:74] + node _T_887 = xor(_T_886, _T_873) @[lib.scala 119:74] + node _T_888 = xor(_T_887, _T_874) @[lib.scala 119:74] + node _T_889 = xor(_T_888, _T_875) @[lib.scala 119:74] + node _T_890 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_891 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_892 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_893 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_894 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_895 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_896 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_897 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_898 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_899 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_900 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_901 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_902 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_903 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_904 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_905 = xor(_T_890, _T_891) @[lib.scala 119:74] + node _T_906 = xor(_T_905, _T_892) @[lib.scala 119:74] + node _T_907 = xor(_T_906, _T_893) @[lib.scala 119:74] + node _T_908 = xor(_T_907, _T_894) @[lib.scala 119:74] + node _T_909 = xor(_T_908, _T_895) @[lib.scala 119:74] + node _T_910 = xor(_T_909, _T_896) @[lib.scala 119:74] + node _T_911 = xor(_T_910, _T_897) @[lib.scala 119:74] + node _T_912 = xor(_T_911, _T_898) @[lib.scala 119:74] + node _T_913 = xor(_T_912, _T_899) @[lib.scala 119:74] + node _T_914 = xor(_T_913, _T_900) @[lib.scala 119:74] + node _T_915 = xor(_T_914, _T_901) @[lib.scala 119:74] + node _T_916 = xor(_T_915, _T_902) @[lib.scala 119:74] + node _T_917 = xor(_T_916, _T_903) @[lib.scala 119:74] + node _T_918 = xor(_T_917, _T_904) @[lib.scala 119:74] + node _T_919 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_920 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_921 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_922 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_923 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_924 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_925 = xor(_T_919, _T_920) @[lib.scala 119:74] + node _T_926 = xor(_T_925, _T_921) @[lib.scala 119:74] + node _T_927 = xor(_T_926, _T_922) @[lib.scala 119:74] + node _T_928 = xor(_T_927, _T_923) @[lib.scala 119:74] + node _T_929 = xor(_T_928, _T_924) @[lib.scala 119:74] + node _T_930 = cat(_T_860, _T_825) @[Cat.scala 29:58] + node _T_931 = cat(_T_930, _T_790) @[Cat.scala 29:58] + node _T_932 = cat(_T_929, _T_918) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_889) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_931) @[Cat.scala 29:58] + node _T_935 = xorr(dccm_wdata_lo_any) @[lib.scala 127:13] + node _T_936 = xorr(_T_934) @[lib.scala 127:23] + node _T_937 = xor(_T_935, _T_936) @[lib.scala 127:18] + node dccm_wdata_ecc_lo_any = cat(_T_937, _T_934) @[Cat.scala 29:58] + node _T_938 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_939 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_940 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_941 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_942 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_943 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_944 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_945 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_946 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_947 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_948 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_949 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_950 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_951 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_952 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_953 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_954 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_955 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_956 = xor(_T_938, _T_939) @[lib.scala 119:74] + node _T_957 = xor(_T_956, _T_940) @[lib.scala 119:74] + node _T_958 = xor(_T_957, _T_941) @[lib.scala 119:74] + node _T_959 = xor(_T_958, _T_942) @[lib.scala 119:74] + node _T_960 = xor(_T_959, _T_943) @[lib.scala 119:74] + node _T_961 = xor(_T_960, _T_944) @[lib.scala 119:74] + node _T_962 = xor(_T_961, _T_945) @[lib.scala 119:74] + node _T_963 = xor(_T_962, _T_946) @[lib.scala 119:74] + node _T_964 = xor(_T_963, _T_947) @[lib.scala 119:74] + node _T_965 = xor(_T_964, _T_948) @[lib.scala 119:74] + node _T_966 = xor(_T_965, _T_949) @[lib.scala 119:74] + node _T_967 = xor(_T_966, _T_950) @[lib.scala 119:74] + node _T_968 = xor(_T_967, _T_951) @[lib.scala 119:74] + node _T_969 = xor(_T_968, _T_952) @[lib.scala 119:74] + node _T_970 = xor(_T_969, _T_953) @[lib.scala 119:74] + node _T_971 = xor(_T_970, _T_954) @[lib.scala 119:74] + node _T_972 = xor(_T_971, _T_955) @[lib.scala 119:74] + node _T_973 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_974 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_975 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_976 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_977 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_978 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_979 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_980 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_981 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_982 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_983 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_984 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_985 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_986 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_987 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_988 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_989 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_990 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_991 = xor(_T_973, _T_974) @[lib.scala 119:74] + node _T_992 = xor(_T_991, _T_975) @[lib.scala 119:74] + node _T_993 = xor(_T_992, _T_976) @[lib.scala 119:74] + node _T_994 = xor(_T_993, _T_977) @[lib.scala 119:74] + node _T_995 = xor(_T_994, _T_978) @[lib.scala 119:74] + node _T_996 = xor(_T_995, _T_979) @[lib.scala 119:74] + node _T_997 = xor(_T_996, _T_980) @[lib.scala 119:74] + node _T_998 = xor(_T_997, _T_981) @[lib.scala 119:74] + node _T_999 = xor(_T_998, _T_982) @[lib.scala 119:74] + node _T_1000 = xor(_T_999, _T_983) @[lib.scala 119:74] + node _T_1001 = xor(_T_1000, _T_984) @[lib.scala 119:74] + node _T_1002 = xor(_T_1001, _T_985) @[lib.scala 119:74] + node _T_1003 = xor(_T_1002, _T_986) @[lib.scala 119:74] + node _T_1004 = xor(_T_1003, _T_987) @[lib.scala 119:74] + node _T_1005 = xor(_T_1004, _T_988) @[lib.scala 119:74] + node _T_1006 = xor(_T_1005, _T_989) @[lib.scala 119:74] + node _T_1007 = xor(_T_1006, _T_990) @[lib.scala 119:74] + node _T_1008 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_1009 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_1010 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_1011 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1012 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1013 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1014 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1015 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1016 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1017 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1018 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1019 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1020 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1021 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1022 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1023 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1024 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1025 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1026 = xor(_T_1008, _T_1009) @[lib.scala 119:74] + node _T_1027 = xor(_T_1026, _T_1010) @[lib.scala 119:74] + node _T_1028 = xor(_T_1027, _T_1011) @[lib.scala 119:74] + node _T_1029 = xor(_T_1028, _T_1012) @[lib.scala 119:74] + node _T_1030 = xor(_T_1029, _T_1013) @[lib.scala 119:74] + node _T_1031 = xor(_T_1030, _T_1014) @[lib.scala 119:74] + node _T_1032 = xor(_T_1031, _T_1015) @[lib.scala 119:74] + node _T_1033 = xor(_T_1032, _T_1016) @[lib.scala 119:74] + node _T_1034 = xor(_T_1033, _T_1017) @[lib.scala 119:74] + node _T_1035 = xor(_T_1034, _T_1018) @[lib.scala 119:74] + node _T_1036 = xor(_T_1035, _T_1019) @[lib.scala 119:74] + node _T_1037 = xor(_T_1036, _T_1020) @[lib.scala 119:74] + node _T_1038 = xor(_T_1037, _T_1021) @[lib.scala 119:74] + node _T_1039 = xor(_T_1038, _T_1022) @[lib.scala 119:74] + node _T_1040 = xor(_T_1039, _T_1023) @[lib.scala 119:74] + node _T_1041 = xor(_T_1040, _T_1024) @[lib.scala 119:74] + node _T_1042 = xor(_T_1041, _T_1025) @[lib.scala 119:74] + node _T_1043 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_1044 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_1045 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_1046 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1047 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1048 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1049 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1050 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1051 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1052 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1053 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1054 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1055 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1056 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1057 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1058 = xor(_T_1043, _T_1044) @[lib.scala 119:74] + node _T_1059 = xor(_T_1058, _T_1045) @[lib.scala 119:74] + node _T_1060 = xor(_T_1059, _T_1046) @[lib.scala 119:74] + node _T_1061 = xor(_T_1060, _T_1047) @[lib.scala 119:74] + node _T_1062 = xor(_T_1061, _T_1048) @[lib.scala 119:74] + node _T_1063 = xor(_T_1062, _T_1049) @[lib.scala 119:74] + node _T_1064 = xor(_T_1063, _T_1050) @[lib.scala 119:74] + node _T_1065 = xor(_T_1064, _T_1051) @[lib.scala 119:74] + node _T_1066 = xor(_T_1065, _T_1052) @[lib.scala 119:74] + node _T_1067 = xor(_T_1066, _T_1053) @[lib.scala 119:74] + node _T_1068 = xor(_T_1067, _T_1054) @[lib.scala 119:74] + node _T_1069 = xor(_T_1068, _T_1055) @[lib.scala 119:74] + node _T_1070 = xor(_T_1069, _T_1056) @[lib.scala 119:74] + node _T_1071 = xor(_T_1070, _T_1057) @[lib.scala 119:74] + node _T_1072 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_1073 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_1074 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_1075 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1076 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1077 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1078 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1079 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1080 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1081 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1082 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1083 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1084 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1085 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1086 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1087 = xor(_T_1072, _T_1073) @[lib.scala 119:74] + node _T_1088 = xor(_T_1087, _T_1074) @[lib.scala 119:74] + node _T_1089 = xor(_T_1088, _T_1075) @[lib.scala 119:74] + node _T_1090 = xor(_T_1089, _T_1076) @[lib.scala 119:74] + node _T_1091 = xor(_T_1090, _T_1077) @[lib.scala 119:74] + node _T_1092 = xor(_T_1091, _T_1078) @[lib.scala 119:74] + node _T_1093 = xor(_T_1092, _T_1079) @[lib.scala 119:74] + node _T_1094 = xor(_T_1093, _T_1080) @[lib.scala 119:74] + node _T_1095 = xor(_T_1094, _T_1081) @[lib.scala 119:74] + node _T_1096 = xor(_T_1095, _T_1082) @[lib.scala 119:74] + node _T_1097 = xor(_T_1096, _T_1083) @[lib.scala 119:74] + node _T_1098 = xor(_T_1097, _T_1084) @[lib.scala 119:74] + node _T_1099 = xor(_T_1098, _T_1085) @[lib.scala 119:74] + node _T_1100 = xor(_T_1099, _T_1086) @[lib.scala 119:74] + node _T_1101 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_1102 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_1103 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_1104 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1105 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1106 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1107 = xor(_T_1101, _T_1102) @[lib.scala 119:74] + node _T_1108 = xor(_T_1107, _T_1103) @[lib.scala 119:74] + node _T_1109 = xor(_T_1108, _T_1104) @[lib.scala 119:74] + node _T_1110 = xor(_T_1109, _T_1105) @[lib.scala 119:74] + node _T_1111 = xor(_T_1110, _T_1106) @[lib.scala 119:74] + node _T_1112 = cat(_T_1042, _T_1007) @[Cat.scala 29:58] + node _T_1113 = cat(_T_1112, _T_972) @[Cat.scala 29:58] + node _T_1114 = cat(_T_1111, _T_1100) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, _T_1071) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1113) @[Cat.scala 29:58] + node _T_1117 = xorr(dccm_wdata_hi_any) @[lib.scala 127:13] + node _T_1118 = xorr(_T_1116) @[lib.scala 127:23] + node _T_1119 = xor(_T_1117, _T_1118) @[lib.scala 127:18] + node dccm_wdata_ecc_hi_any = cat(_T_1119, _T_1116) @[Cat.scala 29:58] + when UInt<1>("h00") : @[lsu_ecc.scala 102:30] + node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 103:33] + node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 103:54] + node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 103:37] + ldst_dual_r <= _T_1122 @[lsu_ecc.scala 103:17] + node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 104:63] + node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 104:37] + node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 104:90] + node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 104:110] + is_ldst_r <= _T_1126 @[lsu_ecc.scala 104:15] + node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 105:33] + node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 105:31] + is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 105:18] + node _T_1129 = and(is_ldst_r, ldst_dual_r) @[lsu_ecc.scala 106:31] + node _T_1130 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 106:48] + node _T_1131 = and(_T_1129, _T_1130) @[lsu_ecc.scala 106:46] + is_ldst_hi_r <= _T_1131 @[lsu_ecc.scala 106:18] + is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 107:21] + dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 108:24] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 109:26] + is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 110:20] + dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 111:25] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 112:26] + io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 113:22] + io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 114:31] + double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 115:28] + io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 116:25] + io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 117:31] + double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 118:28] + node _T_1132 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 119:59] + io.lsu_single_ecc_error_r <= _T_1132 @[lsu_ecc.scala 119:31] + node _T_1133 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 120:56] + io.lsu_double_ecc_error_r <= _T_1133 @[lsu_ecc.scala 120:31] + skip @[lsu_ecc.scala 102:30] + else : @[lsu_ecc.scala 122:16] + node _T_1134 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 123:35] + node _T_1135 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 123:56] + node _T_1136 = neq(_T_1134, _T_1135) @[lsu_ecc.scala 123:39] + ldst_dual_m <= _T_1136 @[lsu_ecc.scala 123:19] + node _T_1137 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 124:65] + node _T_1138 = and(io.lsu_pkt_m.valid, _T_1137) @[lsu_ecc.scala 124:39] + node _T_1139 = and(_T_1138, io.addr_in_dccm_m) @[lsu_ecc.scala 124:92] + node _T_1140 = and(_T_1139, io.lsu_dccm_rden_m) @[lsu_ecc.scala 124:112] + is_ldst_m <= _T_1140 @[lsu_ecc.scala 124:17] + node _T_1141 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 125:35] + node _T_1142 = and(is_ldst_m, _T_1141) @[lsu_ecc.scala 125:33] + is_ldst_lo_m <= _T_1142 @[lsu_ecc.scala 125:20] + node _T_1143 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 126:48] + node _T_1144 = and(is_ldst_m, _T_1143) @[lsu_ecc.scala 126:33] + node _T_1145 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 126:75] + node _T_1146 = and(_T_1144, _T_1145) @[lsu_ecc.scala 126:73] + is_ldst_hi_m <= _T_1146 @[lsu_ecc.scala 126:20] + is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 127:23] + dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 128:26] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 129:28] + is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 130:22] + dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 131:27] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 132:28] + io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 133:27] + double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 134:30] + io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 135:27] + double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 136:30] + node _T_1147 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 137:60] + io.lsu_single_ecc_error_m <= _T_1147 @[lsu_ecc.scala 137:33] + node _T_1148 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 138:58] + io.lsu_double_ecc_error_m <= _T_1148 @[lsu_ecc.scala 138:33] + reg _T_1149 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 140:72] + _T_1149 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 140:72] + io.lsu_single_ecc_error_r <= _T_1149 @[lsu_ecc.scala 140:62] + reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72] + _T_1150 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 141:72] + io.lsu_double_ecc_error_r <= _T_1150 @[lsu_ecc.scala 141:62] + reg _T_1151 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72] + _T_1151 <= single_ecc_error_lo_any @[lsu_ecc.scala 142:72] + io.single_ecc_error_lo_r <= _T_1151 @[lsu_ecc.scala 142:62] + reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72] + _T_1152 <= single_ecc_error_hi_any @[lsu_ecc.scala 143:72] + io.single_ecc_error_hi_r <= _T_1152 @[lsu_ecc.scala 143:62] + node _T_1153 = or(io.lsu_single_ecc_error_m, io.clk_override) @[lsu_ecc.scala 144:87] + inst rvclkhdr of rvclkhdr_16 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_1153 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1154 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1154 <= io.sec_data_hi_m @[lib.scala 374:16] + io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 144:34] + node _T_1155 = or(io.lsu_single_ecc_error_m, io.clk_override) @[lsu_ecc.scala 145:87] + inst rvclkhdr_1 of rvclkhdr_17 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_1155 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1156 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1156 <= io.sec_data_lo_m @[lib.scala 374:16] + io.sec_data_lo_r <= _T_1156 @[lsu_ecc.scala 145:34] + skip @[lsu_ecc.scala 122:16] + node _T_1157 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 148:56] + node _T_1158 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 148:104] + node _T_1159 = mux(_T_1158, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 148:87] + node _T_1160 = mux(_T_1157, io.sec_data_lo_r_ff, _T_1159) @[lsu_ecc.scala 148:27] + dccm_wdata_lo_any <= _T_1160 @[lsu_ecc.scala 148:21] + node _T_1161 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 149:56] + node _T_1162 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 149:104] + node _T_1163 = mux(_T_1162, io.dma_dccm_wdata_hi, UInt<1>("h00")) @[lsu_ecc.scala 149:87] + node _T_1164 = mux(_T_1161, io.sec_data_hi_r_ff, _T_1163) @[lsu_ecc.scala 149:27] + dccm_wdata_hi_any <= _T_1164 @[lsu_ecc.scala 149:21] + io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 150:28] + io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 151:28] + io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 152:28] + io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 153:28] + io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 154:28] + node _T_1165 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_ecc.scala 156:75] + inst rvclkhdr_2 of rvclkhdr_18 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_1165 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1166 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1166 <= io.sec_data_hi_r @[lib.scala 374:16] + io.sec_data_hi_r_ff <= _T_1166 @[lsu_ecc.scala 156:23] + node _T_1167 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_ecc.scala 157:75] + inst rvclkhdr_3 of rvclkhdr_19 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_1167 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1168 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1168 <= io.sec_data_lo_r @[lib.scala 374:16] + io.sec_data_lo_r_ff <= _T_1168 @[lsu_ecc.scala 157:23] + + module lsu_trigger : + input clock : Clock + input reset : AsyncReset + output io : {flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip store_data_m : UInt<32>, lsu_trigger_match_m : UInt<4>} + + wire trigger_enable : UInt<1> + trigger_enable <= UInt<1>("h00") + node _T = or(io.trigger_pkt_any[0].m, io.trigger_pkt_any[1].m) @[lsu_trigger.scala 16:73] + node _T_1 = or(_T, io.trigger_pkt_any[2].m) @[lsu_trigger.scala 16:73] + node _T_2 = or(_T_1, io.trigger_pkt_any[3].m) @[lsu_trigger.scala 16:73] + trigger_enable <= _T_2 @[lsu_trigger.scala 16:18] + node _T_3 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_4 = mux(_T_3, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_5 = bits(io.store_data_m, 31, 16) @[lsu_trigger.scala 17:83] + node _T_6 = and(_T_4, _T_5) @[lsu_trigger.scala 17:66] + node _T_7 = or(io.lsu_pkt_m.bits.half, io.lsu_pkt_m.bits.word) @[lsu_trigger.scala 17:124] + node _T_8 = bits(_T_7, 0, 0) @[Bitwise.scala 72:15] + node _T_9 = mux(_T_8, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_10 = bits(io.store_data_m, 15, 8) @[lsu_trigger.scala 17:168] + node _T_11 = and(_T_9, _T_10) @[lsu_trigger.scala 17:151] + node _T_12 = bits(io.store_data_m, 7, 0) @[lsu_trigger.scala 17:192] + node _T_13 = cat(_T_6, _T_11) @[Cat.scala 29:58] + node store_data_trigger_m = cat(_T_13, _T_12) @[Cat.scala 29:58] + node _T_14 = bits(trigger_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_15 = mux(_T_14, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node ldst_addr_trigger_m = and(io.lsu_addr_m, _T_15) @[lsu_trigger.scala 18:43] + node _T_16 = bits(io.trigger_pkt_any[0].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_18 = and(io.trigger_pkt_any[0].select, io.trigger_pkt_any[0].store) @[lsu_trigger.scala 19:143] + node _T_19 = bits(_T_18, 0, 0) @[lsu_trigger.scala 19:174] + node _T_20 = mux(_T_17, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = mux(_T_19, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22 = or(_T_20, _T_21) @[Mux.scala 27:72] + wire lsu_match_data_0 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_0 <= _T_22 @[Mux.scala 27:72] + node _T_23 = bits(io.trigger_pkt_any[1].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_25 = and(io.trigger_pkt_any[1].select, io.trigger_pkt_any[1].store) @[lsu_trigger.scala 19:143] + node _T_26 = bits(_T_25, 0, 0) @[lsu_trigger.scala 19:174] + node _T_27 = mux(_T_24, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_28 = mux(_T_26, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_29 = or(_T_27, _T_28) @[Mux.scala 27:72] + wire lsu_match_data_1 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_1 <= _T_29 @[Mux.scala 27:72] + node _T_30 = bits(io.trigger_pkt_any[2].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_31 = eq(_T_30, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_32 = and(io.trigger_pkt_any[2].select, io.trigger_pkt_any[2].store) @[lsu_trigger.scala 19:143] + node _T_33 = bits(_T_32, 0, 0) @[lsu_trigger.scala 19:174] + node _T_34 = mux(_T_31, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_35 = mux(_T_33, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_36 = or(_T_34, _T_35) @[Mux.scala 27:72] + wire lsu_match_data_2 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_2 <= _T_36 @[Mux.scala 27:72] + node _T_37 = bits(io.trigger_pkt_any[3].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_39 = and(io.trigger_pkt_any[3].select, io.trigger_pkt_any[3].store) @[lsu_trigger.scala 19:143] + node _T_40 = bits(_T_39, 0, 0) @[lsu_trigger.scala 19:174] + node _T_41 = mux(_T_38, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_42 = mux(_T_40, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_43 = or(_T_41, _T_42) @[Mux.scala 27:72] + wire lsu_match_data_3 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_3 <= _T_43 @[Mux.scala 27:72] + node _T_44 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_45 = and(io.lsu_pkt_m.valid, _T_44) @[lsu_trigger.scala 20:68] + node _T_46 = and(_T_45, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_47 = and(io.trigger_pkt_any[0].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_48 = and(io.trigger_pkt_any[0].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_49 = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_50 = and(_T_48, _T_49) @[lsu_trigger.scala 21:58] + node _T_51 = or(_T_47, _T_50) @[lsu_trigger.scala 20:168] + node _T_52 = and(_T_46, _T_51) @[lsu_trigger.scala 20:110] + node _T_53 = bits(io.trigger_pkt_any[0].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_54 : UInt<1>[32] @[lib.scala 100:24] + node _T_55 = andr(io.trigger_pkt_any[0].tdata2) @[lib.scala 101:45] + node _T_56 = not(_T_55) @[lib.scala 101:39] + node _T_57 = and(_T_53, _T_56) @[lib.scala 101:37] + node _T_58 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 102:48] + node _T_59 = bits(lsu_match_data_0, 0, 0) @[lib.scala 102:60] + node _T_60 = eq(_T_58, _T_59) @[lib.scala 102:52] + node _T_61 = or(_T_57, _T_60) @[lib.scala 102:41] + _T_54[0] <= _T_61 @[lib.scala 102:18] + node _T_62 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 104:28] + node _T_63 = andr(_T_62) @[lib.scala 104:36] + node _T_64 = and(_T_63, _T_57) @[lib.scala 104:41] + node _T_65 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[lib.scala 104:74] + node _T_66 = bits(lsu_match_data_0, 1, 1) @[lib.scala 104:86] + node _T_67 = eq(_T_65, _T_66) @[lib.scala 104:78] + node _T_68 = mux(_T_64, UInt<1>("h01"), _T_67) @[lib.scala 104:23] + _T_54[1] <= _T_68 @[lib.scala 104:17] + node _T_69 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[lib.scala 104:28] + node _T_70 = andr(_T_69) @[lib.scala 104:36] + node _T_71 = and(_T_70, _T_57) @[lib.scala 104:41] + node _T_72 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[lib.scala 104:74] + node _T_73 = bits(lsu_match_data_0, 2, 2) @[lib.scala 104:86] + node _T_74 = eq(_T_72, _T_73) @[lib.scala 104:78] + node _T_75 = mux(_T_71, UInt<1>("h01"), _T_74) @[lib.scala 104:23] + _T_54[2] <= _T_75 @[lib.scala 104:17] + node _T_76 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[lib.scala 104:28] + node _T_77 = andr(_T_76) @[lib.scala 104:36] + node _T_78 = and(_T_77, _T_57) @[lib.scala 104:41] + node _T_79 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[lib.scala 104:74] + node _T_80 = bits(lsu_match_data_0, 3, 3) @[lib.scala 104:86] + node _T_81 = eq(_T_79, _T_80) @[lib.scala 104:78] + node _T_82 = mux(_T_78, UInt<1>("h01"), _T_81) @[lib.scala 104:23] + _T_54[3] <= _T_82 @[lib.scala 104:17] + node _T_83 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[lib.scala 104:28] + node _T_84 = andr(_T_83) @[lib.scala 104:36] + node _T_85 = and(_T_84, _T_57) @[lib.scala 104:41] + node _T_86 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[lib.scala 104:74] + node _T_87 = bits(lsu_match_data_0, 4, 4) @[lib.scala 104:86] + node _T_88 = eq(_T_86, _T_87) @[lib.scala 104:78] + node _T_89 = mux(_T_85, UInt<1>("h01"), _T_88) @[lib.scala 104:23] + _T_54[4] <= _T_89 @[lib.scala 104:17] + node _T_90 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[lib.scala 104:28] + node _T_91 = andr(_T_90) @[lib.scala 104:36] + node _T_92 = and(_T_91, _T_57) @[lib.scala 104:41] + node _T_93 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[lib.scala 104:74] + node _T_94 = bits(lsu_match_data_0, 5, 5) @[lib.scala 104:86] + node _T_95 = eq(_T_93, _T_94) @[lib.scala 104:78] + node _T_96 = mux(_T_92, UInt<1>("h01"), _T_95) @[lib.scala 104:23] + _T_54[5] <= _T_96 @[lib.scala 104:17] + node _T_97 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[lib.scala 104:28] + node _T_98 = andr(_T_97) @[lib.scala 104:36] + node _T_99 = and(_T_98, _T_57) @[lib.scala 104:41] + node _T_100 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[lib.scala 104:74] + node _T_101 = bits(lsu_match_data_0, 6, 6) @[lib.scala 104:86] + node _T_102 = eq(_T_100, _T_101) @[lib.scala 104:78] + node _T_103 = mux(_T_99, UInt<1>("h01"), _T_102) @[lib.scala 104:23] + _T_54[6] <= _T_103 @[lib.scala 104:17] + node _T_104 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[lib.scala 104:28] + node _T_105 = andr(_T_104) @[lib.scala 104:36] + node _T_106 = and(_T_105, _T_57) @[lib.scala 104:41] + node _T_107 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[lib.scala 104:74] + node _T_108 = bits(lsu_match_data_0, 7, 7) @[lib.scala 104:86] + node _T_109 = eq(_T_107, _T_108) @[lib.scala 104:78] + node _T_110 = mux(_T_106, UInt<1>("h01"), _T_109) @[lib.scala 104:23] + _T_54[7] <= _T_110 @[lib.scala 104:17] + node _T_111 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[lib.scala 104:28] + node _T_112 = andr(_T_111) @[lib.scala 104:36] + node _T_113 = and(_T_112, _T_57) @[lib.scala 104:41] + node _T_114 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[lib.scala 104:74] + node _T_115 = bits(lsu_match_data_0, 8, 8) @[lib.scala 104:86] + node _T_116 = eq(_T_114, _T_115) @[lib.scala 104:78] + node _T_117 = mux(_T_113, UInt<1>("h01"), _T_116) @[lib.scala 104:23] + _T_54[8] <= _T_117 @[lib.scala 104:17] + node _T_118 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[lib.scala 104:28] + node _T_119 = andr(_T_118) @[lib.scala 104:36] + node _T_120 = and(_T_119, _T_57) @[lib.scala 104:41] + node _T_121 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[lib.scala 104:74] + node _T_122 = bits(lsu_match_data_0, 9, 9) @[lib.scala 104:86] + node _T_123 = eq(_T_121, _T_122) @[lib.scala 104:78] + node _T_124 = mux(_T_120, UInt<1>("h01"), _T_123) @[lib.scala 104:23] + _T_54[9] <= _T_124 @[lib.scala 104:17] + node _T_125 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[lib.scala 104:28] + node _T_126 = andr(_T_125) @[lib.scala 104:36] + node _T_127 = and(_T_126, _T_57) @[lib.scala 104:41] + node _T_128 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[lib.scala 104:74] + node _T_129 = bits(lsu_match_data_0, 10, 10) @[lib.scala 104:86] + node _T_130 = eq(_T_128, _T_129) @[lib.scala 104:78] + node _T_131 = mux(_T_127, UInt<1>("h01"), _T_130) @[lib.scala 104:23] + _T_54[10] <= _T_131 @[lib.scala 104:17] + node _T_132 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[lib.scala 104:28] + node _T_133 = andr(_T_132) @[lib.scala 104:36] + node _T_134 = and(_T_133, _T_57) @[lib.scala 104:41] + node _T_135 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[lib.scala 104:74] + node _T_136 = bits(lsu_match_data_0, 11, 11) @[lib.scala 104:86] + node _T_137 = eq(_T_135, _T_136) @[lib.scala 104:78] + node _T_138 = mux(_T_134, UInt<1>("h01"), _T_137) @[lib.scala 104:23] + _T_54[11] <= _T_138 @[lib.scala 104:17] + node _T_139 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[lib.scala 104:28] + node _T_140 = andr(_T_139) @[lib.scala 104:36] + node _T_141 = and(_T_140, _T_57) @[lib.scala 104:41] + node _T_142 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[lib.scala 104:74] + node _T_143 = bits(lsu_match_data_0, 12, 12) @[lib.scala 104:86] + node _T_144 = eq(_T_142, _T_143) @[lib.scala 104:78] + node _T_145 = mux(_T_141, UInt<1>("h01"), _T_144) @[lib.scala 104:23] + _T_54[12] <= _T_145 @[lib.scala 104:17] + node _T_146 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[lib.scala 104:28] + node _T_147 = andr(_T_146) @[lib.scala 104:36] + node _T_148 = and(_T_147, _T_57) @[lib.scala 104:41] + node _T_149 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[lib.scala 104:74] + node _T_150 = bits(lsu_match_data_0, 13, 13) @[lib.scala 104:86] + node _T_151 = eq(_T_149, _T_150) @[lib.scala 104:78] + node _T_152 = mux(_T_148, UInt<1>("h01"), _T_151) @[lib.scala 104:23] + _T_54[13] <= _T_152 @[lib.scala 104:17] + node _T_153 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[lib.scala 104:28] + node _T_154 = andr(_T_153) @[lib.scala 104:36] + node _T_155 = and(_T_154, _T_57) @[lib.scala 104:41] + node _T_156 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[lib.scala 104:74] + node _T_157 = bits(lsu_match_data_0, 14, 14) @[lib.scala 104:86] + node _T_158 = eq(_T_156, _T_157) @[lib.scala 104:78] + node _T_159 = mux(_T_155, UInt<1>("h01"), _T_158) @[lib.scala 104:23] + _T_54[14] <= _T_159 @[lib.scala 104:17] + node _T_160 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[lib.scala 104:28] + node _T_161 = andr(_T_160) @[lib.scala 104:36] + node _T_162 = and(_T_161, _T_57) @[lib.scala 104:41] + node _T_163 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[lib.scala 104:74] + node _T_164 = bits(lsu_match_data_0, 15, 15) @[lib.scala 104:86] + node _T_165 = eq(_T_163, _T_164) @[lib.scala 104:78] + node _T_166 = mux(_T_162, UInt<1>("h01"), _T_165) @[lib.scala 104:23] + _T_54[15] <= _T_166 @[lib.scala 104:17] + node _T_167 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[lib.scala 104:28] + node _T_168 = andr(_T_167) @[lib.scala 104:36] + node _T_169 = and(_T_168, _T_57) @[lib.scala 104:41] + node _T_170 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[lib.scala 104:74] + node _T_171 = bits(lsu_match_data_0, 16, 16) @[lib.scala 104:86] + node _T_172 = eq(_T_170, _T_171) @[lib.scala 104:78] + node _T_173 = mux(_T_169, UInt<1>("h01"), _T_172) @[lib.scala 104:23] + _T_54[16] <= _T_173 @[lib.scala 104:17] + node _T_174 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[lib.scala 104:28] + node _T_175 = andr(_T_174) @[lib.scala 104:36] + node _T_176 = and(_T_175, _T_57) @[lib.scala 104:41] + node _T_177 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[lib.scala 104:74] + node _T_178 = bits(lsu_match_data_0, 17, 17) @[lib.scala 104:86] + node _T_179 = eq(_T_177, _T_178) @[lib.scala 104:78] + node _T_180 = mux(_T_176, UInt<1>("h01"), _T_179) @[lib.scala 104:23] + _T_54[17] <= _T_180 @[lib.scala 104:17] + node _T_181 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[lib.scala 104:28] + node _T_182 = andr(_T_181) @[lib.scala 104:36] + node _T_183 = and(_T_182, _T_57) @[lib.scala 104:41] + node _T_184 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[lib.scala 104:74] + node _T_185 = bits(lsu_match_data_0, 18, 18) @[lib.scala 104:86] + node _T_186 = eq(_T_184, _T_185) @[lib.scala 104:78] + node _T_187 = mux(_T_183, UInt<1>("h01"), _T_186) @[lib.scala 104:23] + _T_54[18] <= _T_187 @[lib.scala 104:17] + node _T_188 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[lib.scala 104:28] + node _T_189 = andr(_T_188) @[lib.scala 104:36] + node _T_190 = and(_T_189, _T_57) @[lib.scala 104:41] + node _T_191 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[lib.scala 104:74] + node _T_192 = bits(lsu_match_data_0, 19, 19) @[lib.scala 104:86] + node _T_193 = eq(_T_191, _T_192) @[lib.scala 104:78] + node _T_194 = mux(_T_190, UInt<1>("h01"), _T_193) @[lib.scala 104:23] + _T_54[19] <= _T_194 @[lib.scala 104:17] + node _T_195 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[lib.scala 104:28] + node _T_196 = andr(_T_195) @[lib.scala 104:36] + node _T_197 = and(_T_196, _T_57) @[lib.scala 104:41] + node _T_198 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[lib.scala 104:74] + node _T_199 = bits(lsu_match_data_0, 20, 20) @[lib.scala 104:86] + node _T_200 = eq(_T_198, _T_199) @[lib.scala 104:78] + node _T_201 = mux(_T_197, UInt<1>("h01"), _T_200) @[lib.scala 104:23] + _T_54[20] <= _T_201 @[lib.scala 104:17] + node _T_202 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[lib.scala 104:28] + node _T_203 = andr(_T_202) @[lib.scala 104:36] + node _T_204 = and(_T_203, _T_57) @[lib.scala 104:41] + node _T_205 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[lib.scala 104:74] + node _T_206 = bits(lsu_match_data_0, 21, 21) @[lib.scala 104:86] + node _T_207 = eq(_T_205, _T_206) @[lib.scala 104:78] + node _T_208 = mux(_T_204, UInt<1>("h01"), _T_207) @[lib.scala 104:23] + _T_54[21] <= _T_208 @[lib.scala 104:17] + node _T_209 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[lib.scala 104:28] + node _T_210 = andr(_T_209) @[lib.scala 104:36] + node _T_211 = and(_T_210, _T_57) @[lib.scala 104:41] + node _T_212 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[lib.scala 104:74] + node _T_213 = bits(lsu_match_data_0, 22, 22) @[lib.scala 104:86] + node _T_214 = eq(_T_212, _T_213) @[lib.scala 104:78] + node _T_215 = mux(_T_211, UInt<1>("h01"), _T_214) @[lib.scala 104:23] + _T_54[22] <= _T_215 @[lib.scala 104:17] + node _T_216 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[lib.scala 104:28] + node _T_217 = andr(_T_216) @[lib.scala 104:36] + node _T_218 = and(_T_217, _T_57) @[lib.scala 104:41] + node _T_219 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[lib.scala 104:74] + node _T_220 = bits(lsu_match_data_0, 23, 23) @[lib.scala 104:86] + node _T_221 = eq(_T_219, _T_220) @[lib.scala 104:78] + node _T_222 = mux(_T_218, UInt<1>("h01"), _T_221) @[lib.scala 104:23] + _T_54[23] <= _T_222 @[lib.scala 104:17] + node _T_223 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[lib.scala 104:28] + node _T_224 = andr(_T_223) @[lib.scala 104:36] + node _T_225 = and(_T_224, _T_57) @[lib.scala 104:41] + node _T_226 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[lib.scala 104:74] + node _T_227 = bits(lsu_match_data_0, 24, 24) @[lib.scala 104:86] + node _T_228 = eq(_T_226, _T_227) @[lib.scala 104:78] + node _T_229 = mux(_T_225, UInt<1>("h01"), _T_228) @[lib.scala 104:23] + _T_54[24] <= _T_229 @[lib.scala 104:17] + node _T_230 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[lib.scala 104:28] + node _T_231 = andr(_T_230) @[lib.scala 104:36] + node _T_232 = and(_T_231, _T_57) @[lib.scala 104:41] + node _T_233 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[lib.scala 104:74] + node _T_234 = bits(lsu_match_data_0, 25, 25) @[lib.scala 104:86] + node _T_235 = eq(_T_233, _T_234) @[lib.scala 104:78] + node _T_236 = mux(_T_232, UInt<1>("h01"), _T_235) @[lib.scala 104:23] + _T_54[25] <= _T_236 @[lib.scala 104:17] + node _T_237 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[lib.scala 104:28] + node _T_238 = andr(_T_237) @[lib.scala 104:36] + node _T_239 = and(_T_238, _T_57) @[lib.scala 104:41] + node _T_240 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[lib.scala 104:74] + node _T_241 = bits(lsu_match_data_0, 26, 26) @[lib.scala 104:86] + node _T_242 = eq(_T_240, _T_241) @[lib.scala 104:78] + node _T_243 = mux(_T_239, UInt<1>("h01"), _T_242) @[lib.scala 104:23] + _T_54[26] <= _T_243 @[lib.scala 104:17] + node _T_244 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[lib.scala 104:28] + node _T_245 = andr(_T_244) @[lib.scala 104:36] + node _T_246 = and(_T_245, _T_57) @[lib.scala 104:41] + node _T_247 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[lib.scala 104:74] + node _T_248 = bits(lsu_match_data_0, 27, 27) @[lib.scala 104:86] + node _T_249 = eq(_T_247, _T_248) @[lib.scala 104:78] + node _T_250 = mux(_T_246, UInt<1>("h01"), _T_249) @[lib.scala 104:23] + _T_54[27] <= _T_250 @[lib.scala 104:17] + node _T_251 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[lib.scala 104:28] + node _T_252 = andr(_T_251) @[lib.scala 104:36] + node _T_253 = and(_T_252, _T_57) @[lib.scala 104:41] + node _T_254 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[lib.scala 104:74] + node _T_255 = bits(lsu_match_data_0, 28, 28) @[lib.scala 104:86] + node _T_256 = eq(_T_254, _T_255) @[lib.scala 104:78] + node _T_257 = mux(_T_253, UInt<1>("h01"), _T_256) @[lib.scala 104:23] + _T_54[28] <= _T_257 @[lib.scala 104:17] + node _T_258 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[lib.scala 104:28] + node _T_259 = andr(_T_258) @[lib.scala 104:36] + node _T_260 = and(_T_259, _T_57) @[lib.scala 104:41] + node _T_261 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[lib.scala 104:74] + node _T_262 = bits(lsu_match_data_0, 29, 29) @[lib.scala 104:86] + node _T_263 = eq(_T_261, _T_262) @[lib.scala 104:78] + node _T_264 = mux(_T_260, UInt<1>("h01"), _T_263) @[lib.scala 104:23] + _T_54[29] <= _T_264 @[lib.scala 104:17] + node _T_265 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[lib.scala 104:28] + node _T_266 = andr(_T_265) @[lib.scala 104:36] + node _T_267 = and(_T_266, _T_57) @[lib.scala 104:41] + node _T_268 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[lib.scala 104:74] + node _T_269 = bits(lsu_match_data_0, 30, 30) @[lib.scala 104:86] + node _T_270 = eq(_T_268, _T_269) @[lib.scala 104:78] + node _T_271 = mux(_T_267, UInt<1>("h01"), _T_270) @[lib.scala 104:23] + _T_54[30] <= _T_271 @[lib.scala 104:17] + node _T_272 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[lib.scala 104:28] + node _T_273 = andr(_T_272) @[lib.scala 104:36] + node _T_274 = and(_T_273, _T_57) @[lib.scala 104:41] + node _T_275 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[lib.scala 104:74] + node _T_276 = bits(lsu_match_data_0, 31, 31) @[lib.scala 104:86] + node _T_277 = eq(_T_275, _T_276) @[lib.scala 104:78] + node _T_278 = mux(_T_274, UInt<1>("h01"), _T_277) @[lib.scala 104:23] + _T_54[31] <= _T_278 @[lib.scala 104:17] + node _T_279 = cat(_T_54[1], _T_54[0]) @[lib.scala 105:14] + node _T_280 = cat(_T_54[3], _T_54[2]) @[lib.scala 105:14] + node _T_281 = cat(_T_280, _T_279) @[lib.scala 105:14] + node _T_282 = cat(_T_54[5], _T_54[4]) @[lib.scala 105:14] + node _T_283 = cat(_T_54[7], _T_54[6]) @[lib.scala 105:14] + node _T_284 = cat(_T_283, _T_282) @[lib.scala 105:14] + node _T_285 = cat(_T_284, _T_281) @[lib.scala 105:14] + node _T_286 = cat(_T_54[9], _T_54[8]) @[lib.scala 105:14] + node _T_287 = cat(_T_54[11], _T_54[10]) @[lib.scala 105:14] + node _T_288 = cat(_T_287, _T_286) @[lib.scala 105:14] + node _T_289 = cat(_T_54[13], _T_54[12]) @[lib.scala 105:14] + node _T_290 = cat(_T_54[15], _T_54[14]) @[lib.scala 105:14] + node _T_291 = cat(_T_290, _T_289) @[lib.scala 105:14] + node _T_292 = cat(_T_291, _T_288) @[lib.scala 105:14] + node _T_293 = cat(_T_292, _T_285) @[lib.scala 105:14] + node _T_294 = cat(_T_54[17], _T_54[16]) @[lib.scala 105:14] + node _T_295 = cat(_T_54[19], _T_54[18]) @[lib.scala 105:14] + node _T_296 = cat(_T_295, _T_294) @[lib.scala 105:14] + node _T_297 = cat(_T_54[21], _T_54[20]) @[lib.scala 105:14] + node _T_298 = cat(_T_54[23], _T_54[22]) @[lib.scala 105:14] + node _T_299 = cat(_T_298, _T_297) @[lib.scala 105:14] + node _T_300 = cat(_T_299, _T_296) @[lib.scala 105:14] + node _T_301 = cat(_T_54[25], _T_54[24]) @[lib.scala 105:14] + node _T_302 = cat(_T_54[27], _T_54[26]) @[lib.scala 105:14] + node _T_303 = cat(_T_302, _T_301) @[lib.scala 105:14] + node _T_304 = cat(_T_54[29], _T_54[28]) @[lib.scala 105:14] + node _T_305 = cat(_T_54[31], _T_54[30]) @[lib.scala 105:14] + node _T_306 = cat(_T_305, _T_304) @[lib.scala 105:14] + node _T_307 = cat(_T_306, _T_303) @[lib.scala 105:14] + node _T_308 = cat(_T_307, _T_300) @[lib.scala 105:14] + node _T_309 = cat(_T_308, _T_293) @[lib.scala 105:14] + node _T_310 = andr(_T_309) @[lib.scala 105:25] + node _T_311 = and(_T_52, _T_310) @[lsu_trigger.scala 21:92] + node _T_312 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_313 = and(io.lsu_pkt_m.valid, _T_312) @[lsu_trigger.scala 20:68] + node _T_314 = and(_T_313, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_315 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_316 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_317 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_318 = and(_T_316, _T_317) @[lsu_trigger.scala 21:58] + node _T_319 = or(_T_315, _T_318) @[lsu_trigger.scala 20:168] + node _T_320 = and(_T_314, _T_319) @[lsu_trigger.scala 20:110] + node _T_321 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_322 : UInt<1>[32] @[lib.scala 100:24] + node _T_323 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 101:45] + node _T_324 = not(_T_323) @[lib.scala 101:39] + node _T_325 = and(_T_321, _T_324) @[lib.scala 101:37] + node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 102:48] + node _T_327 = bits(lsu_match_data_1, 0, 0) @[lib.scala 102:60] + node _T_328 = eq(_T_326, _T_327) @[lib.scala 102:52] + node _T_329 = or(_T_325, _T_328) @[lib.scala 102:41] + _T_322[0] <= _T_329 @[lib.scala 102:18] + node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 104:28] + node _T_331 = andr(_T_330) @[lib.scala 104:36] + node _T_332 = and(_T_331, _T_325) @[lib.scala 104:41] + node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 104:74] + node _T_334 = bits(lsu_match_data_1, 1, 1) @[lib.scala 104:86] + node _T_335 = eq(_T_333, _T_334) @[lib.scala 104:78] + node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 104:23] + _T_322[1] <= _T_336 @[lib.scala 104:17] + node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 104:28] + node _T_338 = andr(_T_337) @[lib.scala 104:36] + node _T_339 = and(_T_338, _T_325) @[lib.scala 104:41] + node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 104:74] + node _T_341 = bits(lsu_match_data_1, 2, 2) @[lib.scala 104:86] + node _T_342 = eq(_T_340, _T_341) @[lib.scala 104:78] + node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 104:23] + _T_322[2] <= _T_343 @[lib.scala 104:17] + node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 104:28] + node _T_345 = andr(_T_344) @[lib.scala 104:36] + node _T_346 = and(_T_345, _T_325) @[lib.scala 104:41] + node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 104:74] + node _T_348 = bits(lsu_match_data_1, 3, 3) @[lib.scala 104:86] + node _T_349 = eq(_T_347, _T_348) @[lib.scala 104:78] + node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 104:23] + _T_322[3] <= _T_350 @[lib.scala 104:17] + node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 104:28] + node _T_352 = andr(_T_351) @[lib.scala 104:36] + node _T_353 = and(_T_352, _T_325) @[lib.scala 104:41] + node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 104:74] + node _T_355 = bits(lsu_match_data_1, 4, 4) @[lib.scala 104:86] + node _T_356 = eq(_T_354, _T_355) @[lib.scala 104:78] + node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 104:23] + _T_322[4] <= _T_357 @[lib.scala 104:17] + node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 104:28] + node _T_359 = andr(_T_358) @[lib.scala 104:36] + node _T_360 = and(_T_359, _T_325) @[lib.scala 104:41] + node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 104:74] + node _T_362 = bits(lsu_match_data_1, 5, 5) @[lib.scala 104:86] + node _T_363 = eq(_T_361, _T_362) @[lib.scala 104:78] + node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 104:23] + _T_322[5] <= _T_364 @[lib.scala 104:17] + node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 104:28] + node _T_366 = andr(_T_365) @[lib.scala 104:36] + node _T_367 = and(_T_366, _T_325) @[lib.scala 104:41] + node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 104:74] + node _T_369 = bits(lsu_match_data_1, 6, 6) @[lib.scala 104:86] + node _T_370 = eq(_T_368, _T_369) @[lib.scala 104:78] + node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 104:23] + _T_322[6] <= _T_371 @[lib.scala 104:17] + node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 104:28] + node _T_373 = andr(_T_372) @[lib.scala 104:36] + node _T_374 = and(_T_373, _T_325) @[lib.scala 104:41] + node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 104:74] + node _T_376 = bits(lsu_match_data_1, 7, 7) @[lib.scala 104:86] + node _T_377 = eq(_T_375, _T_376) @[lib.scala 104:78] + node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 104:23] + _T_322[7] <= _T_378 @[lib.scala 104:17] + node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 104:28] + node _T_380 = andr(_T_379) @[lib.scala 104:36] + node _T_381 = and(_T_380, _T_325) @[lib.scala 104:41] + node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 104:74] + node _T_383 = bits(lsu_match_data_1, 8, 8) @[lib.scala 104:86] + node _T_384 = eq(_T_382, _T_383) @[lib.scala 104:78] + node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 104:23] + _T_322[8] <= _T_385 @[lib.scala 104:17] + node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 104:28] + node _T_387 = andr(_T_386) @[lib.scala 104:36] + node _T_388 = and(_T_387, _T_325) @[lib.scala 104:41] + node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 104:74] + node _T_390 = bits(lsu_match_data_1, 9, 9) @[lib.scala 104:86] + node _T_391 = eq(_T_389, _T_390) @[lib.scala 104:78] + node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 104:23] + _T_322[9] <= _T_392 @[lib.scala 104:17] + node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 104:28] + node _T_394 = andr(_T_393) @[lib.scala 104:36] + node _T_395 = and(_T_394, _T_325) @[lib.scala 104:41] + node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 104:74] + node _T_397 = bits(lsu_match_data_1, 10, 10) @[lib.scala 104:86] + node _T_398 = eq(_T_396, _T_397) @[lib.scala 104:78] + node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 104:23] + _T_322[10] <= _T_399 @[lib.scala 104:17] + node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 104:28] + node _T_401 = andr(_T_400) @[lib.scala 104:36] + node _T_402 = and(_T_401, _T_325) @[lib.scala 104:41] + node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 104:74] + node _T_404 = bits(lsu_match_data_1, 11, 11) @[lib.scala 104:86] + node _T_405 = eq(_T_403, _T_404) @[lib.scala 104:78] + node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 104:23] + _T_322[11] <= _T_406 @[lib.scala 104:17] + node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 104:28] + node _T_408 = andr(_T_407) @[lib.scala 104:36] + node _T_409 = and(_T_408, _T_325) @[lib.scala 104:41] + node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 104:74] + node _T_411 = bits(lsu_match_data_1, 12, 12) @[lib.scala 104:86] + node _T_412 = eq(_T_410, _T_411) @[lib.scala 104:78] + node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 104:23] + _T_322[12] <= _T_413 @[lib.scala 104:17] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 104:28] + node _T_415 = andr(_T_414) @[lib.scala 104:36] + node _T_416 = and(_T_415, _T_325) @[lib.scala 104:41] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 104:74] + node _T_418 = bits(lsu_match_data_1, 13, 13) @[lib.scala 104:86] + node _T_419 = eq(_T_417, _T_418) @[lib.scala 104:78] + node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 104:23] + _T_322[13] <= _T_420 @[lib.scala 104:17] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 104:28] + node _T_422 = andr(_T_421) @[lib.scala 104:36] + node _T_423 = and(_T_422, _T_325) @[lib.scala 104:41] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 104:74] + node _T_425 = bits(lsu_match_data_1, 14, 14) @[lib.scala 104:86] + node _T_426 = eq(_T_424, _T_425) @[lib.scala 104:78] + node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 104:23] + _T_322[14] <= _T_427 @[lib.scala 104:17] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 104:28] + node _T_429 = andr(_T_428) @[lib.scala 104:36] + node _T_430 = and(_T_429, _T_325) @[lib.scala 104:41] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 104:74] + node _T_432 = bits(lsu_match_data_1, 15, 15) @[lib.scala 104:86] + node _T_433 = eq(_T_431, _T_432) @[lib.scala 104:78] + node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 104:23] + _T_322[15] <= _T_434 @[lib.scala 104:17] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 104:28] + node _T_436 = andr(_T_435) @[lib.scala 104:36] + node _T_437 = and(_T_436, _T_325) @[lib.scala 104:41] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 104:74] + node _T_439 = bits(lsu_match_data_1, 16, 16) @[lib.scala 104:86] + node _T_440 = eq(_T_438, _T_439) @[lib.scala 104:78] + node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 104:23] + _T_322[16] <= _T_441 @[lib.scala 104:17] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 104:28] + node _T_443 = andr(_T_442) @[lib.scala 104:36] + node _T_444 = and(_T_443, _T_325) @[lib.scala 104:41] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 104:74] + node _T_446 = bits(lsu_match_data_1, 17, 17) @[lib.scala 104:86] + node _T_447 = eq(_T_445, _T_446) @[lib.scala 104:78] + node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 104:23] + _T_322[17] <= _T_448 @[lib.scala 104:17] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 104:28] + node _T_450 = andr(_T_449) @[lib.scala 104:36] + node _T_451 = and(_T_450, _T_325) @[lib.scala 104:41] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 104:74] + node _T_453 = bits(lsu_match_data_1, 18, 18) @[lib.scala 104:86] + node _T_454 = eq(_T_452, _T_453) @[lib.scala 104:78] + node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 104:23] + _T_322[18] <= _T_455 @[lib.scala 104:17] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 104:28] + node _T_457 = andr(_T_456) @[lib.scala 104:36] + node _T_458 = and(_T_457, _T_325) @[lib.scala 104:41] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 104:74] + node _T_460 = bits(lsu_match_data_1, 19, 19) @[lib.scala 104:86] + node _T_461 = eq(_T_459, _T_460) @[lib.scala 104:78] + node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 104:23] + _T_322[19] <= _T_462 @[lib.scala 104:17] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 104:28] + node _T_464 = andr(_T_463) @[lib.scala 104:36] + node _T_465 = and(_T_464, _T_325) @[lib.scala 104:41] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 104:74] + node _T_467 = bits(lsu_match_data_1, 20, 20) @[lib.scala 104:86] + node _T_468 = eq(_T_466, _T_467) @[lib.scala 104:78] + node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 104:23] + _T_322[20] <= _T_469 @[lib.scala 104:17] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 104:28] + node _T_471 = andr(_T_470) @[lib.scala 104:36] + node _T_472 = and(_T_471, _T_325) @[lib.scala 104:41] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 104:74] + node _T_474 = bits(lsu_match_data_1, 21, 21) @[lib.scala 104:86] + node _T_475 = eq(_T_473, _T_474) @[lib.scala 104:78] + node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 104:23] + _T_322[21] <= _T_476 @[lib.scala 104:17] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 104:28] + node _T_478 = andr(_T_477) @[lib.scala 104:36] + node _T_479 = and(_T_478, _T_325) @[lib.scala 104:41] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 104:74] + node _T_481 = bits(lsu_match_data_1, 22, 22) @[lib.scala 104:86] + node _T_482 = eq(_T_480, _T_481) @[lib.scala 104:78] + node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 104:23] + _T_322[22] <= _T_483 @[lib.scala 104:17] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 104:28] + node _T_485 = andr(_T_484) @[lib.scala 104:36] + node _T_486 = and(_T_485, _T_325) @[lib.scala 104:41] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 104:74] + node _T_488 = bits(lsu_match_data_1, 23, 23) @[lib.scala 104:86] + node _T_489 = eq(_T_487, _T_488) @[lib.scala 104:78] + node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 104:23] + _T_322[23] <= _T_490 @[lib.scala 104:17] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 104:28] + node _T_492 = andr(_T_491) @[lib.scala 104:36] + node _T_493 = and(_T_492, _T_325) @[lib.scala 104:41] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 104:74] + node _T_495 = bits(lsu_match_data_1, 24, 24) @[lib.scala 104:86] + node _T_496 = eq(_T_494, _T_495) @[lib.scala 104:78] + node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 104:23] + _T_322[24] <= _T_497 @[lib.scala 104:17] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 104:28] + node _T_499 = andr(_T_498) @[lib.scala 104:36] + node _T_500 = and(_T_499, _T_325) @[lib.scala 104:41] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 104:74] + node _T_502 = bits(lsu_match_data_1, 25, 25) @[lib.scala 104:86] + node _T_503 = eq(_T_501, _T_502) @[lib.scala 104:78] + node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 104:23] + _T_322[25] <= _T_504 @[lib.scala 104:17] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 104:28] + node _T_506 = andr(_T_505) @[lib.scala 104:36] + node _T_507 = and(_T_506, _T_325) @[lib.scala 104:41] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 104:74] + node _T_509 = bits(lsu_match_data_1, 26, 26) @[lib.scala 104:86] + node _T_510 = eq(_T_508, _T_509) @[lib.scala 104:78] + node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 104:23] + _T_322[26] <= _T_511 @[lib.scala 104:17] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 104:28] + node _T_513 = andr(_T_512) @[lib.scala 104:36] + node _T_514 = and(_T_513, _T_325) @[lib.scala 104:41] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 104:74] + node _T_516 = bits(lsu_match_data_1, 27, 27) @[lib.scala 104:86] + node _T_517 = eq(_T_515, _T_516) @[lib.scala 104:78] + node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 104:23] + _T_322[27] <= _T_518 @[lib.scala 104:17] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 104:28] + node _T_520 = andr(_T_519) @[lib.scala 104:36] + node _T_521 = and(_T_520, _T_325) @[lib.scala 104:41] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 104:74] + node _T_523 = bits(lsu_match_data_1, 28, 28) @[lib.scala 104:86] + node _T_524 = eq(_T_522, _T_523) @[lib.scala 104:78] + node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 104:23] + _T_322[28] <= _T_525 @[lib.scala 104:17] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 104:28] + node _T_527 = andr(_T_526) @[lib.scala 104:36] + node _T_528 = and(_T_527, _T_325) @[lib.scala 104:41] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 104:74] + node _T_530 = bits(lsu_match_data_1, 29, 29) @[lib.scala 104:86] + node _T_531 = eq(_T_529, _T_530) @[lib.scala 104:78] + node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 104:23] + _T_322[29] <= _T_532 @[lib.scala 104:17] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 104:28] + node _T_534 = andr(_T_533) @[lib.scala 104:36] + node _T_535 = and(_T_534, _T_325) @[lib.scala 104:41] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 104:74] + node _T_537 = bits(lsu_match_data_1, 30, 30) @[lib.scala 104:86] + node _T_538 = eq(_T_536, _T_537) @[lib.scala 104:78] + node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 104:23] + _T_322[30] <= _T_539 @[lib.scala 104:17] + node _T_540 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 104:28] + node _T_541 = andr(_T_540) @[lib.scala 104:36] + node _T_542 = and(_T_541, _T_325) @[lib.scala 104:41] + node _T_543 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 104:74] + node _T_544 = bits(lsu_match_data_1, 31, 31) @[lib.scala 104:86] + node _T_545 = eq(_T_543, _T_544) @[lib.scala 104:78] + node _T_546 = mux(_T_542, UInt<1>("h01"), _T_545) @[lib.scala 104:23] + _T_322[31] <= _T_546 @[lib.scala 104:17] + node _T_547 = cat(_T_322[1], _T_322[0]) @[lib.scala 105:14] + node _T_548 = cat(_T_322[3], _T_322[2]) @[lib.scala 105:14] + node _T_549 = cat(_T_548, _T_547) @[lib.scala 105:14] + node _T_550 = cat(_T_322[5], _T_322[4]) @[lib.scala 105:14] + node _T_551 = cat(_T_322[7], _T_322[6]) @[lib.scala 105:14] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 105:14] + node _T_553 = cat(_T_552, _T_549) @[lib.scala 105:14] + node _T_554 = cat(_T_322[9], _T_322[8]) @[lib.scala 105:14] + node _T_555 = cat(_T_322[11], _T_322[10]) @[lib.scala 105:14] + node _T_556 = cat(_T_555, _T_554) @[lib.scala 105:14] + node _T_557 = cat(_T_322[13], _T_322[12]) @[lib.scala 105:14] + node _T_558 = cat(_T_322[15], _T_322[14]) @[lib.scala 105:14] + node _T_559 = cat(_T_558, _T_557) @[lib.scala 105:14] + node _T_560 = cat(_T_559, _T_556) @[lib.scala 105:14] + node _T_561 = cat(_T_560, _T_553) @[lib.scala 105:14] + node _T_562 = cat(_T_322[17], _T_322[16]) @[lib.scala 105:14] + node _T_563 = cat(_T_322[19], _T_322[18]) @[lib.scala 105:14] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 105:14] + node _T_565 = cat(_T_322[21], _T_322[20]) @[lib.scala 105:14] + node _T_566 = cat(_T_322[23], _T_322[22]) @[lib.scala 105:14] + node _T_567 = cat(_T_566, _T_565) @[lib.scala 105:14] + node _T_568 = cat(_T_567, _T_564) @[lib.scala 105:14] + node _T_569 = cat(_T_322[25], _T_322[24]) @[lib.scala 105:14] + node _T_570 = cat(_T_322[27], _T_322[26]) @[lib.scala 105:14] + node _T_571 = cat(_T_570, _T_569) @[lib.scala 105:14] + node _T_572 = cat(_T_322[29], _T_322[28]) @[lib.scala 105:14] + node _T_573 = cat(_T_322[31], _T_322[30]) @[lib.scala 105:14] + node _T_574 = cat(_T_573, _T_572) @[lib.scala 105:14] + node _T_575 = cat(_T_574, _T_571) @[lib.scala 105:14] + node _T_576 = cat(_T_575, _T_568) @[lib.scala 105:14] + node _T_577 = cat(_T_576, _T_561) @[lib.scala 105:14] + node _T_578 = andr(_T_577) @[lib.scala 105:25] + node _T_579 = and(_T_320, _T_578) @[lsu_trigger.scala 21:92] + node _T_580 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_581 = and(io.lsu_pkt_m.valid, _T_580) @[lsu_trigger.scala 20:68] + node _T_582 = and(_T_581, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_583 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_584 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_585 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_586 = and(_T_584, _T_585) @[lsu_trigger.scala 21:58] + node _T_587 = or(_T_583, _T_586) @[lsu_trigger.scala 20:168] + node _T_588 = and(_T_582, _T_587) @[lsu_trigger.scala 20:110] + node _T_589 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_590 : UInt<1>[32] @[lib.scala 100:24] + node _T_591 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 101:45] + node _T_592 = not(_T_591) @[lib.scala 101:39] + node _T_593 = and(_T_589, _T_592) @[lib.scala 101:37] + node _T_594 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 102:48] + node _T_595 = bits(lsu_match_data_2, 0, 0) @[lib.scala 102:60] + node _T_596 = eq(_T_594, _T_595) @[lib.scala 102:52] + node _T_597 = or(_T_593, _T_596) @[lib.scala 102:41] + _T_590[0] <= _T_597 @[lib.scala 102:18] + node _T_598 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 104:28] + node _T_599 = andr(_T_598) @[lib.scala 104:36] + node _T_600 = and(_T_599, _T_593) @[lib.scala 104:41] + node _T_601 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 104:74] + node _T_602 = bits(lsu_match_data_2, 1, 1) @[lib.scala 104:86] + node _T_603 = eq(_T_601, _T_602) @[lib.scala 104:78] + node _T_604 = mux(_T_600, UInt<1>("h01"), _T_603) @[lib.scala 104:23] + _T_590[1] <= _T_604 @[lib.scala 104:17] + node _T_605 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 104:28] + node _T_606 = andr(_T_605) @[lib.scala 104:36] + node _T_607 = and(_T_606, _T_593) @[lib.scala 104:41] + node _T_608 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 104:74] + node _T_609 = bits(lsu_match_data_2, 2, 2) @[lib.scala 104:86] + node _T_610 = eq(_T_608, _T_609) @[lib.scala 104:78] + node _T_611 = mux(_T_607, UInt<1>("h01"), _T_610) @[lib.scala 104:23] + _T_590[2] <= _T_611 @[lib.scala 104:17] + node _T_612 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 104:28] + node _T_613 = andr(_T_612) @[lib.scala 104:36] + node _T_614 = and(_T_613, _T_593) @[lib.scala 104:41] + node _T_615 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 104:74] + node _T_616 = bits(lsu_match_data_2, 3, 3) @[lib.scala 104:86] + node _T_617 = eq(_T_615, _T_616) @[lib.scala 104:78] + node _T_618 = mux(_T_614, UInt<1>("h01"), _T_617) @[lib.scala 104:23] + _T_590[3] <= _T_618 @[lib.scala 104:17] + node _T_619 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 104:28] + node _T_620 = andr(_T_619) @[lib.scala 104:36] + node _T_621 = and(_T_620, _T_593) @[lib.scala 104:41] + node _T_622 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 104:74] + node _T_623 = bits(lsu_match_data_2, 4, 4) @[lib.scala 104:86] + node _T_624 = eq(_T_622, _T_623) @[lib.scala 104:78] + node _T_625 = mux(_T_621, UInt<1>("h01"), _T_624) @[lib.scala 104:23] + _T_590[4] <= _T_625 @[lib.scala 104:17] + node _T_626 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 104:28] + node _T_627 = andr(_T_626) @[lib.scala 104:36] + node _T_628 = and(_T_627, _T_593) @[lib.scala 104:41] + node _T_629 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 104:74] + node _T_630 = bits(lsu_match_data_2, 5, 5) @[lib.scala 104:86] + node _T_631 = eq(_T_629, _T_630) @[lib.scala 104:78] + node _T_632 = mux(_T_628, UInt<1>("h01"), _T_631) @[lib.scala 104:23] + _T_590[5] <= _T_632 @[lib.scala 104:17] + node _T_633 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 104:28] + node _T_634 = andr(_T_633) @[lib.scala 104:36] + node _T_635 = and(_T_634, _T_593) @[lib.scala 104:41] + node _T_636 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 104:74] + node _T_637 = bits(lsu_match_data_2, 6, 6) @[lib.scala 104:86] + node _T_638 = eq(_T_636, _T_637) @[lib.scala 104:78] + node _T_639 = mux(_T_635, UInt<1>("h01"), _T_638) @[lib.scala 104:23] + _T_590[6] <= _T_639 @[lib.scala 104:17] + node _T_640 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 104:28] + node _T_641 = andr(_T_640) @[lib.scala 104:36] + node _T_642 = and(_T_641, _T_593) @[lib.scala 104:41] + node _T_643 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 104:74] + node _T_644 = bits(lsu_match_data_2, 7, 7) @[lib.scala 104:86] + node _T_645 = eq(_T_643, _T_644) @[lib.scala 104:78] + node _T_646 = mux(_T_642, UInt<1>("h01"), _T_645) @[lib.scala 104:23] + _T_590[7] <= _T_646 @[lib.scala 104:17] + node _T_647 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 104:28] + node _T_648 = andr(_T_647) @[lib.scala 104:36] + node _T_649 = and(_T_648, _T_593) @[lib.scala 104:41] + node _T_650 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 104:74] + node _T_651 = bits(lsu_match_data_2, 8, 8) @[lib.scala 104:86] + node _T_652 = eq(_T_650, _T_651) @[lib.scala 104:78] + node _T_653 = mux(_T_649, UInt<1>("h01"), _T_652) @[lib.scala 104:23] + _T_590[8] <= _T_653 @[lib.scala 104:17] + node _T_654 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 104:28] + node _T_655 = andr(_T_654) @[lib.scala 104:36] + node _T_656 = and(_T_655, _T_593) @[lib.scala 104:41] + node _T_657 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 104:74] + node _T_658 = bits(lsu_match_data_2, 9, 9) @[lib.scala 104:86] + node _T_659 = eq(_T_657, _T_658) @[lib.scala 104:78] + node _T_660 = mux(_T_656, UInt<1>("h01"), _T_659) @[lib.scala 104:23] + _T_590[9] <= _T_660 @[lib.scala 104:17] + node _T_661 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 104:28] + node _T_662 = andr(_T_661) @[lib.scala 104:36] + node _T_663 = and(_T_662, _T_593) @[lib.scala 104:41] + node _T_664 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 104:74] + node _T_665 = bits(lsu_match_data_2, 10, 10) @[lib.scala 104:86] + node _T_666 = eq(_T_664, _T_665) @[lib.scala 104:78] + node _T_667 = mux(_T_663, UInt<1>("h01"), _T_666) @[lib.scala 104:23] + _T_590[10] <= _T_667 @[lib.scala 104:17] + node _T_668 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 104:28] + node _T_669 = andr(_T_668) @[lib.scala 104:36] + node _T_670 = and(_T_669, _T_593) @[lib.scala 104:41] + node _T_671 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 104:74] + node _T_672 = bits(lsu_match_data_2, 11, 11) @[lib.scala 104:86] + node _T_673 = eq(_T_671, _T_672) @[lib.scala 104:78] + node _T_674 = mux(_T_670, UInt<1>("h01"), _T_673) @[lib.scala 104:23] + _T_590[11] <= _T_674 @[lib.scala 104:17] + node _T_675 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 104:28] + node _T_676 = andr(_T_675) @[lib.scala 104:36] + node _T_677 = and(_T_676, _T_593) @[lib.scala 104:41] + node _T_678 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 104:74] + node _T_679 = bits(lsu_match_data_2, 12, 12) @[lib.scala 104:86] + node _T_680 = eq(_T_678, _T_679) @[lib.scala 104:78] + node _T_681 = mux(_T_677, UInt<1>("h01"), _T_680) @[lib.scala 104:23] + _T_590[12] <= _T_681 @[lib.scala 104:17] + node _T_682 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 104:28] + node _T_683 = andr(_T_682) @[lib.scala 104:36] + node _T_684 = and(_T_683, _T_593) @[lib.scala 104:41] + node _T_685 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 104:74] + node _T_686 = bits(lsu_match_data_2, 13, 13) @[lib.scala 104:86] + node _T_687 = eq(_T_685, _T_686) @[lib.scala 104:78] + node _T_688 = mux(_T_684, UInt<1>("h01"), _T_687) @[lib.scala 104:23] + _T_590[13] <= _T_688 @[lib.scala 104:17] + node _T_689 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 104:28] + node _T_690 = andr(_T_689) @[lib.scala 104:36] + node _T_691 = and(_T_690, _T_593) @[lib.scala 104:41] + node _T_692 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 104:74] + node _T_693 = bits(lsu_match_data_2, 14, 14) @[lib.scala 104:86] + node _T_694 = eq(_T_692, _T_693) @[lib.scala 104:78] + node _T_695 = mux(_T_691, UInt<1>("h01"), _T_694) @[lib.scala 104:23] + _T_590[14] <= _T_695 @[lib.scala 104:17] + node _T_696 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 104:28] + node _T_697 = andr(_T_696) @[lib.scala 104:36] + node _T_698 = and(_T_697, _T_593) @[lib.scala 104:41] + node _T_699 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 104:74] + node _T_700 = bits(lsu_match_data_2, 15, 15) @[lib.scala 104:86] + node _T_701 = eq(_T_699, _T_700) @[lib.scala 104:78] + node _T_702 = mux(_T_698, UInt<1>("h01"), _T_701) @[lib.scala 104:23] + _T_590[15] <= _T_702 @[lib.scala 104:17] + node _T_703 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 104:28] + node _T_704 = andr(_T_703) @[lib.scala 104:36] + node _T_705 = and(_T_704, _T_593) @[lib.scala 104:41] + node _T_706 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 104:74] + node _T_707 = bits(lsu_match_data_2, 16, 16) @[lib.scala 104:86] + node _T_708 = eq(_T_706, _T_707) @[lib.scala 104:78] + node _T_709 = mux(_T_705, UInt<1>("h01"), _T_708) @[lib.scala 104:23] + _T_590[16] <= _T_709 @[lib.scala 104:17] + node _T_710 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 104:28] + node _T_711 = andr(_T_710) @[lib.scala 104:36] + node _T_712 = and(_T_711, _T_593) @[lib.scala 104:41] + node _T_713 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 104:74] + node _T_714 = bits(lsu_match_data_2, 17, 17) @[lib.scala 104:86] + node _T_715 = eq(_T_713, _T_714) @[lib.scala 104:78] + node _T_716 = mux(_T_712, UInt<1>("h01"), _T_715) @[lib.scala 104:23] + _T_590[17] <= _T_716 @[lib.scala 104:17] + node _T_717 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 104:28] + node _T_718 = andr(_T_717) @[lib.scala 104:36] + node _T_719 = and(_T_718, _T_593) @[lib.scala 104:41] + node _T_720 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 104:74] + node _T_721 = bits(lsu_match_data_2, 18, 18) @[lib.scala 104:86] + node _T_722 = eq(_T_720, _T_721) @[lib.scala 104:78] + node _T_723 = mux(_T_719, UInt<1>("h01"), _T_722) @[lib.scala 104:23] + _T_590[18] <= _T_723 @[lib.scala 104:17] + node _T_724 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 104:28] + node _T_725 = andr(_T_724) @[lib.scala 104:36] + node _T_726 = and(_T_725, _T_593) @[lib.scala 104:41] + node _T_727 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 104:74] + node _T_728 = bits(lsu_match_data_2, 19, 19) @[lib.scala 104:86] + node _T_729 = eq(_T_727, _T_728) @[lib.scala 104:78] + node _T_730 = mux(_T_726, UInt<1>("h01"), _T_729) @[lib.scala 104:23] + _T_590[19] <= _T_730 @[lib.scala 104:17] + node _T_731 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 104:28] + node _T_732 = andr(_T_731) @[lib.scala 104:36] + node _T_733 = and(_T_732, _T_593) @[lib.scala 104:41] + node _T_734 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 104:74] + node _T_735 = bits(lsu_match_data_2, 20, 20) @[lib.scala 104:86] + node _T_736 = eq(_T_734, _T_735) @[lib.scala 104:78] + node _T_737 = mux(_T_733, UInt<1>("h01"), _T_736) @[lib.scala 104:23] + _T_590[20] <= _T_737 @[lib.scala 104:17] + node _T_738 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 104:28] + node _T_739 = andr(_T_738) @[lib.scala 104:36] + node _T_740 = and(_T_739, _T_593) @[lib.scala 104:41] + node _T_741 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 104:74] + node _T_742 = bits(lsu_match_data_2, 21, 21) @[lib.scala 104:86] + node _T_743 = eq(_T_741, _T_742) @[lib.scala 104:78] + node _T_744 = mux(_T_740, UInt<1>("h01"), _T_743) @[lib.scala 104:23] + _T_590[21] <= _T_744 @[lib.scala 104:17] + node _T_745 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 104:28] + node _T_746 = andr(_T_745) @[lib.scala 104:36] + node _T_747 = and(_T_746, _T_593) @[lib.scala 104:41] + node _T_748 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 104:74] + node _T_749 = bits(lsu_match_data_2, 22, 22) @[lib.scala 104:86] + node _T_750 = eq(_T_748, _T_749) @[lib.scala 104:78] + node _T_751 = mux(_T_747, UInt<1>("h01"), _T_750) @[lib.scala 104:23] + _T_590[22] <= _T_751 @[lib.scala 104:17] + node _T_752 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 104:28] + node _T_753 = andr(_T_752) @[lib.scala 104:36] + node _T_754 = and(_T_753, _T_593) @[lib.scala 104:41] + node _T_755 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 104:74] + node _T_756 = bits(lsu_match_data_2, 23, 23) @[lib.scala 104:86] + node _T_757 = eq(_T_755, _T_756) @[lib.scala 104:78] + node _T_758 = mux(_T_754, UInt<1>("h01"), _T_757) @[lib.scala 104:23] + _T_590[23] <= _T_758 @[lib.scala 104:17] + node _T_759 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 104:28] + node _T_760 = andr(_T_759) @[lib.scala 104:36] + node _T_761 = and(_T_760, _T_593) @[lib.scala 104:41] + node _T_762 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 104:74] + node _T_763 = bits(lsu_match_data_2, 24, 24) @[lib.scala 104:86] + node _T_764 = eq(_T_762, _T_763) @[lib.scala 104:78] + node _T_765 = mux(_T_761, UInt<1>("h01"), _T_764) @[lib.scala 104:23] + _T_590[24] <= _T_765 @[lib.scala 104:17] + node _T_766 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 104:28] + node _T_767 = andr(_T_766) @[lib.scala 104:36] + node _T_768 = and(_T_767, _T_593) @[lib.scala 104:41] + node _T_769 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 104:74] + node _T_770 = bits(lsu_match_data_2, 25, 25) @[lib.scala 104:86] + node _T_771 = eq(_T_769, _T_770) @[lib.scala 104:78] + node _T_772 = mux(_T_768, UInt<1>("h01"), _T_771) @[lib.scala 104:23] + _T_590[25] <= _T_772 @[lib.scala 104:17] + node _T_773 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 104:28] + node _T_774 = andr(_T_773) @[lib.scala 104:36] + node _T_775 = and(_T_774, _T_593) @[lib.scala 104:41] + node _T_776 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 104:74] + node _T_777 = bits(lsu_match_data_2, 26, 26) @[lib.scala 104:86] + node _T_778 = eq(_T_776, _T_777) @[lib.scala 104:78] + node _T_779 = mux(_T_775, UInt<1>("h01"), _T_778) @[lib.scala 104:23] + _T_590[26] <= _T_779 @[lib.scala 104:17] + node _T_780 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 104:28] + node _T_781 = andr(_T_780) @[lib.scala 104:36] + node _T_782 = and(_T_781, _T_593) @[lib.scala 104:41] + node _T_783 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 104:74] + node _T_784 = bits(lsu_match_data_2, 27, 27) @[lib.scala 104:86] + node _T_785 = eq(_T_783, _T_784) @[lib.scala 104:78] + node _T_786 = mux(_T_782, UInt<1>("h01"), _T_785) @[lib.scala 104:23] + _T_590[27] <= _T_786 @[lib.scala 104:17] + node _T_787 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 104:28] + node _T_788 = andr(_T_787) @[lib.scala 104:36] + node _T_789 = and(_T_788, _T_593) @[lib.scala 104:41] + node _T_790 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 104:74] + node _T_791 = bits(lsu_match_data_2, 28, 28) @[lib.scala 104:86] + node _T_792 = eq(_T_790, _T_791) @[lib.scala 104:78] + node _T_793 = mux(_T_789, UInt<1>("h01"), _T_792) @[lib.scala 104:23] + _T_590[28] <= _T_793 @[lib.scala 104:17] + node _T_794 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 104:28] + node _T_795 = andr(_T_794) @[lib.scala 104:36] + node _T_796 = and(_T_795, _T_593) @[lib.scala 104:41] + node _T_797 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 104:74] + node _T_798 = bits(lsu_match_data_2, 29, 29) @[lib.scala 104:86] + node _T_799 = eq(_T_797, _T_798) @[lib.scala 104:78] + node _T_800 = mux(_T_796, UInt<1>("h01"), _T_799) @[lib.scala 104:23] + _T_590[29] <= _T_800 @[lib.scala 104:17] + node _T_801 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 104:28] + node _T_802 = andr(_T_801) @[lib.scala 104:36] + node _T_803 = and(_T_802, _T_593) @[lib.scala 104:41] + node _T_804 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 104:74] + node _T_805 = bits(lsu_match_data_2, 30, 30) @[lib.scala 104:86] + node _T_806 = eq(_T_804, _T_805) @[lib.scala 104:78] + node _T_807 = mux(_T_803, UInt<1>("h01"), _T_806) @[lib.scala 104:23] + _T_590[30] <= _T_807 @[lib.scala 104:17] + node _T_808 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 104:28] + node _T_809 = andr(_T_808) @[lib.scala 104:36] + node _T_810 = and(_T_809, _T_593) @[lib.scala 104:41] + node _T_811 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 104:74] + node _T_812 = bits(lsu_match_data_2, 31, 31) @[lib.scala 104:86] + node _T_813 = eq(_T_811, _T_812) @[lib.scala 104:78] + node _T_814 = mux(_T_810, UInt<1>("h01"), _T_813) @[lib.scala 104:23] + _T_590[31] <= _T_814 @[lib.scala 104:17] + node _T_815 = cat(_T_590[1], _T_590[0]) @[lib.scala 105:14] + node _T_816 = cat(_T_590[3], _T_590[2]) @[lib.scala 105:14] + node _T_817 = cat(_T_816, _T_815) @[lib.scala 105:14] + node _T_818 = cat(_T_590[5], _T_590[4]) @[lib.scala 105:14] + node _T_819 = cat(_T_590[7], _T_590[6]) @[lib.scala 105:14] + node _T_820 = cat(_T_819, _T_818) @[lib.scala 105:14] + node _T_821 = cat(_T_820, _T_817) @[lib.scala 105:14] + node _T_822 = cat(_T_590[9], _T_590[8]) @[lib.scala 105:14] + node _T_823 = cat(_T_590[11], _T_590[10]) @[lib.scala 105:14] + node _T_824 = cat(_T_823, _T_822) @[lib.scala 105:14] + node _T_825 = cat(_T_590[13], _T_590[12]) @[lib.scala 105:14] + node _T_826 = cat(_T_590[15], _T_590[14]) @[lib.scala 105:14] + node _T_827 = cat(_T_826, _T_825) @[lib.scala 105:14] + node _T_828 = cat(_T_827, _T_824) @[lib.scala 105:14] + node _T_829 = cat(_T_828, _T_821) @[lib.scala 105:14] + node _T_830 = cat(_T_590[17], _T_590[16]) @[lib.scala 105:14] + node _T_831 = cat(_T_590[19], _T_590[18]) @[lib.scala 105:14] + node _T_832 = cat(_T_831, _T_830) @[lib.scala 105:14] + node _T_833 = cat(_T_590[21], _T_590[20]) @[lib.scala 105:14] + node _T_834 = cat(_T_590[23], _T_590[22]) @[lib.scala 105:14] + node _T_835 = cat(_T_834, _T_833) @[lib.scala 105:14] + node _T_836 = cat(_T_835, _T_832) @[lib.scala 105:14] + node _T_837 = cat(_T_590[25], _T_590[24]) @[lib.scala 105:14] + node _T_838 = cat(_T_590[27], _T_590[26]) @[lib.scala 105:14] + node _T_839 = cat(_T_838, _T_837) @[lib.scala 105:14] + node _T_840 = cat(_T_590[29], _T_590[28]) @[lib.scala 105:14] + node _T_841 = cat(_T_590[31], _T_590[30]) @[lib.scala 105:14] + node _T_842 = cat(_T_841, _T_840) @[lib.scala 105:14] + node _T_843 = cat(_T_842, _T_839) @[lib.scala 105:14] + node _T_844 = cat(_T_843, _T_836) @[lib.scala 105:14] + node _T_845 = cat(_T_844, _T_829) @[lib.scala 105:14] + node _T_846 = andr(_T_845) @[lib.scala 105:25] + node _T_847 = and(_T_588, _T_846) @[lsu_trigger.scala 21:92] + node _T_848 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_849 = and(io.lsu_pkt_m.valid, _T_848) @[lsu_trigger.scala 20:68] + node _T_850 = and(_T_849, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_851 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_852 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_853 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_854 = and(_T_852, _T_853) @[lsu_trigger.scala 21:58] + node _T_855 = or(_T_851, _T_854) @[lsu_trigger.scala 20:168] + node _T_856 = and(_T_850, _T_855) @[lsu_trigger.scala 20:110] + node _T_857 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_858 : UInt<1>[32] @[lib.scala 100:24] + node _T_859 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 101:45] + node _T_860 = not(_T_859) @[lib.scala 101:39] + node _T_861 = and(_T_857, _T_860) @[lib.scala 101:37] + node _T_862 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 102:48] + node _T_863 = bits(lsu_match_data_3, 0, 0) @[lib.scala 102:60] + node _T_864 = eq(_T_862, _T_863) @[lib.scala 102:52] + node _T_865 = or(_T_861, _T_864) @[lib.scala 102:41] + _T_858[0] <= _T_865 @[lib.scala 102:18] + node _T_866 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 104:28] + node _T_867 = andr(_T_866) @[lib.scala 104:36] + node _T_868 = and(_T_867, _T_861) @[lib.scala 104:41] + node _T_869 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 104:74] + node _T_870 = bits(lsu_match_data_3, 1, 1) @[lib.scala 104:86] + node _T_871 = eq(_T_869, _T_870) @[lib.scala 104:78] + node _T_872 = mux(_T_868, UInt<1>("h01"), _T_871) @[lib.scala 104:23] + _T_858[1] <= _T_872 @[lib.scala 104:17] + node _T_873 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 104:28] + node _T_874 = andr(_T_873) @[lib.scala 104:36] + node _T_875 = and(_T_874, _T_861) @[lib.scala 104:41] + node _T_876 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 104:74] + node _T_877 = bits(lsu_match_data_3, 2, 2) @[lib.scala 104:86] + node _T_878 = eq(_T_876, _T_877) @[lib.scala 104:78] + node _T_879 = mux(_T_875, UInt<1>("h01"), _T_878) @[lib.scala 104:23] + _T_858[2] <= _T_879 @[lib.scala 104:17] + node _T_880 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 104:28] + node _T_881 = andr(_T_880) @[lib.scala 104:36] + node _T_882 = and(_T_881, _T_861) @[lib.scala 104:41] + node _T_883 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 104:74] + node _T_884 = bits(lsu_match_data_3, 3, 3) @[lib.scala 104:86] + node _T_885 = eq(_T_883, _T_884) @[lib.scala 104:78] + node _T_886 = mux(_T_882, UInt<1>("h01"), _T_885) @[lib.scala 104:23] + _T_858[3] <= _T_886 @[lib.scala 104:17] + node _T_887 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 104:28] + node _T_888 = andr(_T_887) @[lib.scala 104:36] + node _T_889 = and(_T_888, _T_861) @[lib.scala 104:41] + node _T_890 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 104:74] + node _T_891 = bits(lsu_match_data_3, 4, 4) @[lib.scala 104:86] + node _T_892 = eq(_T_890, _T_891) @[lib.scala 104:78] + node _T_893 = mux(_T_889, UInt<1>("h01"), _T_892) @[lib.scala 104:23] + _T_858[4] <= _T_893 @[lib.scala 104:17] + node _T_894 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 104:28] + node _T_895 = andr(_T_894) @[lib.scala 104:36] + node _T_896 = and(_T_895, _T_861) @[lib.scala 104:41] + node _T_897 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 104:74] + node _T_898 = bits(lsu_match_data_3, 5, 5) @[lib.scala 104:86] + node _T_899 = eq(_T_897, _T_898) @[lib.scala 104:78] + node _T_900 = mux(_T_896, UInt<1>("h01"), _T_899) @[lib.scala 104:23] + _T_858[5] <= _T_900 @[lib.scala 104:17] + node _T_901 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 104:28] + node _T_902 = andr(_T_901) @[lib.scala 104:36] + node _T_903 = and(_T_902, _T_861) @[lib.scala 104:41] + node _T_904 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 104:74] + node _T_905 = bits(lsu_match_data_3, 6, 6) @[lib.scala 104:86] + node _T_906 = eq(_T_904, _T_905) @[lib.scala 104:78] + node _T_907 = mux(_T_903, UInt<1>("h01"), _T_906) @[lib.scala 104:23] + _T_858[6] <= _T_907 @[lib.scala 104:17] + node _T_908 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 104:28] + node _T_909 = andr(_T_908) @[lib.scala 104:36] + node _T_910 = and(_T_909, _T_861) @[lib.scala 104:41] + node _T_911 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 104:74] + node _T_912 = bits(lsu_match_data_3, 7, 7) @[lib.scala 104:86] + node _T_913 = eq(_T_911, _T_912) @[lib.scala 104:78] + node _T_914 = mux(_T_910, UInt<1>("h01"), _T_913) @[lib.scala 104:23] + _T_858[7] <= _T_914 @[lib.scala 104:17] + node _T_915 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 104:28] + node _T_916 = andr(_T_915) @[lib.scala 104:36] + node _T_917 = and(_T_916, _T_861) @[lib.scala 104:41] + node _T_918 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 104:74] + node _T_919 = bits(lsu_match_data_3, 8, 8) @[lib.scala 104:86] + node _T_920 = eq(_T_918, _T_919) @[lib.scala 104:78] + node _T_921 = mux(_T_917, UInt<1>("h01"), _T_920) @[lib.scala 104:23] + _T_858[8] <= _T_921 @[lib.scala 104:17] + node _T_922 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 104:28] + node _T_923 = andr(_T_922) @[lib.scala 104:36] + node _T_924 = and(_T_923, _T_861) @[lib.scala 104:41] + node _T_925 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 104:74] + node _T_926 = bits(lsu_match_data_3, 9, 9) @[lib.scala 104:86] + node _T_927 = eq(_T_925, _T_926) @[lib.scala 104:78] + node _T_928 = mux(_T_924, UInt<1>("h01"), _T_927) @[lib.scala 104:23] + _T_858[9] <= _T_928 @[lib.scala 104:17] + node _T_929 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 104:28] + node _T_930 = andr(_T_929) @[lib.scala 104:36] + node _T_931 = and(_T_930, _T_861) @[lib.scala 104:41] + node _T_932 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 104:74] + node _T_933 = bits(lsu_match_data_3, 10, 10) @[lib.scala 104:86] + node _T_934 = eq(_T_932, _T_933) @[lib.scala 104:78] + node _T_935 = mux(_T_931, UInt<1>("h01"), _T_934) @[lib.scala 104:23] + _T_858[10] <= _T_935 @[lib.scala 104:17] + node _T_936 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 104:28] + node _T_937 = andr(_T_936) @[lib.scala 104:36] + node _T_938 = and(_T_937, _T_861) @[lib.scala 104:41] + node _T_939 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 104:74] + node _T_940 = bits(lsu_match_data_3, 11, 11) @[lib.scala 104:86] + node _T_941 = eq(_T_939, _T_940) @[lib.scala 104:78] + node _T_942 = mux(_T_938, UInt<1>("h01"), _T_941) @[lib.scala 104:23] + _T_858[11] <= _T_942 @[lib.scala 104:17] + node _T_943 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 104:28] + node _T_944 = andr(_T_943) @[lib.scala 104:36] + node _T_945 = and(_T_944, _T_861) @[lib.scala 104:41] + node _T_946 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 104:74] + node _T_947 = bits(lsu_match_data_3, 12, 12) @[lib.scala 104:86] + node _T_948 = eq(_T_946, _T_947) @[lib.scala 104:78] + node _T_949 = mux(_T_945, UInt<1>("h01"), _T_948) @[lib.scala 104:23] + _T_858[12] <= _T_949 @[lib.scala 104:17] + node _T_950 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 104:28] + node _T_951 = andr(_T_950) @[lib.scala 104:36] + node _T_952 = and(_T_951, _T_861) @[lib.scala 104:41] + node _T_953 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 104:74] + node _T_954 = bits(lsu_match_data_3, 13, 13) @[lib.scala 104:86] + node _T_955 = eq(_T_953, _T_954) @[lib.scala 104:78] + node _T_956 = mux(_T_952, UInt<1>("h01"), _T_955) @[lib.scala 104:23] + _T_858[13] <= _T_956 @[lib.scala 104:17] + node _T_957 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 104:28] + node _T_958 = andr(_T_957) @[lib.scala 104:36] + node _T_959 = and(_T_958, _T_861) @[lib.scala 104:41] + node _T_960 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 104:74] + node _T_961 = bits(lsu_match_data_3, 14, 14) @[lib.scala 104:86] + node _T_962 = eq(_T_960, _T_961) @[lib.scala 104:78] + node _T_963 = mux(_T_959, UInt<1>("h01"), _T_962) @[lib.scala 104:23] + _T_858[14] <= _T_963 @[lib.scala 104:17] + node _T_964 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 104:28] + node _T_965 = andr(_T_964) @[lib.scala 104:36] + node _T_966 = and(_T_965, _T_861) @[lib.scala 104:41] + node _T_967 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 104:74] + node _T_968 = bits(lsu_match_data_3, 15, 15) @[lib.scala 104:86] + node _T_969 = eq(_T_967, _T_968) @[lib.scala 104:78] + node _T_970 = mux(_T_966, UInt<1>("h01"), _T_969) @[lib.scala 104:23] + _T_858[15] <= _T_970 @[lib.scala 104:17] + node _T_971 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 104:28] + node _T_972 = andr(_T_971) @[lib.scala 104:36] + node _T_973 = and(_T_972, _T_861) @[lib.scala 104:41] + node _T_974 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 104:74] + node _T_975 = bits(lsu_match_data_3, 16, 16) @[lib.scala 104:86] + node _T_976 = eq(_T_974, _T_975) @[lib.scala 104:78] + node _T_977 = mux(_T_973, UInt<1>("h01"), _T_976) @[lib.scala 104:23] + _T_858[16] <= _T_977 @[lib.scala 104:17] + node _T_978 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 104:28] + node _T_979 = andr(_T_978) @[lib.scala 104:36] + node _T_980 = and(_T_979, _T_861) @[lib.scala 104:41] + node _T_981 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 104:74] + node _T_982 = bits(lsu_match_data_3, 17, 17) @[lib.scala 104:86] + node _T_983 = eq(_T_981, _T_982) @[lib.scala 104:78] + node _T_984 = mux(_T_980, UInt<1>("h01"), _T_983) @[lib.scala 104:23] + _T_858[17] <= _T_984 @[lib.scala 104:17] + node _T_985 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 104:28] + node _T_986 = andr(_T_985) @[lib.scala 104:36] + node _T_987 = and(_T_986, _T_861) @[lib.scala 104:41] + node _T_988 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 104:74] + node _T_989 = bits(lsu_match_data_3, 18, 18) @[lib.scala 104:86] + node _T_990 = eq(_T_988, _T_989) @[lib.scala 104:78] + node _T_991 = mux(_T_987, UInt<1>("h01"), _T_990) @[lib.scala 104:23] + _T_858[18] <= _T_991 @[lib.scala 104:17] + node _T_992 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 104:28] + node _T_993 = andr(_T_992) @[lib.scala 104:36] + node _T_994 = and(_T_993, _T_861) @[lib.scala 104:41] + node _T_995 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 104:74] + node _T_996 = bits(lsu_match_data_3, 19, 19) @[lib.scala 104:86] + node _T_997 = eq(_T_995, _T_996) @[lib.scala 104:78] + node _T_998 = mux(_T_994, UInt<1>("h01"), _T_997) @[lib.scala 104:23] + _T_858[19] <= _T_998 @[lib.scala 104:17] + node _T_999 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 104:28] + node _T_1000 = andr(_T_999) @[lib.scala 104:36] + node _T_1001 = and(_T_1000, _T_861) @[lib.scala 104:41] + node _T_1002 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 104:74] + node _T_1003 = bits(lsu_match_data_3, 20, 20) @[lib.scala 104:86] + node _T_1004 = eq(_T_1002, _T_1003) @[lib.scala 104:78] + node _T_1005 = mux(_T_1001, UInt<1>("h01"), _T_1004) @[lib.scala 104:23] + _T_858[20] <= _T_1005 @[lib.scala 104:17] + node _T_1006 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 104:28] + node _T_1007 = andr(_T_1006) @[lib.scala 104:36] + node _T_1008 = and(_T_1007, _T_861) @[lib.scala 104:41] + node _T_1009 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 104:74] + node _T_1010 = bits(lsu_match_data_3, 21, 21) @[lib.scala 104:86] + node _T_1011 = eq(_T_1009, _T_1010) @[lib.scala 104:78] + node _T_1012 = mux(_T_1008, UInt<1>("h01"), _T_1011) @[lib.scala 104:23] + _T_858[21] <= _T_1012 @[lib.scala 104:17] + node _T_1013 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 104:28] + node _T_1014 = andr(_T_1013) @[lib.scala 104:36] + node _T_1015 = and(_T_1014, _T_861) @[lib.scala 104:41] + node _T_1016 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 104:74] + node _T_1017 = bits(lsu_match_data_3, 22, 22) @[lib.scala 104:86] + node _T_1018 = eq(_T_1016, _T_1017) @[lib.scala 104:78] + node _T_1019 = mux(_T_1015, UInt<1>("h01"), _T_1018) @[lib.scala 104:23] + _T_858[22] <= _T_1019 @[lib.scala 104:17] + node _T_1020 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 104:28] + node _T_1021 = andr(_T_1020) @[lib.scala 104:36] + node _T_1022 = and(_T_1021, _T_861) @[lib.scala 104:41] + node _T_1023 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 104:74] + node _T_1024 = bits(lsu_match_data_3, 23, 23) @[lib.scala 104:86] + node _T_1025 = eq(_T_1023, _T_1024) @[lib.scala 104:78] + node _T_1026 = mux(_T_1022, UInt<1>("h01"), _T_1025) @[lib.scala 104:23] + _T_858[23] <= _T_1026 @[lib.scala 104:17] + node _T_1027 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 104:28] + node _T_1028 = andr(_T_1027) @[lib.scala 104:36] + node _T_1029 = and(_T_1028, _T_861) @[lib.scala 104:41] + node _T_1030 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 104:74] + node _T_1031 = bits(lsu_match_data_3, 24, 24) @[lib.scala 104:86] + node _T_1032 = eq(_T_1030, _T_1031) @[lib.scala 104:78] + node _T_1033 = mux(_T_1029, UInt<1>("h01"), _T_1032) @[lib.scala 104:23] + _T_858[24] <= _T_1033 @[lib.scala 104:17] + node _T_1034 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 104:28] + node _T_1035 = andr(_T_1034) @[lib.scala 104:36] + node _T_1036 = and(_T_1035, _T_861) @[lib.scala 104:41] + node _T_1037 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 104:74] + node _T_1038 = bits(lsu_match_data_3, 25, 25) @[lib.scala 104:86] + node _T_1039 = eq(_T_1037, _T_1038) @[lib.scala 104:78] + node _T_1040 = mux(_T_1036, UInt<1>("h01"), _T_1039) @[lib.scala 104:23] + _T_858[25] <= _T_1040 @[lib.scala 104:17] + node _T_1041 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 104:28] + node _T_1042 = andr(_T_1041) @[lib.scala 104:36] + node _T_1043 = and(_T_1042, _T_861) @[lib.scala 104:41] + node _T_1044 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 104:74] + node _T_1045 = bits(lsu_match_data_3, 26, 26) @[lib.scala 104:86] + node _T_1046 = eq(_T_1044, _T_1045) @[lib.scala 104:78] + node _T_1047 = mux(_T_1043, UInt<1>("h01"), _T_1046) @[lib.scala 104:23] + _T_858[26] <= _T_1047 @[lib.scala 104:17] + node _T_1048 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 104:28] + node _T_1049 = andr(_T_1048) @[lib.scala 104:36] + node _T_1050 = and(_T_1049, _T_861) @[lib.scala 104:41] + node _T_1051 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 104:74] + node _T_1052 = bits(lsu_match_data_3, 27, 27) @[lib.scala 104:86] + node _T_1053 = eq(_T_1051, _T_1052) @[lib.scala 104:78] + node _T_1054 = mux(_T_1050, UInt<1>("h01"), _T_1053) @[lib.scala 104:23] + _T_858[27] <= _T_1054 @[lib.scala 104:17] + node _T_1055 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 104:28] + node _T_1056 = andr(_T_1055) @[lib.scala 104:36] + node _T_1057 = and(_T_1056, _T_861) @[lib.scala 104:41] + node _T_1058 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 104:74] + node _T_1059 = bits(lsu_match_data_3, 28, 28) @[lib.scala 104:86] + node _T_1060 = eq(_T_1058, _T_1059) @[lib.scala 104:78] + node _T_1061 = mux(_T_1057, UInt<1>("h01"), _T_1060) @[lib.scala 104:23] + _T_858[28] <= _T_1061 @[lib.scala 104:17] + node _T_1062 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 104:28] + node _T_1063 = andr(_T_1062) @[lib.scala 104:36] + node _T_1064 = and(_T_1063, _T_861) @[lib.scala 104:41] + node _T_1065 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 104:74] + node _T_1066 = bits(lsu_match_data_3, 29, 29) @[lib.scala 104:86] + node _T_1067 = eq(_T_1065, _T_1066) @[lib.scala 104:78] + node _T_1068 = mux(_T_1064, UInt<1>("h01"), _T_1067) @[lib.scala 104:23] + _T_858[29] <= _T_1068 @[lib.scala 104:17] + node _T_1069 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 104:28] + node _T_1070 = andr(_T_1069) @[lib.scala 104:36] + node _T_1071 = and(_T_1070, _T_861) @[lib.scala 104:41] + node _T_1072 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 104:74] + node _T_1073 = bits(lsu_match_data_3, 30, 30) @[lib.scala 104:86] + node _T_1074 = eq(_T_1072, _T_1073) @[lib.scala 104:78] + node _T_1075 = mux(_T_1071, UInt<1>("h01"), _T_1074) @[lib.scala 104:23] + _T_858[30] <= _T_1075 @[lib.scala 104:17] + node _T_1076 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 104:28] + node _T_1077 = andr(_T_1076) @[lib.scala 104:36] + node _T_1078 = and(_T_1077, _T_861) @[lib.scala 104:41] + node _T_1079 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 104:74] + node _T_1080 = bits(lsu_match_data_3, 31, 31) @[lib.scala 104:86] + node _T_1081 = eq(_T_1079, _T_1080) @[lib.scala 104:78] + node _T_1082 = mux(_T_1078, UInt<1>("h01"), _T_1081) @[lib.scala 104:23] + _T_858[31] <= _T_1082 @[lib.scala 104:17] + node _T_1083 = cat(_T_858[1], _T_858[0]) @[lib.scala 105:14] + node _T_1084 = cat(_T_858[3], _T_858[2]) @[lib.scala 105:14] + node _T_1085 = cat(_T_1084, _T_1083) @[lib.scala 105:14] + node _T_1086 = cat(_T_858[5], _T_858[4]) @[lib.scala 105:14] + node _T_1087 = cat(_T_858[7], _T_858[6]) @[lib.scala 105:14] + node _T_1088 = cat(_T_1087, _T_1086) @[lib.scala 105:14] + node _T_1089 = cat(_T_1088, _T_1085) @[lib.scala 105:14] + node _T_1090 = cat(_T_858[9], _T_858[8]) @[lib.scala 105:14] + node _T_1091 = cat(_T_858[11], _T_858[10]) @[lib.scala 105:14] + node _T_1092 = cat(_T_1091, _T_1090) @[lib.scala 105:14] + node _T_1093 = cat(_T_858[13], _T_858[12]) @[lib.scala 105:14] + node _T_1094 = cat(_T_858[15], _T_858[14]) @[lib.scala 105:14] + node _T_1095 = cat(_T_1094, _T_1093) @[lib.scala 105:14] + node _T_1096 = cat(_T_1095, _T_1092) @[lib.scala 105:14] + node _T_1097 = cat(_T_1096, _T_1089) @[lib.scala 105:14] + node _T_1098 = cat(_T_858[17], _T_858[16]) @[lib.scala 105:14] + node _T_1099 = cat(_T_858[19], _T_858[18]) @[lib.scala 105:14] + node _T_1100 = cat(_T_1099, _T_1098) @[lib.scala 105:14] + node _T_1101 = cat(_T_858[21], _T_858[20]) @[lib.scala 105:14] + node _T_1102 = cat(_T_858[23], _T_858[22]) @[lib.scala 105:14] + node _T_1103 = cat(_T_1102, _T_1101) @[lib.scala 105:14] + node _T_1104 = cat(_T_1103, _T_1100) @[lib.scala 105:14] + node _T_1105 = cat(_T_858[25], _T_858[24]) @[lib.scala 105:14] + node _T_1106 = cat(_T_858[27], _T_858[26]) @[lib.scala 105:14] + node _T_1107 = cat(_T_1106, _T_1105) @[lib.scala 105:14] + node _T_1108 = cat(_T_858[29], _T_858[28]) @[lib.scala 105:14] + node _T_1109 = cat(_T_858[31], _T_858[30]) @[lib.scala 105:14] + node _T_1110 = cat(_T_1109, _T_1108) @[lib.scala 105:14] + node _T_1111 = cat(_T_1110, _T_1107) @[lib.scala 105:14] + node _T_1112 = cat(_T_1111, _T_1104) @[lib.scala 105:14] + node _T_1113 = cat(_T_1112, _T_1097) @[lib.scala 105:14] + node _T_1114 = andr(_T_1113) @[lib.scala 105:25] + node _T_1115 = and(_T_856, _T_1114) @[lsu_trigger.scala 21:92] + node _T_1116 = cat(_T_1115, _T_847) @[Cat.scala 29:58] + node _T_1117 = cat(_T_1116, _T_579) @[Cat.scala 29:58] + node _T_1118 = cat(_T_1117, _T_311) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1118 @[lsu_trigger.scala 20:25] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_25 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_26 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_27 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_28 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_29 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_30 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_31 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_clkdomain : + input clock : Clock + input reset : AsyncReset + output io : {flip active_clk : Clock, flip clk_override : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dma_dccm_req : UInt<1>, flip ldst_stbuf_reqvld_r : UInt<1>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_reqvld_flushed_any : UInt<1>, flip lsu_busreq_r : UInt<1>, flip lsu_bus_buffer_pend_any : UInt<1>, flip lsu_bus_buffer_empty_any : UInt<1>, flip lsu_stbuf_empty_any : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_bus_obuf_c1_clken : UInt<1>, lsu_busm_clken : UInt<1>, lsu_c1_m_clk : Clock, lsu_c1_r_clk : Clock, lsu_c2_m_clk : Clock, lsu_c2_r_clk : Clock, lsu_store_c1_m_clk : Clock, lsu_store_c1_r_clk : Clock, lsu_stbuf_c1_clk : Clock, lsu_bus_obuf_c1_clk : Clock, lsu_bus_ibuf_c1_clk : Clock, lsu_bus_buf_c1_clk : Clock, lsu_busm_clk : Clock, lsu_free_c2_clk : Clock, flip scan_mode : UInt<1>} + + wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36] + wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 61:36] + wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 62:36] + node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 64:47] + node lsu_c1_m_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 64:65] + node _T_1 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 65:51] + node lsu_c1_r_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 65:70] + node _T_2 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 67:47] + node lsu_c2_m_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 67:66] + node _T_3 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 68:47] + node lsu_c2_r_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 68:66] + node _T_4 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 70:49] + node lsu_store_c1_m_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 70:76] + node _T_5 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 71:49] + node lsu_store_c1_r_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 71:76] + node _T_6 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 72:55] + node _T_7 = or(_T_6, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 72:77] + node lsu_stbuf_c1_clken = or(_T_7, io.clk_override) @[lsu_clkdomain.scala 72:107] + node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 73:49] + node _T_8 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:62] + node _T_9 = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 74:80] + node _T_10 = and(_T_9, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 74:99] + io.lsu_bus_obuf_c1_clken <= _T_10 @[lsu_clkdomain.scala 74:30] + node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 75:32] + node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 75:61] + node _T_13 = or(_T_12, io.dec_tlu_force_halt) @[lsu_clkdomain.scala 75:79] + node lsu_bus_buf_c1_clken = or(_T_13, io.clk_override) @[lsu_clkdomain.scala 75:103] + node _T_14 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 77:48] + node _T_15 = or(_T_14, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 77:69] + node _T_16 = or(_T_15, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 77:90] + node _T_17 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:114] + node _T_18 = or(_T_16, _T_17) @[lsu_clkdomain.scala 77:112] + node _T_19 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:145] + node _T_20 = or(_T_18, _T_19) @[lsu_clkdomain.scala 77:143] + node lsu_free_c1_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 77:169] + node _T_21 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 78:50] + node lsu_free_c2_clken = or(_T_21, io.clk_override) @[lsu_clkdomain.scala 78:72] + node _T_22 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 79:25] + node _T_23 = or(_T_22, io.lsu_busreq_r) @[lsu_clkdomain.scala 79:54] + node _T_24 = or(_T_23, io.clk_override) @[lsu_clkdomain.scala 79:72] + node _T_25 = and(_T_24, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 79:91] + io.lsu_busm_clken <= _T_25 @[lsu_clkdomain.scala 79:21] + reg _T_26 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:62] + _T_26 <= lsu_free_c1_clken @[lsu_clkdomain.scala 82:62] + lsu_free_c1_clken_q <= _T_26 @[lsu_clkdomain.scala 82:26] + reg _T_27 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 84:67] + _T_27 <= lsu_c1_m_clken @[lsu_clkdomain.scala 84:67] + lsu_c1_m_clken_q <= _T_27 @[lsu_clkdomain.scala 84:26] + reg _T_28 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 85:67] + _T_28 <= lsu_c1_r_clken @[lsu_clkdomain.scala 85:67] + lsu_c1_r_clken_q <= _T_28 @[lsu_clkdomain.scala 85:26] + node _T_29 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 87:59] + inst rvclkhdr of rvclkhdr_20 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_29 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 87:26] + node _T_30 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 88:59] + inst rvclkhdr_1 of rvclkhdr_21 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_30 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 88:26] + node _T_31 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 89:59] + inst rvclkhdr_2 of rvclkhdr_22 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= _T_31 @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 89:26] + node _T_32 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 90:59] + inst rvclkhdr_3 of rvclkhdr_23 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_32 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 90:26] + node _T_33 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 91:65] + inst rvclkhdr_4 of rvclkhdr_24 @[lib.scala 343:22] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_4.io.en <= _T_33 @[lib.scala 345:16] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 91:26] + node _T_34 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 92:65] + inst rvclkhdr_5 of rvclkhdr_25 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= _T_34 @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 92:26] + node _T_35 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:63] + inst rvclkhdr_6 of rvclkhdr_26 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= _T_35 @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 93:26] + node _T_36 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:66] + inst rvclkhdr_7 of rvclkhdr_27 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= _T_36 @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 94:26] + node _T_37 = bits(io.lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 95:69] + inst rvclkhdr_8 of rvclkhdr_28 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= _T_37 @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 95:26] + node _T_38 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 96:65] + inst rvclkhdr_9 of rvclkhdr_29 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= _T_38 @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 96:26] + node _T_39 = bits(io.lsu_busm_clken, 0, 0) @[lsu_clkdomain.scala 97:62] + inst rvclkhdr_10 of rvclkhdr_30 @[lib.scala 343:22] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_10.io.en <= _T_39 @[lib.scala 345:16] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 97:26] + node _T_40 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 98:62] + inst rvclkhdr_11 of rvclkhdr_31 @[lib.scala 343:22] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_11.io.en <= _T_40 @[lib.scala 345:16] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 98:26] + + extmodule gated_latch_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_32 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_33 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_34 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_35 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_36 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_36 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_36 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_37 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_37 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_37 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_38 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_38 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_38 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_39 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_39 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_39 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_40 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_40 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_40 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_41 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_41 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_41 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_42 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_42 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_42 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_43 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_43 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_43 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_bus_obuf_c1_clken : UInt<1>, flip lsu_busm_clken : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 70:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 71:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 76:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 77:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 79:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 79:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 79:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 79:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 79:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 79:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 79:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 79:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 79:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 79:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 79:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 79:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 80:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 80:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 80:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 80:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 80:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 80:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 80:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 80:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 80:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 80:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 80:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 80:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 81:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 83:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 85:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 87:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 89:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 91:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 93:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 95:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 97:26] + buf_ldfwd_en[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 99:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 101:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 103:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 108:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 111:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 116:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 118:21] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 121:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 123:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 129:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 133:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 141:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 141:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 141:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 141:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 141:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 141:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 141:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 141:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 141:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 141:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 141:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 141:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 141:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 142:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 142:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 142:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 142:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 142:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 142:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 142:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 142:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 142:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 142:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 142:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 142:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 142:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 144:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 144:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 144:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 144:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 144:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 144:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 144:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 144:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 144:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 144:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 144:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 144:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 144:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 144:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 144:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 144:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 144:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 144:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 144:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 144:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 144:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 144:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 144:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 144:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 144:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 144:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 144:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 144:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 144:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 144:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 144:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 144:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 145:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 145:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 145:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 145:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 145:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 145:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 145:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 145:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 145:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 145:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 145:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 145:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 145:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 145:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 145:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 145:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 145:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 145:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 145:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 145:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 145:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 145:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 145:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 145:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 145:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 145:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 145:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 145:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 145:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 145:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 145:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 145:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 147:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 149:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 149:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 149:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 149:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 149:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 149:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 149:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 149:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 149:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 149:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 149:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 149:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 149:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 149:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 149:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 149:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 149:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 149:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 149:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 149:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 149:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 149:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 149:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 149:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 149:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 149:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 149:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 149:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 149:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 149:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 149:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 149:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 149:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 149:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 149:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 149:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 149:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 149:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 149:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 149:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 149:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 149:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 149:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 149:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 149:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 149:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 149:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 149:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 149:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 150:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 150:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 150:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 150:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 150:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 150:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 150:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 150:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 150:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 150:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 150:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 150:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 150:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 150:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 150:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 150:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 150:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 150:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 150:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 150:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 150:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 150:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 150:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 150:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 150:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 150:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 150:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 150:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 150:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 150:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 150:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 150:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 150:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 150:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 150:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 150:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 150:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 150:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 150:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 150:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 150:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 150:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 150:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 150:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 150:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 150:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 150:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 150:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 150:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 155:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 155:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 155:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 155:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 155:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 155:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 156:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 156:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 156:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 156:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 156:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 156:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 160:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 160:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 160:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 161:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 161:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 161:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 163:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 166:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 166:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 166:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 166:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 167:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 167:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 167:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 167:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 168:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 168:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 168:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 168:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 168:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 168:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 168:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 168:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 168:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 168:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 168:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 169:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 169:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 169:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 169:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 169:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 169:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 169:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 169:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 169:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 169:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 169:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 170:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 170:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 170:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 170:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 170:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 170:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 170:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 170:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 170:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 170:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 170:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 171:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 171:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 171:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 171:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 171:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 171:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 171:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 171:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 171:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 171:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 171:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 172:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 171:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 168:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 174:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 174:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 174:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 174:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 174:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 174:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 174:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 174:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 174:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 174:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 174:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 175:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 175:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 175:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 175:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 175:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 175:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 175:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 175:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 175:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 175:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 175:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 176:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 176:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 176:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 176:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 176:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 176:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 176:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 176:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 176:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 176:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 176:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 177:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 177:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 177:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 177:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 177:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 177:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 177:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 177:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 177:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 177:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 177:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 178:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 177:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 174:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 180:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 185:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 186:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 186:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 187:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 187:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 188:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 188:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 190:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 191:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 191:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 192:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 192:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 193:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 193:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 195:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 196:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 196:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 197:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 197:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 198:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 198:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 200:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 201:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 201:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 201:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 202:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 202:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 203:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 203:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 206:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 206:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 206:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 207:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 208:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 210:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 210:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 210:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 211:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 211:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 213:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 213:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 213:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 214:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 214:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 214:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 214:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 214:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 214:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 214:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 219:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 219:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 219:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 219:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 219:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 220:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 220:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 220:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 220:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 220:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 220:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 219:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 219:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 225:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 225:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 228:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 229:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 229:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 229:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 230:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 230:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 230:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 229:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 234:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 234:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 234:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 234:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 235:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 235:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 235:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 233:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 234:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 234:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 234:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 234:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 235:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 235:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 235:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 233:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 234:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 234:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 234:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 234:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 235:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 235:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 235:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 233:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 234:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 234:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 234:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 234:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 235:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 235:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 235:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 233:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 236:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 236:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 236:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 236:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 236:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 236:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 238:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 238:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 238:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 238:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 238:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 238:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 238:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 238:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 238:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 238:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 238:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 239:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 240:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 240:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 240:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 240:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 240:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 240:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 240:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 240:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 240:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 240:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 240:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 240:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 240:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 240:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 240:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 240:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 240:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 240:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 240:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 240:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 240:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 240:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 240:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 240:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 241:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 241:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 241:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 241:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 241:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 241:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 241:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 241:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 241:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 241:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 241:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 241:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 241:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 241:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 241:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 241:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 241:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 241:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 241:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 241:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 241:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 241:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 241:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 241:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 241:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 241:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 241:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 241:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 243:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 243:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 243:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 243:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 243:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 244:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 249:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 251:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr_32 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 253:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 254:15] + inst rvclkhdr_1 of rvclkhdr_33 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 255:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 256:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 256:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 256:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 260:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 266:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 266:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 266:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 266:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 267:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 267:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 267:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 268:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 268:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 268:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 268:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 268:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 268:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 268:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 267:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 268:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 269:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 269:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 269:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 269:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 269:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 269:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 269:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 270:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 270:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 270:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 270:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 270:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 270:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 270:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 270:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 270:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 272:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 272:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 272:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 272:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 272:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 275:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 277:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 279:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 287:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 287:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 287:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 288:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 288:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 289:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 289:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 289:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 290:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 290:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 290:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 290:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 290:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 289:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 287:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 291:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 291:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 291:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 291:75] + node _T_1237 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:94] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 291:92] + node _T_1239 = and(_T_1238, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 291:118] + obuf_wr_en <= _T_1239 @[lsu_bus_buffer.scala 287:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1240 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 293:47] + node _T_1241 = or(bus_cmd_sent, _T_1240) @[lsu_bus_buffer.scala 293:33] + node _T_1242 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:65] + node _T_1243 = and(_T_1241, _T_1242) @[lsu_bus_buffer.scala 293:63] + node _T_1244 = and(_T_1243, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 293:77] + node obuf_rst = or(_T_1244, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 293:98] + node _T_1245 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1246 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1247 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1248 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1249 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1250 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1252 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1253 = mux(_T_1245, _T_1246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1254 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1255 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = or(_T_1253, _T_1254) @[Mux.scala 27:72] + node _T_1258 = or(_T_1257, _T_1255) @[Mux.scala 27:72] + node _T_1259 = or(_T_1258, _T_1256) @[Mux.scala 27:72] + wire _T_1260 : UInt<1> @[Mux.scala 27:72] + _T_1260 <= _T_1259 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1260) @[lsu_bus_buffer.scala 294:26] + node _T_1261 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1262 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1263 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1264 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1265 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1266 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1268 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1269 = mux(_T_1261, _T_1262, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1270 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1271 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = or(_T_1269, _T_1270) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] + node _T_1275 = or(_T_1274, _T_1272) @[Mux.scala 27:72] + wire _T_1276 : UInt<1> @[Mux.scala 27:72] + _T_1276 <= _T_1275 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1276) @[lsu_bus_buffer.scala 295:31] + node _T_1277 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1279 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1280 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1281 = mux(_T_1277, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1282 = mux(_T_1278, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1283 = mux(_T_1279, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = or(_T_1281, _T_1282) @[Mux.scala 27:72] + node _T_1286 = or(_T_1285, _T_1283) @[Mux.scala 27:72] + node _T_1287 = or(_T_1286, _T_1284) @[Mux.scala 27:72] + wire _T_1288 : UInt<32> @[Mux.scala 27:72] + _T_1288 <= _T_1287 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1288) @[lsu_bus_buffer.scala 296:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 297:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + node _T_1289 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1290 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1291 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1292 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1293 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1294 = mux(_T_1290, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1295 = mux(_T_1291, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1296 = mux(_T_1292, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = or(_T_1294, _T_1295) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1296) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1297) @[Mux.scala 27:72] + wire _T_1301 : UInt<2> @[Mux.scala 27:72] + _T_1301 <= _T_1300 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1289, _T_1301) @[lsu_bus_buffer.scala 299:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 302:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 304:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1302 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:39] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:26] + node _T_1304 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 307:68] + node obuf_cmd_done_in = and(_T_1303, _T_1304) @[lsu_bus_buffer.scala 307:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1305 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 310:40] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[lsu_bus_buffer.scala 310:27] + node _T_1307 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 310:70] + node obuf_data_done_in = and(_T_1306, _T_1307) @[lsu_bus_buffer.scala 310:52] + node _T_1308 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 311:67] + node _T_1309 = eq(_T_1308, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:72] + node _T_1310 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 311:92] + node _T_1311 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 311:111] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:98] + node _T_1313 = and(_T_1310, _T_1312) @[lsu_bus_buffer.scala 311:96] + node _T_1314 = or(_T_1309, _T_1313) @[lsu_bus_buffer.scala 311:79] + node _T_1315 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 311:129] + node _T_1316 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 311:147] + node _T_1317 = orr(_T_1316) @[lsu_bus_buffer.scala 311:153] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:134] + node _T_1319 = and(_T_1315, _T_1318) @[lsu_bus_buffer.scala 311:132] + node _T_1320 = or(_T_1314, _T_1319) @[lsu_bus_buffer.scala 311:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1320) @[lsu_bus_buffer.scala 311:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1321 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:45] + node _T_1322 = and(obuf_wr_en, _T_1321) @[lsu_bus_buffer.scala 319:43] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:30] + node _T_1324 = and(_T_1323, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 319:62] + node _T_1325 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:117] + node _T_1326 = and(bus_rsp_read, _T_1325) @[lsu_bus_buffer.scala 319:97] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:82] + node _T_1328 = and(_T_1324, _T_1327) @[lsu_bus_buffer.scala 319:80] + node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 320:21] + node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 320:19] + node _T_1331 = or(_T_1328, _T_1330) @[lsu_bus_buffer.scala 319:139] + node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 320:37] + node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 320:35] + node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 321:47] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:46] + node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 323:44] + node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 326:34] + node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 326:52] + node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 326:40] + node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 326:60] + node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:80] + node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 326:78] + node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:99] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 326:97] + node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:113] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 326:111] + node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:130] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 326:128] + node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:20] + node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 327:18] + node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 327:90] + node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 327:70] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:55] + node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 327:53] + node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 327:34] + node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 326:177] + obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 326:18] + node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:60] + node _T_1356 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1357 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 328:46] + node _T_1359 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1360 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1361 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1362 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1363 = mux(_T_1359, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1364 = mux(_T_1360, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1365 = mux(_T_1361, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = or(_T_1363, _T_1364) @[Mux.scala 27:72] + node _T_1368 = or(_T_1367, _T_1365) @[Mux.scala 27:72] + node _T_1369 = or(_T_1368, _T_1366) @[Mux.scala 27:72] + wire _T_1370 : UInt<32> @[Mux.scala 27:72] + _T_1370 <= _T_1369 @[Mux.scala 27:72] + node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 329:36] + node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 329:46] + node _T_1373 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1374 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1375 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1376 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1377 = mux(_T_1373, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1378 = mux(_T_1374, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1379 = mux(_T_1375, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = or(_T_1377, _T_1378) @[Mux.scala 27:72] + node _T_1382 = or(_T_1381, _T_1379) @[Mux.scala 27:72] + node _T_1383 = or(_T_1382, _T_1380) @[Mux.scala 27:72] + wire _T_1384 : UInt<4> @[Mux.scala 27:72] + _T_1384 <= _T_1383 @[Mux.scala 27:72] + node _T_1385 = cat(_T_1384, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1386 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1387 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1388 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1389 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1390 = mux(_T_1386, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1391 = mux(_T_1387, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1392 = mux(_T_1388, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = or(_T_1390, _T_1391) @[Mux.scala 27:72] + node _T_1395 = or(_T_1394, _T_1392) @[Mux.scala 27:72] + node _T_1396 = or(_T_1395, _T_1393) @[Mux.scala 27:72] + wire _T_1397 : UInt<4> @[Mux.scala 27:72] + _T_1397 <= _T_1396 @[Mux.scala 27:72] + node _T_1398 = cat(UInt<4>("h00"), _T_1397) @[Cat.scala 29:58] + node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 329:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 328:28] + node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:60] + node _T_1401 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 330:46] + node _T_1404 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1405 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1406 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1407 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1408 = mux(_T_1404, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = mux(_T_1405, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1410 = mux(_T_1406, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = or(_T_1408, _T_1409) @[Mux.scala 27:72] + node _T_1413 = or(_T_1412, _T_1410) @[Mux.scala 27:72] + node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] + wire _T_1415 : UInt<32> @[Mux.scala 27:72] + _T_1415 <= _T_1414 @[Mux.scala 27:72] + node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 331:36] + node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1418 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1419 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1420 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1421 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1422 = mux(_T_1418, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1423 = mux(_T_1419, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = or(_T_1422, _T_1423) @[Mux.scala 27:72] + node _T_1427 = or(_T_1426, _T_1424) @[Mux.scala 27:72] + node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] + wire _T_1429 : UInt<4> @[Mux.scala 27:72] + _T_1429 <= _T_1428 @[Mux.scala 27:72] + node _T_1430 = cat(_T_1429, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1431 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1432 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1433 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1434 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1435 = mux(_T_1431, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1436 = mux(_T_1432, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1437 = mux(_T_1433, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = or(_T_1435, _T_1436) @[Mux.scala 27:72] + node _T_1440 = or(_T_1439, _T_1437) @[Mux.scala 27:72] + node _T_1441 = or(_T_1440, _T_1438) @[Mux.scala 27:72] + wire _T_1442 : UInt<4> @[Mux.scala 27:72] + _T_1442 <= _T_1441 @[Mux.scala 27:72] + node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] + node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 331:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 330:28] + node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 333:58] + node _T_1446 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1447 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 333:44] + node _T_1449 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1450 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1451 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1452 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1453 = mux(_T_1449, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = mux(_T_1450, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1455 = mux(_T_1451, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = or(_T_1453, _T_1454) @[Mux.scala 27:72] + node _T_1458 = or(_T_1457, _T_1455) @[Mux.scala 27:72] + node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] + wire _T_1460 : UInt<32> @[Mux.scala 27:72] + _T_1460 <= _T_1459 @[Mux.scala 27:72] + node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 334:36] + node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 334:46] + node _T_1463 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1464 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1465 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1466 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1467 = mux(_T_1463, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1468 = mux(_T_1464, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1465, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = or(_T_1467, _T_1468) @[Mux.scala 27:72] + node _T_1472 = or(_T_1471, _T_1469) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] + wire _T_1474 : UInt<32> @[Mux.scala 27:72] + _T_1474 <= _T_1473 @[Mux.scala 27:72] + node _T_1475 = cat(_T_1474, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1476 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1477 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1478 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1479 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1480 = mux(_T_1476, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1477, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1478, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = or(_T_1480, _T_1481) @[Mux.scala 27:72] + node _T_1485 = or(_T_1484, _T_1482) @[Mux.scala 27:72] + node _T_1486 = or(_T_1485, _T_1483) @[Mux.scala 27:72] + wire _T_1487 : UInt<32> @[Mux.scala 27:72] + _T_1487 <= _T_1486 @[Mux.scala 27:72] + node _T_1488 = cat(UInt<32>("h00"), _T_1487) @[Cat.scala 29:58] + node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 334:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 333:26] + node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 335:58] + node _T_1491 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1492 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 335:44] + node _T_1494 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1495 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1496 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1497 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1498 = mux(_T_1494, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1495, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1496, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = or(_T_1498, _T_1499) @[Mux.scala 27:72] + node _T_1503 = or(_T_1502, _T_1500) @[Mux.scala 27:72] + node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] + wire _T_1505 : UInt<32> @[Mux.scala 27:72] + _T_1505 <= _T_1504 @[Mux.scala 27:72] + node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 336:36] + node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 336:46] + node _T_1508 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1509 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1510 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1511 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1512 = mux(_T_1508, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1509, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1510, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = or(_T_1512, _T_1513) @[Mux.scala 27:72] + node _T_1517 = or(_T_1516, _T_1514) @[Mux.scala 27:72] + node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] + wire _T_1519 : UInt<32> @[Mux.scala 27:72] + _T_1519 <= _T_1518 @[Mux.scala 27:72] + node _T_1520 = cat(_T_1519, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1521 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1522 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1523 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1524 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1525 = mux(_T_1521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1522, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = or(_T_1525, _T_1526) @[Mux.scala 27:72] + node _T_1530 = or(_T_1529, _T_1527) @[Mux.scala 27:72] + node _T_1531 = or(_T_1530, _T_1528) @[Mux.scala 27:72] + wire _T_1532 : UInt<32> @[Mux.scala 27:72] + _T_1532 <= _T_1531 @[Mux.scala 27:72] + node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] + node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 336:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 335:26] + node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 337:59] + node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 337:97] + node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 337:80] + node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 337:63] + node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 337:59] + node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 337:97] + node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 337:80] + node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 337:63] + node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 337:59] + node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 337:97] + node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 337:80] + node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 337:63] + node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 337:59] + node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 337:97] + node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 337:80] + node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 337:63] + node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 337:59] + node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 337:97] + node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 337:80] + node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 337:63] + node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 337:59] + node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 337:97] + node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 337:80] + node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 337:63] + node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 337:59] + node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 337:97] + node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 337:80] + node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 337:63] + node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 337:59] + node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 337:97] + node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 337:80] + node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 337:63] + node _T_1567 = cat(_T_1566, _T_1562) @[Cat.scala 29:58] + node _T_1568 = cat(_T_1567, _T_1558) @[Cat.scala 29:58] + node _T_1569 = cat(_T_1568, _T_1554) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1550) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1546) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1542) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1572, _T_1538) @[Cat.scala 29:58] + node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 338:76] + node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 338:59] + node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 338:94] + node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 338:123] + node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 338:44] + node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 338:76] + node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 338:59] + node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 338:94] + node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 338:123] + node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 338:44] + node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 338:76] + node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 338:59] + node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 338:94] + node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 338:123] + node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 338:44] + node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 338:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 338:59] + node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 338:94] + node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 338:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 338:44] + node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 338:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 338:59] + node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 338:94] + node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 338:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 338:44] + node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 338:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 338:59] + node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 338:94] + node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 338:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 338:44] + node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 338:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 338:59] + node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 338:94] + node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 338:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 338:44] + node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 338:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 338:59] + node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 338:94] + node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 338:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 338:44] + node _T_1613 = cat(_T_1612, _T_1607) @[Cat.scala 29:58] + node _T_1614 = cat(_T_1613, _T_1602) @[Cat.scala 29:58] + node _T_1615 = cat(_T_1614, _T_1597) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1592) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1582) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1618, _T_1577) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 340:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 342:30] + node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 342:43] + node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 342:59] + node _T_1622 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1623 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1624 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1625 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1626 = mux(_T_1622, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1627 = mux(_T_1623, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1628 = mux(_T_1624, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = or(_T_1626, _T_1627) @[Mux.scala 27:72] + node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] + node _T_1632 = or(_T_1631, _T_1629) @[Mux.scala 27:72] + wire _T_1633 : UInt<3> @[Mux.scala 27:72] + _T_1633 <= _T_1632 @[Mux.scala 27:72] + node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 342:107] + node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 342:75] + node _T_1636 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1637 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1638 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1639 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1640 = mux(_T_1636, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1641 = mux(_T_1637, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1642 = mux(_T_1638, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = or(_T_1640, _T_1641) @[Mux.scala 27:72] + node _T_1645 = or(_T_1644, _T_1642) @[Mux.scala 27:72] + node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] + wire _T_1647 : UInt<3> @[Mux.scala 27:72] + _T_1647 <= _T_1646 @[Mux.scala 27:72] + node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 342:150] + node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 342:118] + node _T_1650 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1651 = cat(_T_1650, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1652 = cat(_T_1651, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1653 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1654 = bits(_T_1652, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1655 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1656 = bits(_T_1652, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1657 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1658 = bits(_T_1652, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1660 = bits(_T_1652, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1661 = mux(_T_1653, _T_1654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1662 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1663 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = or(_T_1661, _T_1662) @[Mux.scala 27:72] + node _T_1666 = or(_T_1665, _T_1663) @[Mux.scala 27:72] + node _T_1667 = or(_T_1666, _T_1664) @[Mux.scala 27:72] + wire _T_1668 : UInt<1> @[Mux.scala 27:72] + _T_1668 <= _T_1667 @[Mux.scala 27:72] + node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 343:5] + node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 342:161] + node _T_1671 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1672 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1673 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1674 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1675 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1676 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1678 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1679 = mux(_T_1671, _T_1672, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1680 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1681 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = or(_T_1679, _T_1680) @[Mux.scala 27:72] + node _T_1684 = or(_T_1683, _T_1681) @[Mux.scala 27:72] + node _T_1685 = or(_T_1684, _T_1682) @[Mux.scala 27:72] + wire _T_1686 : UInt<1> @[Mux.scala 27:72] + _T_1686 <= _T_1685 @[Mux.scala 27:72] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 343:87] + node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 343:85] + node _T_1689 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1690 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1691 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1692 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1693 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1694 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1696 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1697 = mux(_T_1689, _T_1690, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1698 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1699 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = or(_T_1697, _T_1698) @[Mux.scala 27:72] + node _T_1702 = or(_T_1701, _T_1699) @[Mux.scala 27:72] + node _T_1703 = or(_T_1702, _T_1700) @[Mux.scala 27:72] + wire _T_1704 : UInt<1> @[Mux.scala 27:72] + _T_1704 <= _T_1703 @[Mux.scala 27:72] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:6] + node _T_1706 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1707 = cat(_T_1706, buf_dual[1]) @[Cat.scala 29:58] + node _T_1708 = cat(_T_1707, buf_dual[0]) @[Cat.scala 29:58] + node _T_1709 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1710 = bits(_T_1708, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1711 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1712 = bits(_T_1708, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1713 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1714 = bits(_T_1708, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1715 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1716 = bits(_T_1708, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1717 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1720 = mux(_T_1715, _T_1716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1721 = or(_T_1717, _T_1718) @[Mux.scala 27:72] + node _T_1722 = or(_T_1721, _T_1719) @[Mux.scala 27:72] + node _T_1723 = or(_T_1722, _T_1720) @[Mux.scala 27:72] + wire _T_1724 : UInt<1> @[Mux.scala 27:72] + _T_1724 <= _T_1723 @[Mux.scala 27:72] + node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 344:36] + node _T_1726 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1727 = cat(_T_1726, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1728 = cat(_T_1727, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1729 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1730 = bits(_T_1728, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1731 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1732 = bits(_T_1728, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1733 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1734 = bits(_T_1728, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1735 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1736 = bits(_T_1728, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1737 = mux(_T_1729, _T_1730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1738 = mux(_T_1731, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1739 = mux(_T_1733, _T_1734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1740 = mux(_T_1735, _T_1736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1741 = or(_T_1737, _T_1738) @[Mux.scala 27:72] + node _T_1742 = or(_T_1741, _T_1739) @[Mux.scala 27:72] + node _T_1743 = or(_T_1742, _T_1740) @[Mux.scala 27:72] + wire _T_1744 : UInt<1> @[Mux.scala 27:72] + _T_1744 <= _T_1743 @[Mux.scala 27:72] + node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:107] + node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 344:105] + node _T_1747 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1748 = cat(_T_1747, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1749 = cat(_T_1748, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1750 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1751 = bits(_T_1749, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1752 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1753 = bits(_T_1749, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1754 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1755 = bits(_T_1749, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1756 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1757 = bits(_T_1749, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1758 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1759 = mux(_T_1752, _T_1753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1754, _T_1755, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = or(_T_1758, _T_1759) @[Mux.scala 27:72] + node _T_1763 = or(_T_1762, _T_1760) @[Mux.scala 27:72] + node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] + wire _T_1765 : UInt<1> @[Mux.scala 27:72] + _T_1765 <= _T_1764 @[Mux.scala 27:72] + node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 344:177] + node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 343:122] + node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 345:19] + node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 345:35] + node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 344:250] + obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 342:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 347:55] + node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 348:58] + node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:93] + node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 348:91] + reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 348:54] + obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 348:14] + reg _T_1775 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1775 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 349:15] + reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:54] + _T_1776 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 350:54] + obuf_cmd_done <= _T_1776 @[lsu_bus_buffer.scala 350:17] + reg _T_1777 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] + _T_1777 <= obuf_data_done_in @[lsu_bus_buffer.scala 351:55] + obuf_data_done <= _T_1777 @[lsu_bus_buffer.scala 351:18] + reg _T_1778 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 352:56] + _T_1778 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 352:56] + obuf_rdrsp_pend <= _T_1778 @[lsu_bus_buffer.scala 352:19] + reg _T_1779 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 353:55] + _T_1779 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 353:55] + obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 353:18] + reg _T_1780 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1780 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1780 @[lsu_bus_buffer.scala 354:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1781 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1781 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1781 @[lsu_bus_buffer.scala 357:14] + reg _T_1782 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1782 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1782 @[lsu_bus_buffer.scala 358:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_34 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1783 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1783 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1783 @[lsu_bus_buffer.scala 360:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_35 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1784 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 363:54] + _T_1784 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 363:54] + obuf_wr_timer <= _T_1784 @[lsu_bus_buffer.scala 363:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1785 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1786 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:30] + node _T_1787 = and(ibuf_valid, _T_1786) @[lsu_bus_buffer.scala 367:19] + node _T_1788 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:18] + node _T_1789 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:57] + node _T_1790 = and(io.ldst_dual_r, _T_1789) @[lsu_bus_buffer.scala 368:45] + node _T_1791 = or(_T_1788, _T_1790) @[lsu_bus_buffer.scala 368:27] + node _T_1792 = and(io.lsu_busreq_r, _T_1791) @[lsu_bus_buffer.scala 367:58] + node _T_1793 = or(_T_1787, _T_1792) @[lsu_bus_buffer.scala 367:39] + node _T_1794 = eq(_T_1793, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1795 = and(_T_1785, _T_1794) @[lsu_bus_buffer.scala 366:76] + node _T_1796 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1797 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 367:30] + node _T_1798 = and(ibuf_valid, _T_1797) @[lsu_bus_buffer.scala 367:19] + node _T_1799 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:18] + node _T_1800 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:57] + node _T_1801 = and(io.ldst_dual_r, _T_1800) @[lsu_bus_buffer.scala 368:45] + node _T_1802 = or(_T_1799, _T_1801) @[lsu_bus_buffer.scala 368:27] + node _T_1803 = and(io.lsu_busreq_r, _T_1802) @[lsu_bus_buffer.scala 367:58] + node _T_1804 = or(_T_1798, _T_1803) @[lsu_bus_buffer.scala 367:39] + node _T_1805 = eq(_T_1804, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1806 = and(_T_1796, _T_1805) @[lsu_bus_buffer.scala 366:76] + node _T_1807 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1808 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 367:30] + node _T_1809 = and(ibuf_valid, _T_1808) @[lsu_bus_buffer.scala 367:19] + node _T_1810 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:18] + node _T_1811 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:57] + node _T_1812 = and(io.ldst_dual_r, _T_1811) @[lsu_bus_buffer.scala 368:45] + node _T_1813 = or(_T_1810, _T_1812) @[lsu_bus_buffer.scala 368:27] + node _T_1814 = and(io.lsu_busreq_r, _T_1813) @[lsu_bus_buffer.scala 367:58] + node _T_1815 = or(_T_1809, _T_1814) @[lsu_bus_buffer.scala 367:39] + node _T_1816 = eq(_T_1815, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1817 = and(_T_1807, _T_1816) @[lsu_bus_buffer.scala 366:76] + node _T_1818 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1819 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 367:30] + node _T_1820 = and(ibuf_valid, _T_1819) @[lsu_bus_buffer.scala 367:19] + node _T_1821 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:18] + node _T_1822 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:57] + node _T_1823 = and(io.ldst_dual_r, _T_1822) @[lsu_bus_buffer.scala 368:45] + node _T_1824 = or(_T_1821, _T_1823) @[lsu_bus_buffer.scala 368:27] + node _T_1825 = and(io.lsu_busreq_r, _T_1824) @[lsu_bus_buffer.scala 367:58] + node _T_1826 = or(_T_1820, _T_1825) @[lsu_bus_buffer.scala 367:39] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1828 = and(_T_1818, _T_1827) @[lsu_bus_buffer.scala 366:76] + node _T_1829 = mux(_T_1828, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1830 = mux(_T_1817, UInt<2>("h02"), _T_1829) @[Mux.scala 98:16] + node _T_1831 = mux(_T_1806, UInt<1>("h01"), _T_1830) @[Mux.scala 98:16] + node _T_1832 = mux(_T_1795, UInt<1>("h00"), _T_1831) @[Mux.scala 98:16] + WrPtr0_m <= _T_1832 @[lsu_bus_buffer.scala 366:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1834 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:103] + node _T_1835 = and(ibuf_valid, _T_1834) @[lsu_bus_buffer.scala 372:92] + node _T_1836 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:33] + node _T_1837 = and(io.lsu_busreq_m, _T_1836) @[lsu_bus_buffer.scala 373:22] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 372:112] + node _T_1839 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:36] + node _T_1840 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:34] + node _T_1841 = and(io.ldst_dual_r, _T_1840) @[lsu_bus_buffer.scala 375:23] + node _T_1842 = or(_T_1839, _T_1841) @[lsu_bus_buffer.scala 374:46] + node _T_1843 = and(io.lsu_busreq_r, _T_1842) @[lsu_bus_buffer.scala 374:22] + node _T_1844 = or(_T_1838, _T_1843) @[lsu_bus_buffer.scala 373:42] + node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1846 = and(_T_1833, _T_1845) @[lsu_bus_buffer.scala 372:76] + node _T_1847 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1848 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:103] + node _T_1849 = and(ibuf_valid, _T_1848) @[lsu_bus_buffer.scala 372:92] + node _T_1850 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:33] + node _T_1851 = and(io.lsu_busreq_m, _T_1850) @[lsu_bus_buffer.scala 373:22] + node _T_1852 = or(_T_1849, _T_1851) @[lsu_bus_buffer.scala 372:112] + node _T_1853 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:36] + node _T_1854 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:34] + node _T_1855 = and(io.ldst_dual_r, _T_1854) @[lsu_bus_buffer.scala 375:23] + node _T_1856 = or(_T_1853, _T_1855) @[lsu_bus_buffer.scala 374:46] + node _T_1857 = and(io.lsu_busreq_r, _T_1856) @[lsu_bus_buffer.scala 374:22] + node _T_1858 = or(_T_1852, _T_1857) @[lsu_bus_buffer.scala 373:42] + node _T_1859 = eq(_T_1858, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1860 = and(_T_1847, _T_1859) @[lsu_bus_buffer.scala 372:76] + node _T_1861 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1862 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:103] + node _T_1863 = and(ibuf_valid, _T_1862) @[lsu_bus_buffer.scala 372:92] + node _T_1864 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:33] + node _T_1865 = and(io.lsu_busreq_m, _T_1864) @[lsu_bus_buffer.scala 373:22] + node _T_1866 = or(_T_1863, _T_1865) @[lsu_bus_buffer.scala 372:112] + node _T_1867 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:36] + node _T_1868 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:34] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 375:23] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 374:46] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 374:22] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 373:42] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1874 = and(_T_1861, _T_1873) @[lsu_bus_buffer.scala 372:76] + node _T_1875 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:103] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 372:92] + node _T_1878 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:33] + node _T_1879 = and(io.lsu_busreq_m, _T_1878) @[lsu_bus_buffer.scala 373:22] + node _T_1880 = or(_T_1877, _T_1879) @[lsu_bus_buffer.scala 372:112] + node _T_1881 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:36] + node _T_1882 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:34] + node _T_1883 = and(io.ldst_dual_r, _T_1882) @[lsu_bus_buffer.scala 375:23] + node _T_1884 = or(_T_1881, _T_1883) @[lsu_bus_buffer.scala 374:46] + node _T_1885 = and(io.lsu_busreq_r, _T_1884) @[lsu_bus_buffer.scala 374:22] + node _T_1886 = or(_T_1880, _T_1885) @[lsu_bus_buffer.scala 373:42] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1888 = and(_T_1875, _T_1887) @[lsu_bus_buffer.scala 372:76] + node _T_1889 = mux(_T_1888, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1890 = mux(_T_1874, UInt<2>("h02"), _T_1889) @[Mux.scala 98:16] + node _T_1891 = mux(_T_1860, UInt<1>("h01"), _T_1890) @[Mux.scala 98:16] + node _T_1892 = mux(_T_1846, UInt<1>("h00"), _T_1891) @[Mux.scala 98:16] + WrPtr1_m <= _T_1892 @[lsu_bus_buffer.scala 372:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 377:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + node _T_1893 = orr(buf_age[0]) @[lsu_bus_buffer.scala 380:58] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1895 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1896 = and(_T_1894, _T_1895) @[lsu_bus_buffer.scala 380:63] + node _T_1897 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1898 = and(_T_1896, _T_1897) @[lsu_bus_buffer.scala 380:88] + node _T_1899 = orr(buf_age[1]) @[lsu_bus_buffer.scala 380:58] + node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1901 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1902 = and(_T_1900, _T_1901) @[lsu_bus_buffer.scala 380:63] + node _T_1903 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1904 = and(_T_1902, _T_1903) @[lsu_bus_buffer.scala 380:88] + node _T_1905 = orr(buf_age[2]) @[lsu_bus_buffer.scala 380:58] + node _T_1906 = eq(_T_1905, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1907 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1908 = and(_T_1906, _T_1907) @[lsu_bus_buffer.scala 380:63] + node _T_1909 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1910 = and(_T_1908, _T_1909) @[lsu_bus_buffer.scala 380:88] + node _T_1911 = orr(buf_age[3]) @[lsu_bus_buffer.scala 380:58] + node _T_1912 = eq(_T_1911, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1913 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1914 = and(_T_1912, _T_1913) @[lsu_bus_buffer.scala 380:63] + node _T_1915 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1916 = and(_T_1914, _T_1915) @[lsu_bus_buffer.scala 380:88] + node _T_1917 = cat(_T_1916, _T_1910) @[Cat.scala 29:58] + node _T_1918 = cat(_T_1917, _T_1904) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1918, _T_1898) @[Cat.scala 29:58] + node _T_1919 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1920 = and(buf_age[0], _T_1919) @[lsu_bus_buffer.scala 381:59] + node _T_1921 = orr(_T_1920) @[lsu_bus_buffer.scala 381:76] + node _T_1922 = eq(_T_1921, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1923 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 381:94] + node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1925 = and(_T_1922, _T_1924) @[lsu_bus_buffer.scala 381:81] + node _T_1926 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1927 = and(_T_1925, _T_1926) @[lsu_bus_buffer.scala 381:98] + node _T_1928 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1929 = and(_T_1927, _T_1928) @[lsu_bus_buffer.scala 381:123] + node _T_1930 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1931 = and(buf_age[1], _T_1930) @[lsu_bus_buffer.scala 381:59] + node _T_1932 = orr(_T_1931) @[lsu_bus_buffer.scala 381:76] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1934 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 381:94] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1936 = and(_T_1933, _T_1935) @[lsu_bus_buffer.scala 381:81] + node _T_1937 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1938 = and(_T_1936, _T_1937) @[lsu_bus_buffer.scala 381:98] + node _T_1939 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1940 = and(_T_1938, _T_1939) @[lsu_bus_buffer.scala 381:123] + node _T_1941 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1942 = and(buf_age[2], _T_1941) @[lsu_bus_buffer.scala 381:59] + node _T_1943 = orr(_T_1942) @[lsu_bus_buffer.scala 381:76] + node _T_1944 = eq(_T_1943, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1945 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 381:94] + node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1947 = and(_T_1944, _T_1946) @[lsu_bus_buffer.scala 381:81] + node _T_1948 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1949 = and(_T_1947, _T_1948) @[lsu_bus_buffer.scala 381:98] + node _T_1950 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1951 = and(_T_1949, _T_1950) @[lsu_bus_buffer.scala 381:123] + node _T_1952 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1953 = and(buf_age[3], _T_1952) @[lsu_bus_buffer.scala 381:59] + node _T_1954 = orr(_T_1953) @[lsu_bus_buffer.scala 381:76] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1956 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 381:94] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1958 = and(_T_1955, _T_1957) @[lsu_bus_buffer.scala 381:81] + node _T_1959 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1960 = and(_T_1958, _T_1959) @[lsu_bus_buffer.scala 381:98] + node _T_1961 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1962 = and(_T_1960, _T_1961) @[lsu_bus_buffer.scala 381:123] + node _T_1963 = cat(_T_1962, _T_1951) @[Cat.scala 29:58] + node _T_1964 = cat(_T_1963, _T_1940) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_1964, _T_1929) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 382:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + node _T_1965 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 384:65] + node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1967 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1968 = and(_T_1966, _T_1967) @[lsu_bus_buffer.scala 384:70] + node _T_1969 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 384:65] + node _T_1970 = eq(_T_1969, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1971 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 384:70] + node _T_1973 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 384:65] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1975 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 384:70] + node _T_1977 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 384:65] + node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1979 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1980 = and(_T_1978, _T_1979) @[lsu_bus_buffer.scala 384:70] + node _T_1981 = cat(_T_1980, _T_1976) @[Cat.scala 29:58] + node _T_1982 = cat(_T_1981, _T_1972) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_1982, _T_1968) @[Cat.scala 29:58] + node _T_1983 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 385:31] + found_cmdptr0 <= _T_1983 @[lsu_bus_buffer.scala 385:17] + node _T_1984 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 386:31] + found_cmdptr1 <= _T_1984 @[lsu_bus_buffer.scala 386:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_1985 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1986 = cat(_T_1985, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_1987 = bits(_T_1986, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_1988 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_1989 = or(_T_1987, _T_1988) @[lsu_bus_buffer.scala 388:42] + node _T_1990 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_1991 = or(_T_1989, _T_1990) @[lsu_bus_buffer.scala 388:48] + node _T_1992 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_1993 = or(_T_1991, _T_1992) @[lsu_bus_buffer.scala 388:54] + node _T_1994 = bits(_T_1986, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_1995 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 388:67] + node _T_1997 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 388:73] + node _T_1999 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 388:79] + node _T_2001 = bits(_T_1986, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2002 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 388:92] + node _T_2004 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 388:98] + node _T_2006 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 388:104] + node _T_2008 = cat(_T_1993, _T_2000) @[Cat.scala 29:58] + node _T_2009 = cat(_T_2008, _T_2007) @[Cat.scala 29:58] + CmdPtr0 <= _T_2009 @[lsu_bus_buffer.scala 393:11] + node _T_2010 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2011 = cat(_T_2010, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2012 = bits(_T_2011, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2013 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 388:42] + node _T_2015 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2016 = or(_T_2014, _T_2015) @[lsu_bus_buffer.scala 388:48] + node _T_2017 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2018 = or(_T_2016, _T_2017) @[lsu_bus_buffer.scala 388:54] + node _T_2019 = bits(_T_2011, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2020 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 388:67] + node _T_2022 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 388:73] + node _T_2024 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 388:79] + node _T_2026 = bits(_T_2011, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2027 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 388:92] + node _T_2029 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 388:98] + node _T_2031 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 388:104] + node _T_2033 = cat(_T_2018, _T_2025) @[Cat.scala 29:58] + node _T_2034 = cat(_T_2033, _T_2032) @[Cat.scala 29:58] + CmdPtr1 <= _T_2034 @[lsu_bus_buffer.scala 395:11] + node _T_2035 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2036 = cat(_T_2035, RspPtrDec) @[Cat.scala 29:58] + node _T_2037 = bits(_T_2036, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2038 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 388:42] + node _T_2040 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2041 = or(_T_2039, _T_2040) @[lsu_bus_buffer.scala 388:48] + node _T_2042 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2043 = or(_T_2041, _T_2042) @[lsu_bus_buffer.scala 388:54] + node _T_2044 = bits(_T_2036, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2045 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 388:67] + node _T_2047 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 388:73] + node _T_2049 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 388:79] + node _T_2051 = bits(_T_2036, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2052 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 388:92] + node _T_2054 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 388:98] + node _T_2056 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 388:104] + node _T_2058 = cat(_T_2043, _T_2050) @[Cat.scala 29:58] + node _T_2059 = cat(_T_2058, _T_2057) @[Cat.scala 29:58] + RspPtr <= _T_2059 @[lsu_bus_buffer.scala 396:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 397:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 399:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 401:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 403:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 405:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + node _T_2060 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2061 = and(_T_2060, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2062 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2063 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2064 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2065 = and(_T_2063, _T_2064) @[lsu_bus_buffer.scala 409:57] + node _T_2066 = or(_T_2062, _T_2065) @[lsu_bus_buffer.scala 409:31] + node _T_2067 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2068 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2069 = and(_T_2067, _T_2068) @[lsu_bus_buffer.scala 410:41] + node _T_2070 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2071 = and(_T_2069, _T_2070) @[lsu_bus_buffer.scala 410:71] + node _T_2072 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2073 = and(_T_2071, _T_2072) @[lsu_bus_buffer.scala 410:92] + node _T_2074 = or(_T_2066, _T_2073) @[lsu_bus_buffer.scala 409:86] + node _T_2075 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2076 = and(_T_2075, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2077 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 411:52] + node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 411:73] + node _T_2081 = or(_T_2074, _T_2080) @[lsu_bus_buffer.scala 410:114] + node _T_2082 = and(_T_2061, _T_2081) @[lsu_bus_buffer.scala 408:113] + node _T_2083 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 411:97] + node _T_2085 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2086 = and(_T_2085, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2087 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2088 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2089 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2090 = and(_T_2088, _T_2089) @[lsu_bus_buffer.scala 409:57] + node _T_2091 = or(_T_2087, _T_2090) @[lsu_bus_buffer.scala 409:31] + node _T_2092 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2093 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2094 = and(_T_2092, _T_2093) @[lsu_bus_buffer.scala 410:41] + node _T_2095 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2096 = and(_T_2094, _T_2095) @[lsu_bus_buffer.scala 410:71] + node _T_2097 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2098 = and(_T_2096, _T_2097) @[lsu_bus_buffer.scala 410:92] + node _T_2099 = or(_T_2091, _T_2098) @[lsu_bus_buffer.scala 409:86] + node _T_2100 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2101 = and(_T_2100, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2102 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 411:52] + node _T_2104 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 411:73] + node _T_2106 = or(_T_2099, _T_2105) @[lsu_bus_buffer.scala 410:114] + node _T_2107 = and(_T_2086, _T_2106) @[lsu_bus_buffer.scala 408:113] + node _T_2108 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 411:97] + node _T_2110 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2111 = and(_T_2110, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2112 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2113 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2114 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2115 = and(_T_2113, _T_2114) @[lsu_bus_buffer.scala 409:57] + node _T_2116 = or(_T_2112, _T_2115) @[lsu_bus_buffer.scala 409:31] + node _T_2117 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2118 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2119 = and(_T_2117, _T_2118) @[lsu_bus_buffer.scala 410:41] + node _T_2120 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2121 = and(_T_2119, _T_2120) @[lsu_bus_buffer.scala 410:71] + node _T_2122 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2123 = and(_T_2121, _T_2122) @[lsu_bus_buffer.scala 410:92] + node _T_2124 = or(_T_2116, _T_2123) @[lsu_bus_buffer.scala 409:86] + node _T_2125 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2126 = and(_T_2125, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2127 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 411:52] + node _T_2129 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 411:73] + node _T_2131 = or(_T_2124, _T_2130) @[lsu_bus_buffer.scala 410:114] + node _T_2132 = and(_T_2111, _T_2131) @[lsu_bus_buffer.scala 408:113] + node _T_2133 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2134 = or(_T_2132, _T_2133) @[lsu_bus_buffer.scala 411:97] + node _T_2135 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2136 = and(_T_2135, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2137 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2138 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2139 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2140 = and(_T_2138, _T_2139) @[lsu_bus_buffer.scala 409:57] + node _T_2141 = or(_T_2137, _T_2140) @[lsu_bus_buffer.scala 409:31] + node _T_2142 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2143 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2144 = and(_T_2142, _T_2143) @[lsu_bus_buffer.scala 410:41] + node _T_2145 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 410:71] + node _T_2147 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 410:92] + node _T_2149 = or(_T_2141, _T_2148) @[lsu_bus_buffer.scala 409:86] + node _T_2150 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2151 = and(_T_2150, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2152 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 411:52] + node _T_2154 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 411:73] + node _T_2156 = or(_T_2149, _T_2155) @[lsu_bus_buffer.scala 410:114] + node _T_2157 = and(_T_2136, _T_2156) @[lsu_bus_buffer.scala 408:113] + node _T_2158 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2159 = or(_T_2157, _T_2158) @[lsu_bus_buffer.scala 411:97] + node _T_2160 = cat(_T_2159, _T_2134) @[Cat.scala 29:58] + node _T_2161 = cat(_T_2160, _T_2109) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2161, _T_2084) @[Cat.scala 29:58] + node _T_2162 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2163 = and(_T_2162, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2164 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2165 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2166 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2167 = and(_T_2165, _T_2166) @[lsu_bus_buffer.scala 409:57] + node _T_2168 = or(_T_2164, _T_2167) @[lsu_bus_buffer.scala 409:31] + node _T_2169 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2170 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 410:41] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 410:71] + node _T_2174 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2175 = and(_T_2173, _T_2174) @[lsu_bus_buffer.scala 410:92] + node _T_2176 = or(_T_2168, _T_2175) @[lsu_bus_buffer.scala 409:86] + node _T_2177 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2178 = and(_T_2177, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2179 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 411:52] + node _T_2181 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 411:73] + node _T_2183 = or(_T_2176, _T_2182) @[lsu_bus_buffer.scala 410:114] + node _T_2184 = and(_T_2163, _T_2183) @[lsu_bus_buffer.scala 408:113] + node _T_2185 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2186 = or(_T_2184, _T_2185) @[lsu_bus_buffer.scala 411:97] + node _T_2187 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2188 = and(_T_2187, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2189 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2190 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2191 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2192 = and(_T_2190, _T_2191) @[lsu_bus_buffer.scala 409:57] + node _T_2193 = or(_T_2189, _T_2192) @[lsu_bus_buffer.scala 409:31] + node _T_2194 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2195 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 410:41] + node _T_2197 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 410:71] + node _T_2199 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2200 = and(_T_2198, _T_2199) @[lsu_bus_buffer.scala 410:92] + node _T_2201 = or(_T_2193, _T_2200) @[lsu_bus_buffer.scala 409:86] + node _T_2202 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2203 = and(_T_2202, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2204 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 411:52] + node _T_2206 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 411:73] + node _T_2208 = or(_T_2201, _T_2207) @[lsu_bus_buffer.scala 410:114] + node _T_2209 = and(_T_2188, _T_2208) @[lsu_bus_buffer.scala 408:113] + node _T_2210 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2211 = or(_T_2209, _T_2210) @[lsu_bus_buffer.scala 411:97] + node _T_2212 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2213 = and(_T_2212, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2214 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2215 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2216 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2217 = and(_T_2215, _T_2216) @[lsu_bus_buffer.scala 409:57] + node _T_2218 = or(_T_2214, _T_2217) @[lsu_bus_buffer.scala 409:31] + node _T_2219 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2220 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 410:41] + node _T_2222 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 410:71] + node _T_2224 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2225 = and(_T_2223, _T_2224) @[lsu_bus_buffer.scala 410:92] + node _T_2226 = or(_T_2218, _T_2225) @[lsu_bus_buffer.scala 409:86] + node _T_2227 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2228 = and(_T_2227, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2229 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 411:52] + node _T_2231 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 411:73] + node _T_2233 = or(_T_2226, _T_2232) @[lsu_bus_buffer.scala 410:114] + node _T_2234 = and(_T_2213, _T_2233) @[lsu_bus_buffer.scala 408:113] + node _T_2235 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2236 = or(_T_2234, _T_2235) @[lsu_bus_buffer.scala 411:97] + node _T_2237 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2238 = and(_T_2237, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2239 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2240 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2241 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2242 = and(_T_2240, _T_2241) @[lsu_bus_buffer.scala 409:57] + node _T_2243 = or(_T_2239, _T_2242) @[lsu_bus_buffer.scala 409:31] + node _T_2244 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2245 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2246 = and(_T_2244, _T_2245) @[lsu_bus_buffer.scala 410:41] + node _T_2247 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 410:71] + node _T_2249 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 410:92] + node _T_2251 = or(_T_2243, _T_2250) @[lsu_bus_buffer.scala 409:86] + node _T_2252 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2253 = and(_T_2252, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2254 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 411:52] + node _T_2256 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 411:73] + node _T_2258 = or(_T_2251, _T_2257) @[lsu_bus_buffer.scala 410:114] + node _T_2259 = and(_T_2238, _T_2258) @[lsu_bus_buffer.scala 408:113] + node _T_2260 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2261 = or(_T_2259, _T_2260) @[lsu_bus_buffer.scala 411:97] + node _T_2262 = cat(_T_2261, _T_2236) @[Cat.scala 29:58] + node _T_2263 = cat(_T_2262, _T_2211) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2263, _T_2186) @[Cat.scala 29:58] + node _T_2264 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2265 = and(_T_2264, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2266 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2267 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2268 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2269 = and(_T_2267, _T_2268) @[lsu_bus_buffer.scala 409:57] + node _T_2270 = or(_T_2266, _T_2269) @[lsu_bus_buffer.scala 409:31] + node _T_2271 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2272 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 410:41] + node _T_2274 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 410:71] + node _T_2276 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2277 = and(_T_2275, _T_2276) @[lsu_bus_buffer.scala 410:92] + node _T_2278 = or(_T_2270, _T_2277) @[lsu_bus_buffer.scala 409:86] + node _T_2279 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2280 = and(_T_2279, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2281 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 411:52] + node _T_2283 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 411:73] + node _T_2285 = or(_T_2278, _T_2284) @[lsu_bus_buffer.scala 410:114] + node _T_2286 = and(_T_2265, _T_2285) @[lsu_bus_buffer.scala 408:113] + node _T_2287 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2288 = or(_T_2286, _T_2287) @[lsu_bus_buffer.scala 411:97] + node _T_2289 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2290 = and(_T_2289, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2291 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2292 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2293 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2294 = and(_T_2292, _T_2293) @[lsu_bus_buffer.scala 409:57] + node _T_2295 = or(_T_2291, _T_2294) @[lsu_bus_buffer.scala 409:31] + node _T_2296 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2297 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 410:41] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 410:71] + node _T_2301 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2302 = and(_T_2300, _T_2301) @[lsu_bus_buffer.scala 410:92] + node _T_2303 = or(_T_2295, _T_2302) @[lsu_bus_buffer.scala 409:86] + node _T_2304 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2305 = and(_T_2304, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2306 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 411:52] + node _T_2308 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 411:73] + node _T_2310 = or(_T_2303, _T_2309) @[lsu_bus_buffer.scala 410:114] + node _T_2311 = and(_T_2290, _T_2310) @[lsu_bus_buffer.scala 408:113] + node _T_2312 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2313 = or(_T_2311, _T_2312) @[lsu_bus_buffer.scala 411:97] + node _T_2314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2315 = and(_T_2314, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2316 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2317 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2318 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2319 = and(_T_2317, _T_2318) @[lsu_bus_buffer.scala 409:57] + node _T_2320 = or(_T_2316, _T_2319) @[lsu_bus_buffer.scala 409:31] + node _T_2321 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2322 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 410:41] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 410:71] + node _T_2326 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2327 = and(_T_2325, _T_2326) @[lsu_bus_buffer.scala 410:92] + node _T_2328 = or(_T_2320, _T_2327) @[lsu_bus_buffer.scala 409:86] + node _T_2329 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2330 = and(_T_2329, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2331 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 411:52] + node _T_2333 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 411:73] + node _T_2335 = or(_T_2328, _T_2334) @[lsu_bus_buffer.scala 410:114] + node _T_2336 = and(_T_2315, _T_2335) @[lsu_bus_buffer.scala 408:113] + node _T_2337 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2338 = or(_T_2336, _T_2337) @[lsu_bus_buffer.scala 411:97] + node _T_2339 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2340 = and(_T_2339, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2341 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2342 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2343 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2344 = and(_T_2342, _T_2343) @[lsu_bus_buffer.scala 409:57] + node _T_2345 = or(_T_2341, _T_2344) @[lsu_bus_buffer.scala 409:31] + node _T_2346 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2347 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2348 = and(_T_2346, _T_2347) @[lsu_bus_buffer.scala 410:41] + node _T_2349 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 410:71] + node _T_2351 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 410:92] + node _T_2353 = or(_T_2345, _T_2352) @[lsu_bus_buffer.scala 409:86] + node _T_2354 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2355 = and(_T_2354, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 411:52] + node _T_2358 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 411:73] + node _T_2360 = or(_T_2353, _T_2359) @[lsu_bus_buffer.scala 410:114] + node _T_2361 = and(_T_2340, _T_2360) @[lsu_bus_buffer.scala 408:113] + node _T_2362 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2363 = or(_T_2361, _T_2362) @[lsu_bus_buffer.scala 411:97] + node _T_2364 = cat(_T_2363, _T_2338) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2364, _T_2313) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2365, _T_2288) @[Cat.scala 29:58] + node _T_2366 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2367 = and(_T_2366, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2368 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2369 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2371 = and(_T_2369, _T_2370) @[lsu_bus_buffer.scala 409:57] + node _T_2372 = or(_T_2368, _T_2371) @[lsu_bus_buffer.scala 409:31] + node _T_2373 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2374 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 410:41] + node _T_2376 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 410:71] + node _T_2378 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2379 = and(_T_2377, _T_2378) @[lsu_bus_buffer.scala 410:92] + node _T_2380 = or(_T_2372, _T_2379) @[lsu_bus_buffer.scala 409:86] + node _T_2381 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2382 = and(_T_2381, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2383 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 411:52] + node _T_2385 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 411:73] + node _T_2387 = or(_T_2380, _T_2386) @[lsu_bus_buffer.scala 410:114] + node _T_2388 = and(_T_2367, _T_2387) @[lsu_bus_buffer.scala 408:113] + node _T_2389 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2390 = or(_T_2388, _T_2389) @[lsu_bus_buffer.scala 411:97] + node _T_2391 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2392 = and(_T_2391, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2393 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2394 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2395 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2396 = and(_T_2394, _T_2395) @[lsu_bus_buffer.scala 409:57] + node _T_2397 = or(_T_2393, _T_2396) @[lsu_bus_buffer.scala 409:31] + node _T_2398 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2399 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 410:41] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 410:71] + node _T_2403 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2404 = and(_T_2402, _T_2403) @[lsu_bus_buffer.scala 410:92] + node _T_2405 = or(_T_2397, _T_2404) @[lsu_bus_buffer.scala 409:86] + node _T_2406 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2407 = and(_T_2406, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2408 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 411:52] + node _T_2410 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 411:73] + node _T_2412 = or(_T_2405, _T_2411) @[lsu_bus_buffer.scala 410:114] + node _T_2413 = and(_T_2392, _T_2412) @[lsu_bus_buffer.scala 408:113] + node _T_2414 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2415 = or(_T_2413, _T_2414) @[lsu_bus_buffer.scala 411:97] + node _T_2416 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2417 = and(_T_2416, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2418 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2419 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2420 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2421 = and(_T_2419, _T_2420) @[lsu_bus_buffer.scala 409:57] + node _T_2422 = or(_T_2418, _T_2421) @[lsu_bus_buffer.scala 409:31] + node _T_2423 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2424 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 410:41] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 410:71] + node _T_2428 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2429 = and(_T_2427, _T_2428) @[lsu_bus_buffer.scala 410:92] + node _T_2430 = or(_T_2422, _T_2429) @[lsu_bus_buffer.scala 409:86] + node _T_2431 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2432 = and(_T_2431, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2433 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 411:52] + node _T_2435 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 411:73] + node _T_2437 = or(_T_2430, _T_2436) @[lsu_bus_buffer.scala 410:114] + node _T_2438 = and(_T_2417, _T_2437) @[lsu_bus_buffer.scala 408:113] + node _T_2439 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2440 = or(_T_2438, _T_2439) @[lsu_bus_buffer.scala 411:97] + node _T_2441 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2442 = and(_T_2441, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2443 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2444 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2446 = and(_T_2444, _T_2445) @[lsu_bus_buffer.scala 409:57] + node _T_2447 = or(_T_2443, _T_2446) @[lsu_bus_buffer.scala 409:31] + node _T_2448 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2449 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2450 = and(_T_2448, _T_2449) @[lsu_bus_buffer.scala 410:41] + node _T_2451 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 410:71] + node _T_2453 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 410:92] + node _T_2455 = or(_T_2447, _T_2454) @[lsu_bus_buffer.scala 409:86] + node _T_2456 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2457 = and(_T_2456, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2458 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 411:52] + node _T_2460 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 411:73] + node _T_2462 = or(_T_2455, _T_2461) @[lsu_bus_buffer.scala 410:114] + node _T_2463 = and(_T_2442, _T_2462) @[lsu_bus_buffer.scala 408:113] + node _T_2464 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2465 = or(_T_2463, _T_2464) @[lsu_bus_buffer.scala 411:97] + node _T_2466 = cat(_T_2465, _T_2440) @[Cat.scala 29:58] + node _T_2467 = cat(_T_2466, _T_2415) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2467, _T_2390) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 412:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + node _T_2468 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2469 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2470 = and(_T_2469, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2471 = eq(_T_2470, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2472 = and(_T_2468, _T_2471) @[lsu_bus_buffer.scala 414:76] + node _T_2473 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2474 = and(_T_2472, _T_2473) @[lsu_bus_buffer.scala 414:138] + node _T_2475 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2476 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 414:76] + node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 414:138] + node _T_2482 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2483 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 414:76] + node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 414:138] + node _T_2489 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2490 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 414:76] + node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 414:138] + node _T_2496 = cat(_T_2495, _T_2488) @[Cat.scala 29:58] + node _T_2497 = cat(_T_2496, _T_2481) @[Cat.scala 29:58] + node _T_2498 = cat(_T_2497, _T_2474) @[Cat.scala 29:58] + node _T_2499 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2502 = eq(_T_2501, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2503 = and(_T_2499, _T_2502) @[lsu_bus_buffer.scala 414:76] + node _T_2504 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2505 = and(_T_2503, _T_2504) @[lsu_bus_buffer.scala 414:138] + node _T_2506 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2507 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 414:76] + node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 414:138] + node _T_2513 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2514 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 414:76] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 414:138] + node _T_2520 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2521 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 414:76] + node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 414:138] + node _T_2527 = cat(_T_2526, _T_2519) @[Cat.scala 29:58] + node _T_2528 = cat(_T_2527, _T_2512) @[Cat.scala 29:58] + node _T_2529 = cat(_T_2528, _T_2505) @[Cat.scala 29:58] + node _T_2530 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2531 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2533 = eq(_T_2532, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2534 = and(_T_2530, _T_2533) @[lsu_bus_buffer.scala 414:76] + node _T_2535 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2536 = and(_T_2534, _T_2535) @[lsu_bus_buffer.scala 414:138] + node _T_2537 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2538 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 414:76] + node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 414:138] + node _T_2544 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2545 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 414:76] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 414:138] + node _T_2551 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 414:76] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 414:138] + node _T_2558 = cat(_T_2557, _T_2550) @[Cat.scala 29:58] + node _T_2559 = cat(_T_2558, _T_2543) @[Cat.scala 29:58] + node _T_2560 = cat(_T_2559, _T_2536) @[Cat.scala 29:58] + node _T_2561 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2562 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2565 = and(_T_2561, _T_2564) @[lsu_bus_buffer.scala 414:76] + node _T_2566 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2567 = and(_T_2565, _T_2566) @[lsu_bus_buffer.scala 414:138] + node _T_2568 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2569 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 414:76] + node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 414:138] + node _T_2575 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 414:76] + node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 414:138] + node _T_2582 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2583 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 414:76] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 414:138] + node _T_2589 = cat(_T_2588, _T_2581) @[Cat.scala 29:58] + node _T_2590 = cat(_T_2589, _T_2574) @[Cat.scala 29:58] + node _T_2591 = cat(_T_2590, _T_2567) @[Cat.scala 29:58] + buf_age[0] <= _T_2498 @[lsu_bus_buffer.scala 414:11] + buf_age[1] <= _T_2529 @[lsu_bus_buffer.scala 414:11] + buf_age[2] <= _T_2560 @[lsu_bus_buffer.scala 414:11] + buf_age[3] <= _T_2591 @[lsu_bus_buffer.scala 414:11] + node _T_2592 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2593 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2594 = eq(_T_2593, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2595 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2596 = and(_T_2594, _T_2595) @[lsu_bus_buffer.scala 415:104] + node _T_2597 = mux(_T_2592, UInt<1>("h00"), _T_2596) @[lsu_bus_buffer.scala 415:72] + node _T_2598 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2599 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2601 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2602 = and(_T_2600, _T_2601) @[lsu_bus_buffer.scala 415:104] + node _T_2603 = mux(_T_2598, UInt<1>("h00"), _T_2602) @[lsu_bus_buffer.scala 415:72] + node _T_2604 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2605 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2607 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2608 = and(_T_2606, _T_2607) @[lsu_bus_buffer.scala 415:104] + node _T_2609 = mux(_T_2604, UInt<1>("h00"), _T_2608) @[lsu_bus_buffer.scala 415:72] + node _T_2610 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2611 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2613 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2614 = and(_T_2612, _T_2613) @[lsu_bus_buffer.scala 415:104] + node _T_2615 = mux(_T_2610, UInt<1>("h00"), _T_2614) @[lsu_bus_buffer.scala 415:72] + node _T_2616 = cat(_T_2615, _T_2609) @[Cat.scala 29:58] + node _T_2617 = cat(_T_2616, _T_2603) @[Cat.scala 29:58] + node _T_2618 = cat(_T_2617, _T_2597) @[Cat.scala 29:58] + node _T_2619 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2620 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2622 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2623 = and(_T_2621, _T_2622) @[lsu_bus_buffer.scala 415:104] + node _T_2624 = mux(_T_2619, UInt<1>("h00"), _T_2623) @[lsu_bus_buffer.scala 415:72] + node _T_2625 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2626 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2627 = eq(_T_2626, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2628 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2629 = and(_T_2627, _T_2628) @[lsu_bus_buffer.scala 415:104] + node _T_2630 = mux(_T_2625, UInt<1>("h00"), _T_2629) @[lsu_bus_buffer.scala 415:72] + node _T_2631 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2632 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2634 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2635 = and(_T_2633, _T_2634) @[lsu_bus_buffer.scala 415:104] + node _T_2636 = mux(_T_2631, UInt<1>("h00"), _T_2635) @[lsu_bus_buffer.scala 415:72] + node _T_2637 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2638 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2640 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2641 = and(_T_2639, _T_2640) @[lsu_bus_buffer.scala 415:104] + node _T_2642 = mux(_T_2637, UInt<1>("h00"), _T_2641) @[lsu_bus_buffer.scala 415:72] + node _T_2643 = cat(_T_2642, _T_2636) @[Cat.scala 29:58] + node _T_2644 = cat(_T_2643, _T_2630) @[Cat.scala 29:58] + node _T_2645 = cat(_T_2644, _T_2624) @[Cat.scala 29:58] + node _T_2646 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2647 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2649 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 415:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 415:72] + node _T_2652 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2653 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2655 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2656 = and(_T_2654, _T_2655) @[lsu_bus_buffer.scala 415:104] + node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[lsu_bus_buffer.scala 415:72] + node _T_2658 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2659 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2661 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2662 = and(_T_2660, _T_2661) @[lsu_bus_buffer.scala 415:104] + node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[lsu_bus_buffer.scala 415:72] + node _T_2664 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2665 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2666 = eq(_T_2665, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2667 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2668 = and(_T_2666, _T_2667) @[lsu_bus_buffer.scala 415:104] + node _T_2669 = mux(_T_2664, UInt<1>("h00"), _T_2668) @[lsu_bus_buffer.scala 415:72] + node _T_2670 = cat(_T_2669, _T_2663) @[Cat.scala 29:58] + node _T_2671 = cat(_T_2670, _T_2657) @[Cat.scala 29:58] + node _T_2672 = cat(_T_2671, _T_2651) @[Cat.scala 29:58] + node _T_2673 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2674 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2676 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 415:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 415:72] + node _T_2679 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2680 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2682 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2683 = and(_T_2681, _T_2682) @[lsu_bus_buffer.scala 415:104] + node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[lsu_bus_buffer.scala 415:72] + node _T_2685 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2686 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2688 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2689 = and(_T_2687, _T_2688) @[lsu_bus_buffer.scala 415:104] + node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[lsu_bus_buffer.scala 415:72] + node _T_2691 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2692 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2694 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2695 = and(_T_2693, _T_2694) @[lsu_bus_buffer.scala 415:104] + node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[lsu_bus_buffer.scala 415:72] + node _T_2697 = cat(_T_2696, _T_2690) @[Cat.scala 29:58] + node _T_2698 = cat(_T_2697, _T_2684) @[Cat.scala 29:58] + node _T_2699 = cat(_T_2698, _T_2678) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2618 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[1] <= _T_2645 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[2] <= _T_2672 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[3] <= _T_2699 @[lsu_bus_buffer.scala 415:19] + node _T_2700 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2701 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 416:87] + node _T_2703 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2704 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2705 = and(_T_2703, _T_2704) @[lsu_bus_buffer.scala 416:87] + node _T_2706 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2707 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2708 = and(_T_2706, _T_2707) @[lsu_bus_buffer.scala 416:87] + node _T_2709 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2710 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2711 = and(_T_2709, _T_2710) @[lsu_bus_buffer.scala 416:87] + node _T_2712 = cat(_T_2711, _T_2708) @[Cat.scala 29:58] + node _T_2713 = cat(_T_2712, _T_2705) @[Cat.scala 29:58] + node _T_2714 = cat(_T_2713, _T_2702) @[Cat.scala 29:58] + node _T_2715 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2716 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2717 = and(_T_2715, _T_2716) @[lsu_bus_buffer.scala 416:87] + node _T_2718 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2719 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2720 = and(_T_2718, _T_2719) @[lsu_bus_buffer.scala 416:87] + node _T_2721 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2722 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2723 = and(_T_2721, _T_2722) @[lsu_bus_buffer.scala 416:87] + node _T_2724 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2725 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2726 = and(_T_2724, _T_2725) @[lsu_bus_buffer.scala 416:87] + node _T_2727 = cat(_T_2726, _T_2723) @[Cat.scala 29:58] + node _T_2728 = cat(_T_2727, _T_2720) @[Cat.scala 29:58] + node _T_2729 = cat(_T_2728, _T_2717) @[Cat.scala 29:58] + node _T_2730 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2731 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2732 = and(_T_2730, _T_2731) @[lsu_bus_buffer.scala 416:87] + node _T_2733 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2734 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2735 = and(_T_2733, _T_2734) @[lsu_bus_buffer.scala 416:87] + node _T_2736 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2737 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 416:87] + node _T_2739 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2740 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 416:87] + node _T_2742 = cat(_T_2741, _T_2738) @[Cat.scala 29:58] + node _T_2743 = cat(_T_2742, _T_2735) @[Cat.scala 29:58] + node _T_2744 = cat(_T_2743, _T_2732) @[Cat.scala 29:58] + node _T_2745 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2746 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 416:87] + node _T_2748 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2749 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2750 = and(_T_2748, _T_2749) @[lsu_bus_buffer.scala 416:87] + node _T_2751 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2752 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 416:87] + node _T_2754 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2755 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 416:87] + node _T_2757 = cat(_T_2756, _T_2753) @[Cat.scala 29:58] + node _T_2758 = cat(_T_2757, _T_2750) @[Cat.scala 29:58] + node _T_2759 = cat(_T_2758, _T_2747) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2714 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[1] <= _T_2729 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[2] <= _T_2744 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[3] <= _T_2759 @[lsu_bus_buffer.scala 416:19] + node _T_2760 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2761 = and(_T_2760, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2762 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2763 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2764 = or(_T_2762, _T_2763) @[lsu_bus_buffer.scala 419:32] + node _T_2765 = eq(_T_2764, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2766 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2767 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 420:41] + node _T_2769 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2770 = and(_T_2768, _T_2769) @[lsu_bus_buffer.scala 420:71] + node _T_2771 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2772 = and(_T_2770, _T_2771) @[lsu_bus_buffer.scala 420:90] + node _T_2773 = or(_T_2765, _T_2772) @[lsu_bus_buffer.scala 419:59] + node _T_2774 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2775 = and(_T_2774, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2776 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 421:52] + node _T_2778 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 421:71] + node _T_2780 = or(_T_2773, _T_2779) @[lsu_bus_buffer.scala 420:110] + node _T_2781 = and(_T_2761, _T_2780) @[lsu_bus_buffer.scala 418:112] + node _T_2782 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2783 = and(_T_2782, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2784 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2785 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2786 = or(_T_2784, _T_2785) @[lsu_bus_buffer.scala 419:32] + node _T_2787 = eq(_T_2786, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2788 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2789 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2790 = and(_T_2788, _T_2789) @[lsu_bus_buffer.scala 420:41] + node _T_2791 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 420:71] + node _T_2793 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2794 = and(_T_2792, _T_2793) @[lsu_bus_buffer.scala 420:90] + node _T_2795 = or(_T_2787, _T_2794) @[lsu_bus_buffer.scala 419:59] + node _T_2796 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2797 = and(_T_2796, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2798 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 421:52] + node _T_2800 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 421:71] + node _T_2802 = or(_T_2795, _T_2801) @[lsu_bus_buffer.scala 420:110] + node _T_2803 = and(_T_2783, _T_2802) @[lsu_bus_buffer.scala 418:112] + node _T_2804 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2805 = and(_T_2804, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2806 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2807 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2808 = or(_T_2806, _T_2807) @[lsu_bus_buffer.scala 419:32] + node _T_2809 = eq(_T_2808, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2810 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2811 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2812 = and(_T_2810, _T_2811) @[lsu_bus_buffer.scala 420:41] + node _T_2813 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2814 = and(_T_2812, _T_2813) @[lsu_bus_buffer.scala 420:71] + node _T_2815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2816 = and(_T_2814, _T_2815) @[lsu_bus_buffer.scala 420:90] + node _T_2817 = or(_T_2809, _T_2816) @[lsu_bus_buffer.scala 419:59] + node _T_2818 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2819 = and(_T_2818, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2820 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 421:52] + node _T_2822 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 421:71] + node _T_2824 = or(_T_2817, _T_2823) @[lsu_bus_buffer.scala 420:110] + node _T_2825 = and(_T_2805, _T_2824) @[lsu_bus_buffer.scala 418:112] + node _T_2826 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2827 = and(_T_2826, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2828 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2829 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2830 = or(_T_2828, _T_2829) @[lsu_bus_buffer.scala 419:32] + node _T_2831 = eq(_T_2830, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2832 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2833 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2834 = and(_T_2832, _T_2833) @[lsu_bus_buffer.scala 420:41] + node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2836 = and(_T_2834, _T_2835) @[lsu_bus_buffer.scala 420:71] + node _T_2837 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2838 = and(_T_2836, _T_2837) @[lsu_bus_buffer.scala 420:90] + node _T_2839 = or(_T_2831, _T_2838) @[lsu_bus_buffer.scala 419:59] + node _T_2840 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2841 = and(_T_2840, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2842 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 421:52] + node _T_2844 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 421:71] + node _T_2846 = or(_T_2839, _T_2845) @[lsu_bus_buffer.scala 420:110] + node _T_2847 = and(_T_2827, _T_2846) @[lsu_bus_buffer.scala 418:112] + node _T_2848 = cat(_T_2847, _T_2825) @[Cat.scala 29:58] + node _T_2849 = cat(_T_2848, _T_2803) @[Cat.scala 29:58] + node _T_2850 = cat(_T_2849, _T_2781) @[Cat.scala 29:58] + node _T_2851 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2852 = and(_T_2851, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2854 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2855 = or(_T_2853, _T_2854) @[lsu_bus_buffer.scala 419:32] + node _T_2856 = eq(_T_2855, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2857 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2858 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 420:41] + node _T_2860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2861 = and(_T_2859, _T_2860) @[lsu_bus_buffer.scala 420:71] + node _T_2862 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2863 = and(_T_2861, _T_2862) @[lsu_bus_buffer.scala 420:90] + node _T_2864 = or(_T_2856, _T_2863) @[lsu_bus_buffer.scala 419:59] + node _T_2865 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2866 = and(_T_2865, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2867 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 421:52] + node _T_2869 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 421:71] + node _T_2871 = or(_T_2864, _T_2870) @[lsu_bus_buffer.scala 420:110] + node _T_2872 = and(_T_2852, _T_2871) @[lsu_bus_buffer.scala 418:112] + node _T_2873 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2874 = and(_T_2873, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2876 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2877 = or(_T_2875, _T_2876) @[lsu_bus_buffer.scala 419:32] + node _T_2878 = eq(_T_2877, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2879 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2880 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 420:41] + node _T_2882 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2883 = and(_T_2881, _T_2882) @[lsu_bus_buffer.scala 420:71] + node _T_2884 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2885 = and(_T_2883, _T_2884) @[lsu_bus_buffer.scala 420:90] + node _T_2886 = or(_T_2878, _T_2885) @[lsu_bus_buffer.scala 419:59] + node _T_2887 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2888 = and(_T_2887, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2889 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 421:52] + node _T_2891 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 421:71] + node _T_2893 = or(_T_2886, _T_2892) @[lsu_bus_buffer.scala 420:110] + node _T_2894 = and(_T_2874, _T_2893) @[lsu_bus_buffer.scala 418:112] + node _T_2895 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2896 = and(_T_2895, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2897 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2898 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2899 = or(_T_2897, _T_2898) @[lsu_bus_buffer.scala 419:32] + node _T_2900 = eq(_T_2899, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2901 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2902 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2903 = and(_T_2901, _T_2902) @[lsu_bus_buffer.scala 420:41] + node _T_2904 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2905 = and(_T_2903, _T_2904) @[lsu_bus_buffer.scala 420:71] + node _T_2906 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2907 = and(_T_2905, _T_2906) @[lsu_bus_buffer.scala 420:90] + node _T_2908 = or(_T_2900, _T_2907) @[lsu_bus_buffer.scala 419:59] + node _T_2909 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2910 = and(_T_2909, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2911 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 421:52] + node _T_2913 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 421:71] + node _T_2915 = or(_T_2908, _T_2914) @[lsu_bus_buffer.scala 420:110] + node _T_2916 = and(_T_2896, _T_2915) @[lsu_bus_buffer.scala 418:112] + node _T_2917 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2918 = and(_T_2917, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2920 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2921 = or(_T_2919, _T_2920) @[lsu_bus_buffer.scala 419:32] + node _T_2922 = eq(_T_2921, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2923 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2924 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2925 = and(_T_2923, _T_2924) @[lsu_bus_buffer.scala 420:41] + node _T_2926 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2927 = and(_T_2925, _T_2926) @[lsu_bus_buffer.scala 420:71] + node _T_2928 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2929 = and(_T_2927, _T_2928) @[lsu_bus_buffer.scala 420:90] + node _T_2930 = or(_T_2922, _T_2929) @[lsu_bus_buffer.scala 419:59] + node _T_2931 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2932 = and(_T_2931, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2933 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 421:52] + node _T_2935 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 421:71] + node _T_2937 = or(_T_2930, _T_2936) @[lsu_bus_buffer.scala 420:110] + node _T_2938 = and(_T_2918, _T_2937) @[lsu_bus_buffer.scala 418:112] + node _T_2939 = cat(_T_2938, _T_2916) @[Cat.scala 29:58] + node _T_2940 = cat(_T_2939, _T_2894) @[Cat.scala 29:58] + node _T_2941 = cat(_T_2940, _T_2872) @[Cat.scala 29:58] + node _T_2942 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2943 = and(_T_2942, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2944 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2945 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2946 = or(_T_2944, _T_2945) @[lsu_bus_buffer.scala 419:32] + node _T_2947 = eq(_T_2946, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2948 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2949 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 420:41] + node _T_2951 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2952 = and(_T_2950, _T_2951) @[lsu_bus_buffer.scala 420:71] + node _T_2953 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2954 = and(_T_2952, _T_2953) @[lsu_bus_buffer.scala 420:90] + node _T_2955 = or(_T_2947, _T_2954) @[lsu_bus_buffer.scala 419:59] + node _T_2956 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2957 = and(_T_2956, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2958 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 421:52] + node _T_2960 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 421:71] + node _T_2962 = or(_T_2955, _T_2961) @[lsu_bus_buffer.scala 420:110] + node _T_2963 = and(_T_2943, _T_2962) @[lsu_bus_buffer.scala 418:112] + node _T_2964 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2965 = and(_T_2964, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2966 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2967 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2968 = or(_T_2966, _T_2967) @[lsu_bus_buffer.scala 419:32] + node _T_2969 = eq(_T_2968, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2970 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2971 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 420:41] + node _T_2973 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2974 = and(_T_2972, _T_2973) @[lsu_bus_buffer.scala 420:71] + node _T_2975 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2976 = and(_T_2974, _T_2975) @[lsu_bus_buffer.scala 420:90] + node _T_2977 = or(_T_2969, _T_2976) @[lsu_bus_buffer.scala 419:59] + node _T_2978 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2979 = and(_T_2978, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2980 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 421:52] + node _T_2982 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 421:71] + node _T_2984 = or(_T_2977, _T_2983) @[lsu_bus_buffer.scala 420:110] + node _T_2985 = and(_T_2965, _T_2984) @[lsu_bus_buffer.scala 418:112] + node _T_2986 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2987 = and(_T_2986, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2989 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2990 = or(_T_2988, _T_2989) @[lsu_bus_buffer.scala 419:32] + node _T_2991 = eq(_T_2990, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2992 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2993 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2994 = and(_T_2992, _T_2993) @[lsu_bus_buffer.scala 420:41] + node _T_2995 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2996 = and(_T_2994, _T_2995) @[lsu_bus_buffer.scala 420:71] + node _T_2997 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2998 = and(_T_2996, _T_2997) @[lsu_bus_buffer.scala 420:90] + node _T_2999 = or(_T_2991, _T_2998) @[lsu_bus_buffer.scala 419:59] + node _T_3000 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3001 = and(_T_3000, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3002 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 421:52] + node _T_3004 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 421:71] + node _T_3006 = or(_T_2999, _T_3005) @[lsu_bus_buffer.scala 420:110] + node _T_3007 = and(_T_2987, _T_3006) @[lsu_bus_buffer.scala 418:112] + node _T_3008 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3009 = and(_T_3008, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_3010 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3011 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3012 = or(_T_3010, _T_3011) @[lsu_bus_buffer.scala 419:32] + node _T_3013 = eq(_T_3012, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3014 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3015 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3016 = and(_T_3014, _T_3015) @[lsu_bus_buffer.scala 420:41] + node _T_3017 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_3018 = and(_T_3016, _T_3017) @[lsu_bus_buffer.scala 420:71] + node _T_3019 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3020 = and(_T_3018, _T_3019) @[lsu_bus_buffer.scala 420:90] + node _T_3021 = or(_T_3013, _T_3020) @[lsu_bus_buffer.scala 419:59] + node _T_3022 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3023 = and(_T_3022, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3024 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 421:52] + node _T_3026 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 421:71] + node _T_3028 = or(_T_3021, _T_3027) @[lsu_bus_buffer.scala 420:110] + node _T_3029 = and(_T_3009, _T_3028) @[lsu_bus_buffer.scala 418:112] + node _T_3030 = cat(_T_3029, _T_3007) @[Cat.scala 29:58] + node _T_3031 = cat(_T_3030, _T_2985) @[Cat.scala 29:58] + node _T_3032 = cat(_T_3031, _T_2963) @[Cat.scala 29:58] + node _T_3033 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3034 = and(_T_3033, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3035 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3036 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3037 = or(_T_3035, _T_3036) @[lsu_bus_buffer.scala 419:32] + node _T_3038 = eq(_T_3037, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3039 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3040 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 420:41] + node _T_3042 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3043 = and(_T_3041, _T_3042) @[lsu_bus_buffer.scala 420:71] + node _T_3044 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_3045 = and(_T_3043, _T_3044) @[lsu_bus_buffer.scala 420:90] + node _T_3046 = or(_T_3038, _T_3045) @[lsu_bus_buffer.scala 419:59] + node _T_3047 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3048 = and(_T_3047, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3049 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 421:52] + node _T_3051 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 421:71] + node _T_3053 = or(_T_3046, _T_3052) @[lsu_bus_buffer.scala 420:110] + node _T_3054 = and(_T_3034, _T_3053) @[lsu_bus_buffer.scala 418:112] + node _T_3055 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3056 = and(_T_3055, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3057 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3058 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3059 = or(_T_3057, _T_3058) @[lsu_bus_buffer.scala 419:32] + node _T_3060 = eq(_T_3059, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3061 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3062 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 420:41] + node _T_3064 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3065 = and(_T_3063, _T_3064) @[lsu_bus_buffer.scala 420:71] + node _T_3066 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_3067 = and(_T_3065, _T_3066) @[lsu_bus_buffer.scala 420:90] + node _T_3068 = or(_T_3060, _T_3067) @[lsu_bus_buffer.scala 419:59] + node _T_3069 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3070 = and(_T_3069, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3071 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 421:52] + node _T_3073 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 421:71] + node _T_3075 = or(_T_3068, _T_3074) @[lsu_bus_buffer.scala 420:110] + node _T_3076 = and(_T_3056, _T_3075) @[lsu_bus_buffer.scala 418:112] + node _T_3077 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3078 = and(_T_3077, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3079 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3080 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3081 = or(_T_3079, _T_3080) @[lsu_bus_buffer.scala 419:32] + node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3083 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3084 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3085 = and(_T_3083, _T_3084) @[lsu_bus_buffer.scala 420:41] + node _T_3086 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3087 = and(_T_3085, _T_3086) @[lsu_bus_buffer.scala 420:71] + node _T_3088 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_3089 = and(_T_3087, _T_3088) @[lsu_bus_buffer.scala 420:90] + node _T_3090 = or(_T_3082, _T_3089) @[lsu_bus_buffer.scala 419:59] + node _T_3091 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3092 = and(_T_3091, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3093 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 421:52] + node _T_3095 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 421:71] + node _T_3097 = or(_T_3090, _T_3096) @[lsu_bus_buffer.scala 420:110] + node _T_3098 = and(_T_3078, _T_3097) @[lsu_bus_buffer.scala 418:112] + node _T_3099 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3100 = and(_T_3099, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3102 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3103 = or(_T_3101, _T_3102) @[lsu_bus_buffer.scala 419:32] + node _T_3104 = eq(_T_3103, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3106 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3107 = and(_T_3105, _T_3106) @[lsu_bus_buffer.scala 420:41] + node _T_3108 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3109 = and(_T_3107, _T_3108) @[lsu_bus_buffer.scala 420:71] + node _T_3110 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3111 = and(_T_3109, _T_3110) @[lsu_bus_buffer.scala 420:90] + node _T_3112 = or(_T_3104, _T_3111) @[lsu_bus_buffer.scala 419:59] + node _T_3113 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3114 = and(_T_3113, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3115 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 421:52] + node _T_3117 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 421:71] + node _T_3119 = or(_T_3112, _T_3118) @[lsu_bus_buffer.scala 420:110] + node _T_3120 = and(_T_3100, _T_3119) @[lsu_bus_buffer.scala 418:112] + node _T_3121 = cat(_T_3120, _T_3098) @[Cat.scala 29:58] + node _T_3122 = cat(_T_3121, _T_3076) @[Cat.scala 29:58] + node _T_3123 = cat(_T_3122, _T_3054) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2850 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[1] <= _T_2941 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[2] <= _T_3032 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[3] <= _T_3123 @[lsu_bus_buffer.scala 418:18] + node _T_3124 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3125 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3126 = or(_T_3124, _T_3125) @[lsu_bus_buffer.scala 422:88] + node _T_3127 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3128 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3129 = or(_T_3127, _T_3128) @[lsu_bus_buffer.scala 422:88] + node _T_3130 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3131 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3132 = or(_T_3130, _T_3131) @[lsu_bus_buffer.scala 422:88] + node _T_3133 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3134 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3135 = or(_T_3133, _T_3134) @[lsu_bus_buffer.scala 422:88] + node _T_3136 = cat(_T_3135, _T_3132) @[Cat.scala 29:58] + node _T_3137 = cat(_T_3136, _T_3129) @[Cat.scala 29:58] + node _T_3138 = cat(_T_3137, _T_3126) @[Cat.scala 29:58] + node _T_3139 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3140 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3141 = or(_T_3139, _T_3140) @[lsu_bus_buffer.scala 422:88] + node _T_3142 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3143 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3144 = or(_T_3142, _T_3143) @[lsu_bus_buffer.scala 422:88] + node _T_3145 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3146 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3147 = or(_T_3145, _T_3146) @[lsu_bus_buffer.scala 422:88] + node _T_3148 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3149 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3150 = or(_T_3148, _T_3149) @[lsu_bus_buffer.scala 422:88] + node _T_3151 = cat(_T_3150, _T_3147) @[Cat.scala 29:58] + node _T_3152 = cat(_T_3151, _T_3144) @[Cat.scala 29:58] + node _T_3153 = cat(_T_3152, _T_3141) @[Cat.scala 29:58] + node _T_3154 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3155 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3156 = or(_T_3154, _T_3155) @[lsu_bus_buffer.scala 422:88] + node _T_3157 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3158 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3159 = or(_T_3157, _T_3158) @[lsu_bus_buffer.scala 422:88] + node _T_3160 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3161 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 422:88] + node _T_3163 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3164 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 422:88] + node _T_3166 = cat(_T_3165, _T_3162) @[Cat.scala 29:58] + node _T_3167 = cat(_T_3166, _T_3159) @[Cat.scala 29:58] + node _T_3168 = cat(_T_3167, _T_3156) @[Cat.scala 29:58] + node _T_3169 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3170 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 422:88] + node _T_3172 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3173 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3174 = or(_T_3172, _T_3173) @[lsu_bus_buffer.scala 422:88] + node _T_3175 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3176 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 422:88] + node _T_3178 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3179 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 422:88] + node _T_3181 = cat(_T_3180, _T_3177) @[Cat.scala 29:58] + node _T_3182 = cat(_T_3181, _T_3174) @[Cat.scala 29:58] + node _T_3183 = cat(_T_3182, _T_3171) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3138 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[1] <= _T_3153 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[2] <= _T_3168 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[3] <= _T_3183 @[lsu_bus_buffer.scala 422:17] + node _T_3184 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3185 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3186 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 423:110] + node _T_3188 = eq(_T_3187, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3189 = and(_T_3184, _T_3188) @[lsu_bus_buffer.scala 423:82] + node _T_3190 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3191 = and(_T_3189, _T_3190) @[lsu_bus_buffer.scala 423:145] + node _T_3192 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3193 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 423:110] + node _T_3196 = eq(_T_3195, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3197 = and(_T_3192, _T_3196) @[lsu_bus_buffer.scala 423:82] + node _T_3198 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3199 = and(_T_3197, _T_3198) @[lsu_bus_buffer.scala 423:145] + node _T_3200 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3201 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3202 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3203 = or(_T_3201, _T_3202) @[lsu_bus_buffer.scala 423:110] + node _T_3204 = eq(_T_3203, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3205 = and(_T_3200, _T_3204) @[lsu_bus_buffer.scala 423:82] + node _T_3206 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3207 = and(_T_3205, _T_3206) @[lsu_bus_buffer.scala 423:145] + node _T_3208 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3209 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3210 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3211 = or(_T_3209, _T_3210) @[lsu_bus_buffer.scala 423:110] + node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3213 = and(_T_3208, _T_3212) @[lsu_bus_buffer.scala 423:82] + node _T_3214 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3215 = and(_T_3213, _T_3214) @[lsu_bus_buffer.scala 423:145] + node _T_3216 = cat(_T_3215, _T_3207) @[Cat.scala 29:58] + node _T_3217 = cat(_T_3216, _T_3199) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3191) @[Cat.scala 29:58] + node _T_3219 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3220 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3221 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3222 = or(_T_3220, _T_3221) @[lsu_bus_buffer.scala 423:110] + node _T_3223 = eq(_T_3222, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3224 = and(_T_3219, _T_3223) @[lsu_bus_buffer.scala 423:82] + node _T_3225 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3226 = and(_T_3224, _T_3225) @[lsu_bus_buffer.scala 423:145] + node _T_3227 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3228 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3229 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3230 = or(_T_3228, _T_3229) @[lsu_bus_buffer.scala 423:110] + node _T_3231 = eq(_T_3230, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3232 = and(_T_3227, _T_3231) @[lsu_bus_buffer.scala 423:82] + node _T_3233 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3234 = and(_T_3232, _T_3233) @[lsu_bus_buffer.scala 423:145] + node _T_3235 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3236 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3237 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3238 = or(_T_3236, _T_3237) @[lsu_bus_buffer.scala 423:110] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3240 = and(_T_3235, _T_3239) @[lsu_bus_buffer.scala 423:82] + node _T_3241 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3242 = and(_T_3240, _T_3241) @[lsu_bus_buffer.scala 423:145] + node _T_3243 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3244 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3245 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3246 = or(_T_3244, _T_3245) @[lsu_bus_buffer.scala 423:110] + node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3248 = and(_T_3243, _T_3247) @[lsu_bus_buffer.scala 423:82] + node _T_3249 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3250 = and(_T_3248, _T_3249) @[lsu_bus_buffer.scala 423:145] + node _T_3251 = cat(_T_3250, _T_3242) @[Cat.scala 29:58] + node _T_3252 = cat(_T_3251, _T_3234) @[Cat.scala 29:58] + node _T_3253 = cat(_T_3252, _T_3226) @[Cat.scala 29:58] + node _T_3254 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3255 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3256 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3257 = or(_T_3255, _T_3256) @[lsu_bus_buffer.scala 423:110] + node _T_3258 = eq(_T_3257, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3259 = and(_T_3254, _T_3258) @[lsu_bus_buffer.scala 423:82] + node _T_3260 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3261 = and(_T_3259, _T_3260) @[lsu_bus_buffer.scala 423:145] + node _T_3262 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3263 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3264 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3265 = or(_T_3263, _T_3264) @[lsu_bus_buffer.scala 423:110] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3267 = and(_T_3262, _T_3266) @[lsu_bus_buffer.scala 423:82] + node _T_3268 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3269 = and(_T_3267, _T_3268) @[lsu_bus_buffer.scala 423:145] + node _T_3270 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3271 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3272 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3273 = or(_T_3271, _T_3272) @[lsu_bus_buffer.scala 423:110] + node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3275 = and(_T_3270, _T_3274) @[lsu_bus_buffer.scala 423:82] + node _T_3276 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3277 = and(_T_3275, _T_3276) @[lsu_bus_buffer.scala 423:145] + node _T_3278 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3279 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3280 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3281 = or(_T_3279, _T_3280) @[lsu_bus_buffer.scala 423:110] + node _T_3282 = eq(_T_3281, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3283 = and(_T_3278, _T_3282) @[lsu_bus_buffer.scala 423:82] + node _T_3284 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3285 = and(_T_3283, _T_3284) @[lsu_bus_buffer.scala 423:145] + node _T_3286 = cat(_T_3285, _T_3277) @[Cat.scala 29:58] + node _T_3287 = cat(_T_3286, _T_3269) @[Cat.scala 29:58] + node _T_3288 = cat(_T_3287, _T_3261) @[Cat.scala 29:58] + node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3292 = or(_T_3290, _T_3291) @[lsu_bus_buffer.scala 423:110] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3294 = and(_T_3289, _T_3293) @[lsu_bus_buffer.scala 423:82] + node _T_3295 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3296 = and(_T_3294, _T_3295) @[lsu_bus_buffer.scala 423:145] + node _T_3297 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3298 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3299 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3300 = or(_T_3298, _T_3299) @[lsu_bus_buffer.scala 423:110] + node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3302 = and(_T_3297, _T_3301) @[lsu_bus_buffer.scala 423:82] + node _T_3303 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3304 = and(_T_3302, _T_3303) @[lsu_bus_buffer.scala 423:145] + node _T_3305 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3306 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3307 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3308 = or(_T_3306, _T_3307) @[lsu_bus_buffer.scala 423:110] + node _T_3309 = eq(_T_3308, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3310 = and(_T_3305, _T_3309) @[lsu_bus_buffer.scala 423:82] + node _T_3311 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3312 = and(_T_3310, _T_3311) @[lsu_bus_buffer.scala 423:145] + node _T_3313 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 423:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 423:82] + node _T_3319 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3320 = and(_T_3318, _T_3319) @[lsu_bus_buffer.scala 423:145] + node _T_3321 = cat(_T_3320, _T_3312) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3304) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3296) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3218 @[lsu_bus_buffer.scala 423:14] + buf_rspage[1] <= _T_3253 @[lsu_bus_buffer.scala 423:14] + buf_rspage[2] <= _T_3288 @[lsu_bus_buffer.scala 423:14] + buf_rspage[3] <= _T_3323 @[lsu_bus_buffer.scala 423:14] + node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:75] + node _T_3325 = and(ibuf_drain_vld, _T_3324) @[lsu_bus_buffer.scala 428:63] + node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:75] + node _T_3327 = and(ibuf_drain_vld, _T_3326) @[lsu_bus_buffer.scala 428:63] + node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 428:63] + node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 428:63] + node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] + node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] + node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3334 @[lsu_bus_buffer.scala 428:21] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:64] + node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:46] + node _T_3339 = and(_T_3337, _T_3338) @[lsu_bus_buffer.scala 430:35] + node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[lsu_bus_buffer.scala 430:8] + node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[lsu_bus_buffer.scala 429:46] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:64] + node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:46] + node _T_3348 = and(_T_3346, _T_3347) @[lsu_bus_buffer.scala 430:35] + node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[lsu_bus_buffer.scala 430:8] + node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[lsu_bus_buffer.scala 429:46] + node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:64] + node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:46] + node _T_3357 = and(_T_3355, _T_3356) @[lsu_bus_buffer.scala 430:35] + node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[lsu_bus_buffer.scala 430:8] + node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[lsu_bus_buffer.scala 429:46] + node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:64] + node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:46] + node _T_3366 = and(_T_3364, _T_3365) @[lsu_bus_buffer.scala 430:35] + node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[lsu_bus_buffer.scala 430:8] + node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[lsu_bus_buffer.scala 429:46] + buf_byteen_in[0] <= _T_3343 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[1] <= _T_3352 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[2] <= _T_3361 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[3] <= _T_3370 @[lsu_bus_buffer.scala 429:17] + node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:62] + node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:119] + node _T_3374 = and(_T_3372, _T_3373) @[lsu_bus_buffer.scala 431:108] + node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[lsu_bus_buffer.scala 431:44] + node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:62] + node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:119] + node _T_3380 = and(_T_3378, _T_3379) @[lsu_bus_buffer.scala 431:108] + node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[lsu_bus_buffer.scala 431:44] + node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:62] + node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:119] + node _T_3386 = and(_T_3384, _T_3385) @[lsu_bus_buffer.scala 431:108] + node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[lsu_bus_buffer.scala 431:44] + node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:62] + node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:119] + node _T_3392 = and(_T_3390, _T_3391) @[lsu_bus_buffer.scala 431:108] + node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[lsu_bus_buffer.scala 431:44] + buf_addr_in[0] <= _T_3376 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[1] <= _T_3382 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[2] <= _T_3388 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[3] <= _T_3394 @[lsu_bus_buffer.scala 431:15] + node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:63] + node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:63] + node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] + node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] + node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] + buf_dual_in <= _T_3405 @[lsu_bus_buffer.scala 432:15] + node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] + node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] + node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] + buf_samedw_in <= _T_3416 @[lsu_bus_buffer.scala 433:17] + node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:66] + node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:66] + node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:66] + node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:66] + node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] + node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3431 @[lsu_bus_buffer.scala 434:18] + node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 435:118] + node _T_3435 = and(_T_3433, _T_3434) @[lsu_bus_buffer.scala 435:107] + node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[lsu_bus_buffer.scala 435:47] + node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 435:118] + node _T_3440 = and(_T_3438, _T_3439) @[lsu_bus_buffer.scala 435:107] + node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[lsu_bus_buffer.scala 435:47] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 435:118] + node _T_3445 = and(_T_3443, _T_3444) @[lsu_bus_buffer.scala 435:107] + node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[lsu_bus_buffer.scala 435:47] + node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 435:118] + node _T_3450 = and(_T_3448, _T_3449) @[lsu_bus_buffer.scala 435:107] + node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[lsu_bus_buffer.scala 435:47] + node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3454 @[lsu_bus_buffer.scala 435:17] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 436:125] + node _T_3458 = and(_T_3456, _T_3457) @[lsu_bus_buffer.scala 436:114] + node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[lsu_bus_buffer.scala 436:47] + node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 436:125] + node _T_3464 = and(_T_3462, _T_3463) @[lsu_bus_buffer.scala 436:114] + node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[lsu_bus_buffer.scala 436:47] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 436:125] + node _T_3470 = and(_T_3468, _T_3469) @[lsu_bus_buffer.scala 436:114] + node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[lsu_bus_buffer.scala 436:47] + node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 436:125] + node _T_3476 = and(_T_3474, _T_3475) @[lsu_bus_buffer.scala 436:114] + node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[lsu_bus_buffer.scala 436:47] + buf_dualtag_in[0] <= _T_3460 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[1] <= _T_3466 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[2] <= _T_3472 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[3] <= _T_3478 @[lsu_bus_buffer.scala 436:18] + node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:69] + node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:69] + node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] + node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] + node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3489 @[lsu_bus_buffer.scala 437:21] + node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] + node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] + node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] + node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] + node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] + buf_unsign_in <= _T_3500 @[lsu_bus_buffer.scala 438:17] + node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:60] + node _T_3502 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[lsu_bus_buffer.scala 439:42] + node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:60] + node _T_3505 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[lsu_bus_buffer.scala 439:42] + node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:60] + node _T_3508 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[lsu_bus_buffer.scala 439:42] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:60] + node _T_3511 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[lsu_bus_buffer.scala 439:42] + buf_sz_in[0] <= _T_3503 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[1] <= _T_3506 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[2] <= _T_3509 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[3] <= _T_3512 @[lsu_bus_buffer.scala 439:13] + node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:64] + node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:64] + node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] + node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] + node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] + buf_write_in <= _T_3523 @[lsu_bus_buffer.scala 440:16] + node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3524 : @[Conditional.scala 40:58] + node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[0] <= _T_3526 @[lsu_bus_buffer.scala 445:25] + node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3530 = and(_T_3528, _T_3529) @[lsu_bus_buffer.scala 446:95] + node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3532 = and(_T_3530, _T_3531) @[lsu_bus_buffer.scala 446:112] + node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3535 = and(_T_3533, _T_3534) @[lsu_bus_buffer.scala 446:161] + node _T_3536 = or(_T_3532, _T_3535) @[lsu_bus_buffer.scala 446:132] + node _T_3537 = and(_T_3527, _T_3536) @[lsu_bus_buffer.scala 446:63] + node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3539 = and(ibuf_drain_vld, _T_3538) @[lsu_bus_buffer.scala 446:201] + node _T_3540 = or(_T_3537, _T_3539) @[lsu_bus_buffer.scala 446:183] + buf_state_en[0] <= _T_3540 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 447:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 448:24] + node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3542 = and(ibuf_drain_vld, _T_3541) @[lsu_bus_buffer.scala 449:47] + node _T_3543 = bits(_T_3542, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3544 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3545 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[lsu_bus_buffer.scala 449:30] + buf_data_in[0] <= _T_3546 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3547 : @[Conditional.scala 39:67] + node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3549 @[lsu_bus_buffer.scala 453:25] + node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[0] <= _T_3550 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3553 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3555 = and(_T_3553, _T_3554) @[lsu_bus_buffer.scala 458:104] + node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[0] <= _T_3557 @[lsu_bus_buffer.scala 458:25] + node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:48] + node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:104] + node _T_3560 = and(obuf_merge, _T_3559) @[lsu_bus_buffer.scala 459:91] + node _T_3561 = or(_T_3558, _T_3560) @[lsu_bus_buffer.scala 459:77] + node _T_3562 = and(_T_3561, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3563 = and(_T_3562, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[0] <= _T_3563 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 460:29] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[0] <= _T_3565 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3566 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 463:56] + node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3568 = and(buf_state_en[0], _T_3567) @[lsu_bus_buffer.scala 463:44] + node _T_3569 = and(_T_3568, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3571 = and(_T_3569, _T_3570) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[0] <= _T_3571 @[lsu_bus_buffer.scala 463:25] + node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[0] <= _T_3572 @[lsu_bus_buffer.scala 464:28] + node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3574 = and(_T_3573, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3575 = and(_T_3574, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[0] <= _T_3575 @[lsu_bus_buffer.scala 465:24] + node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3577 = and(_T_3576, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3578 = and(_T_3577, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] + node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3580 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[lsu_bus_buffer.scala 467:73] + node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[lsu_bus_buffer.scala 467:30] + buf_data_in[0] <= _T_3584 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:67] + node _T_3587 = and(_T_3586, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3588 = or(io.dec_tlu_force_halt, _T_3587) @[lsu_bus_buffer.scala 470:55] + node _T_3589 = bits(_T_3588, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3590 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3591 = and(buf_dual[0], _T_3590) @[lsu_bus_buffer.scala 471:28] + node _T_3592 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] + node _T_3593 = eq(_T_3592, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3594 = and(_T_3591, _T_3593) @[lsu_bus_buffer.scala 471:45] + node _T_3595 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3596 = and(_T_3594, _T_3595) @[lsu_bus_buffer.scala 471:61] + node _T_3597 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] + node _T_3598 = or(_T_3597, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3599 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3600 = and(buf_dual[0], _T_3599) @[lsu_bus_buffer.scala 472:68] + node _T_3601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] + node _T_3602 = eq(_T_3601, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3603 = and(_T_3600, _T_3602) @[lsu_bus_buffer.scala 472:85] + node _T_3604 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3606 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3607 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3608 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3609 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3610 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3611 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3612 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3613 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3614 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3615 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3616 = or(_T_3612, _T_3613) @[Mux.scala 27:72] + node _T_3617 = or(_T_3616, _T_3614) @[Mux.scala 27:72] + node _T_3618 = or(_T_3617, _T_3615) @[Mux.scala 27:72] + wire _T_3619 : UInt<1> @[Mux.scala 27:72] + _T_3619 <= _T_3618 @[Mux.scala 27:72] + node _T_3620 = and(_T_3603, _T_3619) @[lsu_bus_buffer.scala 472:101] + node _T_3621 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3622 = and(_T_3620, _T_3621) @[lsu_bus_buffer.scala 472:138] + node _T_3623 = and(_T_3622, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3624 = or(_T_3598, _T_3623) @[lsu_bus_buffer.scala 472:53] + node _T_3625 = mux(_T_3624, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3626 = mux(_T_3596, UInt<3>("h04"), _T_3625) @[lsu_bus_buffer.scala 471:14] + node _T_3627 = mux(_T_3589, UInt<3>("h00"), _T_3626) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[0] <= _T_3627 @[lsu_bus_buffer.scala 470:25] + node _T_3628 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] + node _T_3629 = and(bus_rsp_write, _T_3628) @[lsu_bus_buffer.scala 473:52] + node _T_3630 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] + node _T_3631 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] + node _T_3632 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] + node _T_3633 = and(_T_3631, _T_3632) @[lsu_bus_buffer.scala 475:27] + node _T_3634 = or(_T_3630, _T_3633) @[lsu_bus_buffer.scala 474:77] + node _T_3635 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] + node _T_3636 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] + node _T_3637 = not(_T_3636) @[lsu_bus_buffer.scala 476:44] + node _T_3638 = and(_T_3635, _T_3637) @[lsu_bus_buffer.scala 476:42] + node _T_3639 = and(_T_3638, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] + node _T_3640 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 476:74] + node _T_3642 = or(_T_3634, _T_3641) @[lsu_bus_buffer.scala 475:71] + node _T_3643 = and(bus_rsp_read, _T_3642) @[lsu_bus_buffer.scala 474:25] + node _T_3644 = or(_T_3629, _T_3643) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[0] <= _T_3644 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 477:29] + node _T_3645 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3646 = or(_T_3645, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[0] <= _T_3646 @[lsu_bus_buffer.scala 478:25] + node _T_3647 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3648 = and(_T_3647, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[0] <= _T_3648 @[lsu_bus_buffer.scala 479:24] + node _T_3649 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3650 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] + node _T_3651 = and(bus_rsp_read_error, _T_3650) @[lsu_bus_buffer.scala 480:91] + node _T_3652 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] + node _T_3653 = and(bus_rsp_read_error, _T_3652) @[lsu_bus_buffer.scala 481:31] + node _T_3654 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] + node _T_3655 = and(_T_3653, _T_3654) @[lsu_bus_buffer.scala 481:46] + node _T_3656 = or(_T_3651, _T_3655) @[lsu_bus_buffer.scala 480:143] + node _T_3657 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] + node _T_3658 = and(bus_rsp_write_error, _T_3657) @[lsu_bus_buffer.scala 482:33] + node _T_3659 = or(_T_3656, _T_3658) @[lsu_bus_buffer.scala 481:88] + node _T_3660 = and(_T_3649, _T_3659) @[lsu_bus_buffer.scala 480:68] + buf_error_en[0] <= _T_3660 @[lsu_bus_buffer.scala 480:25] + node _T_3661 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3662 = and(buf_state_en[0], _T_3661) @[lsu_bus_buffer.scala 483:48] + node _T_3663 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3664 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3665 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3666 = mux(_T_3663, _T_3664, _T_3665) @[lsu_bus_buffer.scala 483:72] + node _T_3667 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3668 = mux(_T_3662, _T_3666, _T_3667) @[lsu_bus_buffer.scala 483:30] + buf_data_in[0] <= _T_3668 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3669 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3669 : @[Conditional.scala 39:67] + node _T_3670 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3671 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 487:86] + node _T_3672 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 487:101] + node _T_3673 = bits(_T_3672, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3674 = or(_T_3671, _T_3673) @[lsu_bus_buffer.scala 487:90] + node _T_3675 = or(_T_3674, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3677 = mux(_T_3670, UInt<3>("h00"), _T_3676) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3677 @[lsu_bus_buffer.scala 487:25] + node _T_3678 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:66] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:21] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 489:58] + node _T_3682 = and(_T_3680, _T_3681) @[lsu_bus_buffer.scala 489:38] + node _T_3683 = or(_T_3678, _T_3682) @[lsu_bus_buffer.scala 488:95] + node _T_3684 = and(bus_rsp_read, _T_3683) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[0] <= _T_3684 @[lsu_bus_buffer.scala 488:29] + node _T_3685 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3686 = or(_T_3685, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[0] <= _T_3686 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3687 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3687 : @[Conditional.scala 39:67] + node _T_3688 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3689 = mux(_T_3688, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3689 @[lsu_bus_buffer.scala 494:25] + node _T_3690 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 495:37] + node _T_3691 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3692 = and(buf_dual[0], _T_3691) @[lsu_bus_buffer.scala 495:80] + node _T_3693 = or(_T_3690, _T_3692) @[lsu_bus_buffer.scala 495:65] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3695 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3695 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_3696 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3697 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3696 : @[Reg.scala 28:19] + _T_3697 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3697 @[lsu_bus_buffer.scala 507:18] + reg _T_3698 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3698 <= buf_age_in_0 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[0] <= _T_3698 @[lsu_bus_buffer.scala 508:17] + reg _T_3699 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3699 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[0] <= _T_3699 @[lsu_bus_buffer.scala 509:20] + node _T_3700 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3700 : @[Reg.scala 28:19] + _T_3701 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3701 @[lsu_bus_buffer.scala 510:20] + node _T_3702 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 511:74] + node _T_3703 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3704 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= _T_3702 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3704 @[lsu_bus_buffer.scala 511:17] + node _T_3705 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 512:78] + node _T_3706 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3706 : @[Reg.scala 28:19] + _T_3707 <= _T_3705 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3707 @[lsu_bus_buffer.scala 512:19] + node _T_3708 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 513:80] + node _T_3709 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3709 : @[Reg.scala 28:19] + _T_3710 <= _T_3708 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3710 @[lsu_bus_buffer.scala 513:20] + node _T_3711 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 514:78] + node _T_3712 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3712 : @[Reg.scala 28:19] + _T_3713 <= _T_3711 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3713 @[lsu_bus_buffer.scala 514:19] + node _T_3714 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3714 : @[Conditional.scala 40:58] + node _T_3715 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3716 = mux(_T_3715, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[1] <= _T_3716 @[lsu_bus_buffer.scala 445:25] + node _T_3717 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3718 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3719 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3720 = and(_T_3718, _T_3719) @[lsu_bus_buffer.scala 446:95] + node _T_3721 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3722 = and(_T_3720, _T_3721) @[lsu_bus_buffer.scala 446:112] + node _T_3723 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3724 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3725 = and(_T_3723, _T_3724) @[lsu_bus_buffer.scala 446:161] + node _T_3726 = or(_T_3722, _T_3725) @[lsu_bus_buffer.scala 446:132] + node _T_3727 = and(_T_3717, _T_3726) @[lsu_bus_buffer.scala 446:63] + node _T_3728 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3729 = and(ibuf_drain_vld, _T_3728) @[lsu_bus_buffer.scala 446:201] + node _T_3730 = or(_T_3727, _T_3729) @[lsu_bus_buffer.scala 446:183] + buf_state_en[1] <= _T_3730 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 447:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 448:24] + node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3732 = and(ibuf_drain_vld, _T_3731) @[lsu_bus_buffer.scala 449:47] + node _T_3733 = bits(_T_3732, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3734 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3735 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3736 = mux(_T_3733, _T_3734, _T_3735) @[lsu_bus_buffer.scala 449:30] + buf_data_in[1] <= _T_3736 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3737 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3737 : @[Conditional.scala 39:67] + node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3739 @[lsu_bus_buffer.scala 453:25] + node _T_3740 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[1] <= _T_3740 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3741 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3741 : @[Conditional.scala 39:67] + node _T_3742 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3743 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3744 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3745 = and(_T_3743, _T_3744) @[lsu_bus_buffer.scala 458:104] + node _T_3746 = mux(_T_3745, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3747 = mux(_T_3742, UInt<3>("h00"), _T_3746) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 458:25] + node _T_3748 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:48] + node _T_3749 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:104] + node _T_3750 = and(obuf_merge, _T_3749) @[lsu_bus_buffer.scala 459:91] + node _T_3751 = or(_T_3748, _T_3750) @[lsu_bus_buffer.scala 459:77] + node _T_3752 = and(_T_3751, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3753 = and(_T_3752, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[1] <= _T_3753 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 460:29] + node _T_3754 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3755 = or(_T_3754, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3756 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 463:56] + node _T_3757 = eq(_T_3756, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3758 = and(buf_state_en[1], _T_3757) @[lsu_bus_buffer.scala 463:44] + node _T_3759 = and(_T_3758, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3760 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3761 = and(_T_3759, _T_3760) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[1] <= _T_3761 @[lsu_bus_buffer.scala 463:25] + node _T_3762 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[1] <= _T_3762 @[lsu_bus_buffer.scala 464:28] + node _T_3763 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3764 = and(_T_3763, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3765 = and(_T_3764, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[1] <= _T_3765 @[lsu_bus_buffer.scala 465:24] + node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3768 = and(_T_3767, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[1] <= _T_3768 @[lsu_bus_buffer.scala 466:25] + node _T_3769 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3770 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3771 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3773 = mux(_T_3770, _T_3771, _T_3772) @[lsu_bus_buffer.scala 467:73] + node _T_3774 = mux(buf_error_en[1], _T_3769, _T_3773) @[lsu_bus_buffer.scala 467:30] + buf_data_in[1] <= _T_3774 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3775 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3775 : @[Conditional.scala 39:67] + node _T_3776 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:67] + node _T_3777 = and(_T_3776, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3778 = or(io.dec_tlu_force_halt, _T_3777) @[lsu_bus_buffer.scala 470:55] + node _T_3779 = bits(_T_3778, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3780 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3781 = and(buf_dual[1], _T_3780) @[lsu_bus_buffer.scala 471:28] + node _T_3782 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] + node _T_3783 = eq(_T_3782, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3784 = and(_T_3781, _T_3783) @[lsu_bus_buffer.scala 471:45] + node _T_3785 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 471:61] + node _T_3787 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] + node _T_3788 = or(_T_3787, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 472:68] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 472:85] + node _T_3794 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3795 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3796 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3797 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3798 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3799 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3800 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3801 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3802 = mux(_T_3794, _T_3795, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3803 = mux(_T_3796, _T_3797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3804 = mux(_T_3798, _T_3799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3805 = mux(_T_3800, _T_3801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3806 = or(_T_3802, _T_3803) @[Mux.scala 27:72] + node _T_3807 = or(_T_3806, _T_3804) @[Mux.scala 27:72] + node _T_3808 = or(_T_3807, _T_3805) @[Mux.scala 27:72] + wire _T_3809 : UInt<1> @[Mux.scala 27:72] + _T_3809 <= _T_3808 @[Mux.scala 27:72] + node _T_3810 = and(_T_3793, _T_3809) @[lsu_bus_buffer.scala 472:101] + node _T_3811 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3812 = and(_T_3810, _T_3811) @[lsu_bus_buffer.scala 472:138] + node _T_3813 = and(_T_3812, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3814 = or(_T_3788, _T_3813) @[lsu_bus_buffer.scala 472:53] + node _T_3815 = mux(_T_3814, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3816 = mux(_T_3786, UInt<3>("h04"), _T_3815) @[lsu_bus_buffer.scala 471:14] + node _T_3817 = mux(_T_3779, UInt<3>("h00"), _T_3816) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[1] <= _T_3817 @[lsu_bus_buffer.scala 470:25] + node _T_3818 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] + node _T_3819 = and(bus_rsp_write, _T_3818) @[lsu_bus_buffer.scala 473:52] + node _T_3820 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] + node _T_3821 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] + node _T_3822 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] + node _T_3823 = and(_T_3821, _T_3822) @[lsu_bus_buffer.scala 475:27] + node _T_3824 = or(_T_3820, _T_3823) @[lsu_bus_buffer.scala 474:77] + node _T_3825 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] + node _T_3826 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] + node _T_3827 = not(_T_3826) @[lsu_bus_buffer.scala 476:44] + node _T_3828 = and(_T_3825, _T_3827) @[lsu_bus_buffer.scala 476:42] + node _T_3829 = and(_T_3828, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] + node _T_3830 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] + node _T_3831 = and(_T_3829, _T_3830) @[lsu_bus_buffer.scala 476:74] + node _T_3832 = or(_T_3824, _T_3831) @[lsu_bus_buffer.scala 475:71] + node _T_3833 = and(bus_rsp_read, _T_3832) @[lsu_bus_buffer.scala 474:25] + node _T_3834 = or(_T_3819, _T_3833) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[1] <= _T_3834 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 477:29] + node _T_3835 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3836 = or(_T_3835, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[1] <= _T_3836 @[lsu_bus_buffer.scala 478:25] + node _T_3837 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3838 = and(_T_3837, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[1] <= _T_3838 @[lsu_bus_buffer.scala 479:24] + node _T_3839 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3840 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] + node _T_3841 = and(bus_rsp_read_error, _T_3840) @[lsu_bus_buffer.scala 480:91] + node _T_3842 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] + node _T_3843 = and(bus_rsp_read_error, _T_3842) @[lsu_bus_buffer.scala 481:31] + node _T_3844 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] + node _T_3845 = and(_T_3843, _T_3844) @[lsu_bus_buffer.scala 481:46] + node _T_3846 = or(_T_3841, _T_3845) @[lsu_bus_buffer.scala 480:143] + node _T_3847 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] + node _T_3848 = and(bus_rsp_write_error, _T_3847) @[lsu_bus_buffer.scala 482:33] + node _T_3849 = or(_T_3846, _T_3848) @[lsu_bus_buffer.scala 481:88] + node _T_3850 = and(_T_3839, _T_3849) @[lsu_bus_buffer.scala 480:68] + buf_error_en[1] <= _T_3850 @[lsu_bus_buffer.scala 480:25] + node _T_3851 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3852 = and(buf_state_en[1], _T_3851) @[lsu_bus_buffer.scala 483:48] + node _T_3853 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3854 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3856 = mux(_T_3853, _T_3854, _T_3855) @[lsu_bus_buffer.scala 483:72] + node _T_3857 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3858 = mux(_T_3852, _T_3856, _T_3857) @[lsu_bus_buffer.scala 483:30] + buf_data_in[1] <= _T_3858 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3859 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3859 : @[Conditional.scala 39:67] + node _T_3860 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3861 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 487:86] + node _T_3862 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 487:101] + node _T_3863 = bits(_T_3862, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3864 = or(_T_3861, _T_3863) @[lsu_bus_buffer.scala 487:90] + node _T_3865 = or(_T_3864, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3866 = mux(_T_3865, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3867 = mux(_T_3860, UInt<3>("h00"), _T_3866) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3867 @[lsu_bus_buffer.scala 487:25] + node _T_3868 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:66] + node _T_3869 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:21] + node _T_3870 = bits(_T_3869, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3871 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 489:58] + node _T_3872 = and(_T_3870, _T_3871) @[lsu_bus_buffer.scala 489:38] + node _T_3873 = or(_T_3868, _T_3872) @[lsu_bus_buffer.scala 488:95] + node _T_3874 = and(bus_rsp_read, _T_3873) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[1] <= _T_3874 @[lsu_bus_buffer.scala 488:29] + node _T_3875 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3876 = or(_T_3875, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3877 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3877 : @[Conditional.scala 39:67] + node _T_3878 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3879 = mux(_T_3878, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3879 @[lsu_bus_buffer.scala 494:25] + node _T_3880 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 495:37] + node _T_3881 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3882 = and(buf_dual[1], _T_3881) @[lsu_bus_buffer.scala 495:80] + node _T_3883 = or(_T_3880, _T_3882) @[lsu_bus_buffer.scala 495:65] + node _T_3884 = or(_T_3883, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[1] <= _T_3884 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3885 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3885 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_3886 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3886 : @[Reg.scala 28:19] + _T_3887 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3887 @[lsu_bus_buffer.scala 507:18] + reg _T_3888 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3888 <= buf_age_in_1 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[1] <= _T_3888 @[lsu_bus_buffer.scala 508:17] + reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3889 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[1] <= _T_3889 @[lsu_bus_buffer.scala 509:20] + node _T_3890 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3891 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3890 : @[Reg.scala 28:19] + _T_3891 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3891 @[lsu_bus_buffer.scala 510:20] + node _T_3892 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 511:74] + node _T_3893 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3894 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3893 : @[Reg.scala 28:19] + _T_3894 <= _T_3892 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3894 @[lsu_bus_buffer.scala 511:17] + node _T_3895 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 512:78] + node _T_3896 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3897 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= _T_3895 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3897 @[lsu_bus_buffer.scala 512:19] + node _T_3898 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 513:80] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3899 : @[Reg.scala 28:19] + _T_3900 <= _T_3898 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] + node _T_3901 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 514:78] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3902 : @[Reg.scala 28:19] + _T_3903 <= _T_3901 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3903 @[lsu_bus_buffer.scala 514:19] + node _T_3904 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3904 : @[Conditional.scala 40:58] + node _T_3905 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3906 = mux(_T_3905, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[2] <= _T_3906 @[lsu_bus_buffer.scala 445:25] + node _T_3907 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3908 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3909 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3910 = and(_T_3908, _T_3909) @[lsu_bus_buffer.scala 446:95] + node _T_3911 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3912 = and(_T_3910, _T_3911) @[lsu_bus_buffer.scala 446:112] + node _T_3913 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3914 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3915 = and(_T_3913, _T_3914) @[lsu_bus_buffer.scala 446:161] + node _T_3916 = or(_T_3912, _T_3915) @[lsu_bus_buffer.scala 446:132] + node _T_3917 = and(_T_3907, _T_3916) @[lsu_bus_buffer.scala 446:63] + node _T_3918 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3919 = and(ibuf_drain_vld, _T_3918) @[lsu_bus_buffer.scala 446:201] + node _T_3920 = or(_T_3917, _T_3919) @[lsu_bus_buffer.scala 446:183] + buf_state_en[2] <= _T_3920 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 447:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 448:24] + node _T_3921 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3922 = and(ibuf_drain_vld, _T_3921) @[lsu_bus_buffer.scala 449:47] + node _T_3923 = bits(_T_3922, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3924 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3925 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3926 = mux(_T_3923, _T_3924, _T_3925) @[lsu_bus_buffer.scala 449:30] + buf_data_in[2] <= _T_3926 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3927 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3927 : @[Conditional.scala 39:67] + node _T_3928 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3929 = mux(_T_3928, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3929 @[lsu_bus_buffer.scala 453:25] + node _T_3930 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3931 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3931 : @[Conditional.scala 39:67] + node _T_3932 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3933 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3934 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3935 = and(_T_3933, _T_3934) @[lsu_bus_buffer.scala 458:104] + node _T_3936 = mux(_T_3935, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3937 = mux(_T_3932, UInt<3>("h00"), _T_3936) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[2] <= _T_3937 @[lsu_bus_buffer.scala 458:25] + node _T_3938 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:48] + node _T_3939 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:104] + node _T_3940 = and(obuf_merge, _T_3939) @[lsu_bus_buffer.scala 459:91] + node _T_3941 = or(_T_3938, _T_3940) @[lsu_bus_buffer.scala 459:77] + node _T_3942 = and(_T_3941, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3943 = and(_T_3942, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[2] <= _T_3943 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 460:29] + node _T_3944 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3945 = or(_T_3944, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[2] <= _T_3945 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3946 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 463:56] + node _T_3947 = eq(_T_3946, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3948 = and(buf_state_en[2], _T_3947) @[lsu_bus_buffer.scala 463:44] + node _T_3949 = and(_T_3948, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3950 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3951 = and(_T_3949, _T_3950) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[2] <= _T_3951 @[lsu_bus_buffer.scala 463:25] + node _T_3952 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[2] <= _T_3952 @[lsu_bus_buffer.scala 464:28] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3954 = and(_T_3953, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3955 = and(_T_3954, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[2] <= _T_3955 @[lsu_bus_buffer.scala 465:24] + node _T_3956 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3957 = and(_T_3956, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3958 = and(_T_3957, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[2] <= _T_3958 @[lsu_bus_buffer.scala 466:25] + node _T_3959 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3960 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3961 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3962 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3963 = mux(_T_3960, _T_3961, _T_3962) @[lsu_bus_buffer.scala 467:73] + node _T_3964 = mux(buf_error_en[2], _T_3959, _T_3963) @[lsu_bus_buffer.scala 467:30] + buf_data_in[2] <= _T_3964 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3965 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3965 : @[Conditional.scala 39:67] + node _T_3966 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:67] + node _T_3967 = and(_T_3966, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[lsu_bus_buffer.scala 470:55] + node _T_3969 = bits(_T_3968, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3971 = and(buf_dual[2], _T_3970) @[lsu_bus_buffer.scala 471:28] + node _T_3972 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] + node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3974 = and(_T_3971, _T_3973) @[lsu_bus_buffer.scala 471:45] + node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3976 = and(_T_3974, _T_3975) @[lsu_bus_buffer.scala 471:61] + node _T_3977 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] + node _T_3978 = or(_T_3977, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3980 = and(buf_dual[2], _T_3979) @[lsu_bus_buffer.scala 472:68] + node _T_3981 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] + node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3983 = and(_T_3980, _T_3982) @[lsu_bus_buffer.scala 472:85] + node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3985 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3987 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3991 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] + node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] + node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] + wire _T_3999 : UInt<1> @[Mux.scala 27:72] + _T_3999 <= _T_3998 @[Mux.scala 27:72] + node _T_4000 = and(_T_3983, _T_3999) @[lsu_bus_buffer.scala 472:101] + node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4002 = and(_T_4000, _T_4001) @[lsu_bus_buffer.scala 472:138] + node _T_4003 = and(_T_4002, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4004 = or(_T_3978, _T_4003) @[lsu_bus_buffer.scala 472:53] + node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[lsu_bus_buffer.scala 471:14] + node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[2] <= _T_4007 @[lsu_bus_buffer.scala 470:25] + node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] + node _T_4009 = and(bus_rsp_write, _T_4008) @[lsu_bus_buffer.scala 473:52] + node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] + node _T_4011 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] + node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] + node _T_4013 = and(_T_4011, _T_4012) @[lsu_bus_buffer.scala 475:27] + node _T_4014 = or(_T_4010, _T_4013) @[lsu_bus_buffer.scala 474:77] + node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] + node _T_4016 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] + node _T_4017 = not(_T_4016) @[lsu_bus_buffer.scala 476:44] + node _T_4018 = and(_T_4015, _T_4017) @[lsu_bus_buffer.scala 476:42] + node _T_4019 = and(_T_4018, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] + node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] + node _T_4021 = and(_T_4019, _T_4020) @[lsu_bus_buffer.scala 476:74] + node _T_4022 = or(_T_4014, _T_4021) @[lsu_bus_buffer.scala 475:71] + node _T_4023 = and(bus_rsp_read, _T_4022) @[lsu_bus_buffer.scala 474:25] + node _T_4024 = or(_T_4009, _T_4023) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[2] <= _T_4024 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 477:29] + node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[2] <= _T_4026 @[lsu_bus_buffer.scala 478:25] + node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[2] <= _T_4028 @[lsu_bus_buffer.scala 479:24] + node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] + node _T_4031 = and(bus_rsp_read_error, _T_4030) @[lsu_bus_buffer.scala 480:91] + node _T_4032 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] + node _T_4033 = and(bus_rsp_read_error, _T_4032) @[lsu_bus_buffer.scala 481:31] + node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] + node _T_4035 = and(_T_4033, _T_4034) @[lsu_bus_buffer.scala 481:46] + node _T_4036 = or(_T_4031, _T_4035) @[lsu_bus_buffer.scala 480:143] + node _T_4037 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] + node _T_4038 = and(bus_rsp_write_error, _T_4037) @[lsu_bus_buffer.scala 482:33] + node _T_4039 = or(_T_4036, _T_4038) @[lsu_bus_buffer.scala 481:88] + node _T_4040 = and(_T_4029, _T_4039) @[lsu_bus_buffer.scala 480:68] + buf_error_en[2] <= _T_4040 @[lsu_bus_buffer.scala 480:25] + node _T_4041 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4042 = and(buf_state_en[2], _T_4041) @[lsu_bus_buffer.scala 483:48] + node _T_4043 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4044 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4045 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4046 = mux(_T_4043, _T_4044, _T_4045) @[lsu_bus_buffer.scala 483:72] + node _T_4047 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4048 = mux(_T_4042, _T_4046, _T_4047) @[lsu_bus_buffer.scala 483:30] + buf_data_in[2] <= _T_4048 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4049 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4049 : @[Conditional.scala 39:67] + node _T_4050 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4051 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 487:86] + node _T_4052 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 487:101] + node _T_4053 = bits(_T_4052, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4054 = or(_T_4051, _T_4053) @[lsu_bus_buffer.scala 487:90] + node _T_4055 = or(_T_4054, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4056 = mux(_T_4055, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4057 = mux(_T_4050, UInt<3>("h00"), _T_4056) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4057 @[lsu_bus_buffer.scala 487:25] + node _T_4058 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:66] + node _T_4059 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:21] + node _T_4060 = bits(_T_4059, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 489:58] + node _T_4062 = and(_T_4060, _T_4061) @[lsu_bus_buffer.scala 489:38] + node _T_4063 = or(_T_4058, _T_4062) @[lsu_bus_buffer.scala 488:95] + node _T_4064 = and(bus_rsp_read, _T_4063) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[2] <= _T_4064 @[lsu_bus_buffer.scala 488:29] + node _T_4065 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4066 = or(_T_4065, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[2] <= _T_4066 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4067 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4067 : @[Conditional.scala 39:67] + node _T_4068 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4069 = mux(_T_4068, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_4069 @[lsu_bus_buffer.scala 494:25] + node _T_4070 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 495:37] + node _T_4071 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4072 = and(buf_dual[2], _T_4071) @[lsu_bus_buffer.scala 495:80] + node _T_4073 = or(_T_4070, _T_4072) @[lsu_bus_buffer.scala 495:65] + node _T_4074 = or(_T_4073, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[2] <= _T_4074 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4075 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4075 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_4076 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4077 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4076 : @[Reg.scala 28:19] + _T_4077 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4077 @[lsu_bus_buffer.scala 507:18] + reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4078 <= buf_age_in_2 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[2] <= _T_4078 @[lsu_bus_buffer.scala 508:17] + reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4079 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[2] <= _T_4079 @[lsu_bus_buffer.scala 509:20] + node _T_4080 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4081 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4080 : @[Reg.scala 28:19] + _T_4081 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4081 @[lsu_bus_buffer.scala 510:20] + node _T_4082 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 511:74] + node _T_4083 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4084 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4083 : @[Reg.scala 28:19] + _T_4084 <= _T_4082 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4084 @[lsu_bus_buffer.scala 511:17] + node _T_4085 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 512:78] + node _T_4086 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4087 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4086 : @[Reg.scala 28:19] + _T_4087 <= _T_4085 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4087 @[lsu_bus_buffer.scala 512:19] + node _T_4088 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 513:80] + node _T_4089 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4090 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= _T_4088 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4090 @[lsu_bus_buffer.scala 513:20] + node _T_4091 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 514:78] + node _T_4092 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4092 : @[Reg.scala 28:19] + _T_4093 <= _T_4091 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4093 @[lsu_bus_buffer.scala 514:19] + node _T_4094 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4094 : @[Conditional.scala 40:58] + node _T_4095 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_4096 = mux(_T_4095, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[3] <= _T_4096 @[lsu_bus_buffer.scala 445:25] + node _T_4097 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_4098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_4099 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_4100 = and(_T_4098, _T_4099) @[lsu_bus_buffer.scala 446:95] + node _T_4101 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_4102 = and(_T_4100, _T_4101) @[lsu_bus_buffer.scala 446:112] + node _T_4103 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_4104 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_4105 = and(_T_4103, _T_4104) @[lsu_bus_buffer.scala 446:161] + node _T_4106 = or(_T_4102, _T_4105) @[lsu_bus_buffer.scala 446:132] + node _T_4107 = and(_T_4097, _T_4106) @[lsu_bus_buffer.scala 446:63] + node _T_4108 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_4109 = and(ibuf_drain_vld, _T_4108) @[lsu_bus_buffer.scala 446:201] + node _T_4110 = or(_T_4107, _T_4109) @[lsu_bus_buffer.scala 446:183] + buf_state_en[3] <= _T_4110 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 447:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 448:24] + node _T_4111 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_4112 = and(ibuf_drain_vld, _T_4111) @[lsu_bus_buffer.scala 449:47] + node _T_4113 = bits(_T_4112, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_4114 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_4115 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_4116 = mux(_T_4113, _T_4114, _T_4115) @[lsu_bus_buffer.scala 449:30] + buf_data_in[3] <= _T_4116 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4117 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4117 : @[Conditional.scala 39:67] + node _T_4118 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4119 = mux(_T_4118, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4119 @[lsu_bus_buffer.scala 453:25] + node _T_4120 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4121 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4121 : @[Conditional.scala 39:67] + node _T_4122 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_4123 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_4124 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_4125 = and(_T_4123, _T_4124) @[lsu_bus_buffer.scala 458:104] + node _T_4126 = mux(_T_4125, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_4127 = mux(_T_4122, UInt<3>("h00"), _T_4126) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[3] <= _T_4127 @[lsu_bus_buffer.scala 458:25] + node _T_4128 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:48] + node _T_4129 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:104] + node _T_4130 = and(obuf_merge, _T_4129) @[lsu_bus_buffer.scala 459:91] + node _T_4131 = or(_T_4128, _T_4130) @[lsu_bus_buffer.scala 459:77] + node _T_4132 = and(_T_4131, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_4133 = and(_T_4132, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[3] <= _T_4133 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 460:29] + node _T_4134 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_4135 = or(_T_4134, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[3] <= _T_4135 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_4136 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 463:56] + node _T_4137 = eq(_T_4136, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_4138 = and(buf_state_en[3], _T_4137) @[lsu_bus_buffer.scala 463:44] + node _T_4139 = and(_T_4138, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_4140 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_4141 = and(_T_4139, _T_4140) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[3] <= _T_4141 @[lsu_bus_buffer.scala 463:25] + node _T_4142 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[3] <= _T_4142 @[lsu_bus_buffer.scala 464:28] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_4144 = and(_T_4143, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_4145 = and(_T_4144, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[3] <= _T_4145 @[lsu_bus_buffer.scala 465:24] + node _T_4146 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_4147 = and(_T_4146, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_4148 = and(_T_4147, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[3] <= _T_4148 @[lsu_bus_buffer.scala 466:25] + node _T_4149 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_4150 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_4151 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_4152 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_4153 = mux(_T_4150, _T_4151, _T_4152) @[lsu_bus_buffer.scala 467:73] + node _T_4154 = mux(buf_error_en[3], _T_4149, _T_4153) @[lsu_bus_buffer.scala 467:30] + buf_data_in[3] <= _T_4154 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4155 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4155 : @[Conditional.scala 39:67] + node _T_4156 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:67] + node _T_4157 = and(_T_4156, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_4158 = or(io.dec_tlu_force_halt, _T_4157) @[lsu_bus_buffer.scala 470:55] + node _T_4159 = bits(_T_4158, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_4160 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_4161 = and(buf_dual[3], _T_4160) @[lsu_bus_buffer.scala 471:28] + node _T_4162 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] + node _T_4163 = eq(_T_4162, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_4164 = and(_T_4161, _T_4163) @[lsu_bus_buffer.scala 471:45] + node _T_4165 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_4166 = and(_T_4164, _T_4165) @[lsu_bus_buffer.scala 471:61] + node _T_4167 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] + node _T_4168 = or(_T_4167, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_4169 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_4170 = and(buf_dual[3], _T_4169) @[lsu_bus_buffer.scala 472:68] + node _T_4171 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] + node _T_4172 = eq(_T_4171, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_4173 = and(_T_4170, _T_4172) @[lsu_bus_buffer.scala 472:85] + node _T_4174 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4175 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4176 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4177 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4178 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4179 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4180 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4181 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4182 = mux(_T_4174, _T_4175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4183 = mux(_T_4176, _T_4177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4184 = mux(_T_4178, _T_4179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4185 = mux(_T_4180, _T_4181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4186 = or(_T_4182, _T_4183) @[Mux.scala 27:72] + node _T_4187 = or(_T_4186, _T_4184) @[Mux.scala 27:72] + node _T_4188 = or(_T_4187, _T_4185) @[Mux.scala 27:72] + wire _T_4189 : UInt<1> @[Mux.scala 27:72] + _T_4189 <= _T_4188 @[Mux.scala 27:72] + node _T_4190 = and(_T_4173, _T_4189) @[lsu_bus_buffer.scala 472:101] + node _T_4191 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4192 = and(_T_4190, _T_4191) @[lsu_bus_buffer.scala 472:138] + node _T_4193 = and(_T_4192, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4194 = or(_T_4168, _T_4193) @[lsu_bus_buffer.scala 472:53] + node _T_4195 = mux(_T_4194, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4196 = mux(_T_4166, UInt<3>("h04"), _T_4195) @[lsu_bus_buffer.scala 471:14] + node _T_4197 = mux(_T_4159, UInt<3>("h00"), _T_4196) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[3] <= _T_4197 @[lsu_bus_buffer.scala 470:25] + node _T_4198 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] + node _T_4199 = and(bus_rsp_write, _T_4198) @[lsu_bus_buffer.scala 473:52] + node _T_4200 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] + node _T_4201 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] + node _T_4202 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 475:27] + node _T_4204 = or(_T_4200, _T_4203) @[lsu_bus_buffer.scala 474:77] + node _T_4205 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] + node _T_4206 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] + node _T_4207 = not(_T_4206) @[lsu_bus_buffer.scala 476:44] + node _T_4208 = and(_T_4205, _T_4207) @[lsu_bus_buffer.scala 476:42] + node _T_4209 = and(_T_4208, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] + node _T_4210 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] + node _T_4211 = and(_T_4209, _T_4210) @[lsu_bus_buffer.scala 476:74] + node _T_4212 = or(_T_4204, _T_4211) @[lsu_bus_buffer.scala 475:71] + node _T_4213 = and(bus_rsp_read, _T_4212) @[lsu_bus_buffer.scala 474:25] + node _T_4214 = or(_T_4199, _T_4213) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[3] <= _T_4214 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 477:29] + node _T_4215 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4216 = or(_T_4215, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[3] <= _T_4216 @[lsu_bus_buffer.scala 478:25] + node _T_4217 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4218 = and(_T_4217, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[3] <= _T_4218 @[lsu_bus_buffer.scala 479:24] + node _T_4219 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4220 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] + node _T_4221 = and(bus_rsp_read_error, _T_4220) @[lsu_bus_buffer.scala 480:91] + node _T_4222 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] + node _T_4223 = and(bus_rsp_read_error, _T_4222) @[lsu_bus_buffer.scala 481:31] + node _T_4224 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] + node _T_4225 = and(_T_4223, _T_4224) @[lsu_bus_buffer.scala 481:46] + node _T_4226 = or(_T_4221, _T_4225) @[lsu_bus_buffer.scala 480:143] + node _T_4227 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] + node _T_4228 = and(bus_rsp_write_error, _T_4227) @[lsu_bus_buffer.scala 482:33] + node _T_4229 = or(_T_4226, _T_4228) @[lsu_bus_buffer.scala 481:88] + node _T_4230 = and(_T_4219, _T_4229) @[lsu_bus_buffer.scala 480:68] + buf_error_en[3] <= _T_4230 @[lsu_bus_buffer.scala 480:25] + node _T_4231 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4232 = and(buf_state_en[3], _T_4231) @[lsu_bus_buffer.scala 483:48] + node _T_4233 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4234 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4235 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4236 = mux(_T_4233, _T_4234, _T_4235) @[lsu_bus_buffer.scala 483:72] + node _T_4237 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4238 = mux(_T_4232, _T_4236, _T_4237) @[lsu_bus_buffer.scala 483:30] + buf_data_in[3] <= _T_4238 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4239 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4239 : @[Conditional.scala 39:67] + node _T_4240 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4241 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 487:86] + node _T_4242 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 487:101] + node _T_4243 = bits(_T_4242, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4244 = or(_T_4241, _T_4243) @[lsu_bus_buffer.scala 487:90] + node _T_4245 = or(_T_4244, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4246 = mux(_T_4245, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4247 = mux(_T_4240, UInt<3>("h00"), _T_4246) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4247 @[lsu_bus_buffer.scala 487:25] + node _T_4248 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:66] + node _T_4249 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:21] + node _T_4250 = bits(_T_4249, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 489:58] + node _T_4252 = and(_T_4250, _T_4251) @[lsu_bus_buffer.scala 489:38] + node _T_4253 = or(_T_4248, _T_4252) @[lsu_bus_buffer.scala 488:95] + node _T_4254 = and(bus_rsp_read, _T_4253) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[3] <= _T_4254 @[lsu_bus_buffer.scala 488:29] + node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4256 = or(_T_4255, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[3] <= _T_4256 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4257 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4257 : @[Conditional.scala 39:67] + node _T_4258 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4259 = mux(_T_4258, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4259 @[lsu_bus_buffer.scala 494:25] + node _T_4260 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 495:37] + node _T_4261 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4262 = and(buf_dual[3], _T_4261) @[lsu_bus_buffer.scala 495:80] + node _T_4263 = or(_T_4260, _T_4262) @[lsu_bus_buffer.scala 495:65] + node _T_4264 = or(_T_4263, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[3] <= _T_4264 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4265 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4265 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_4266 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4267 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4266 : @[Reg.scala 28:19] + _T_4267 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4267 @[lsu_bus_buffer.scala 507:18] + reg _T_4268 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4268 <= buf_age_in_3 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[3] <= _T_4268 @[lsu_bus_buffer.scala 508:17] + reg _T_4269 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4269 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[3] <= _T_4269 @[lsu_bus_buffer.scala 509:20] + node _T_4270 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4270 : @[Reg.scala 28:19] + _T_4271 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4271 @[lsu_bus_buffer.scala 510:20] + node _T_4272 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 511:74] + node _T_4273 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4274 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= _T_4272 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4274 @[lsu_bus_buffer.scala 511:17] + node _T_4275 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 512:78] + node _T_4276 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4277 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4276 : @[Reg.scala 28:19] + _T_4277 <= _T_4275 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4277 @[lsu_bus_buffer.scala 512:19] + node _T_4278 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 513:80] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4280 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4279 : @[Reg.scala 28:19] + _T_4280 <= _T_4278 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4280 @[lsu_bus_buffer.scala 513:20] + node _T_4281 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 514:78] + node _T_4282 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4283 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= _T_4281 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4283 @[lsu_bus_buffer.scala 514:19] + node _T_4284 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4284 : @[Reg.scala 28:19] + _T_4285 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4286 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4288 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4288 : @[Reg.scala 28:19] + _T_4289 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4290 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4290 : @[Reg.scala 28:19] + _T_4291 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4292 = cat(_T_4291, _T_4289) @[Cat.scala 29:58] + node _T_4293 = cat(_T_4292, _T_4287) @[Cat.scala 29:58] + node _T_4294 = cat(_T_4293, _T_4285) @[Cat.scala 29:58] + buf_ldfwd <= _T_4294 @[lsu_bus_buffer.scala 517:13] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4297 : @[Reg.scala 28:19] + _T_4298 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4299 : @[Reg.scala 28:19] + _T_4300 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4301 : @[Reg.scala 28:19] + _T_4302 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4296 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[1] <= _T_4298 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[2] <= _T_4300 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[3] <= _T_4302 @[lsu_bus_buffer.scala 518:16] + node _T_4303 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 519:105] + node _T_4304 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= _T_4303 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 519:105] + node _T_4307 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= _T_4306 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4309 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 519:105] + node _T_4310 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4310 : @[Reg.scala 28:19] + _T_4311 <= _T_4309 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4312 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 519:105] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= _T_4312 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = cat(_T_4314, _T_4311) @[Cat.scala 29:58] + node _T_4316 = cat(_T_4315, _T_4308) @[Cat.scala 29:58] + node _T_4317 = cat(_T_4316, _T_4305) @[Cat.scala 29:58] + buf_sideeffect <= _T_4317 @[lsu_bus_buffer.scala 519:18] + node _T_4318 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 520:97] + node _T_4319 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= _T_4318 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4321 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 520:97] + node _T_4322 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4322 : @[Reg.scala 28:19] + _T_4323 <= _T_4321 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4324 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 520:97] + node _T_4325 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= _T_4324 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4327 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 520:97] + node _T_4328 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4329 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4328 : @[Reg.scala 28:19] + _T_4329 <= _T_4327 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4330 = cat(_T_4329, _T_4326) @[Cat.scala 29:58] + node _T_4331 = cat(_T_4330, _T_4323) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4320) @[Cat.scala 29:58] + buf_unsign <= _T_4332 @[lsu_bus_buffer.scala 520:14] + node _T_4333 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 521:95] + node _T_4334 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= _T_4333 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4336 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 521:95] + node _T_4337 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= _T_4336 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4339 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 521:95] + node _T_4340 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4340 : @[Reg.scala 28:19] + _T_4341 <= _T_4339 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4342 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 521:95] + node _T_4343 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4344 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4343 : @[Reg.scala 28:19] + _T_4344 <= _T_4342 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4345 = cat(_T_4344, _T_4341) @[Cat.scala 29:58] + node _T_4346 = cat(_T_4345, _T_4338) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4335) @[Cat.scala 29:58] + buf_write <= _T_4347 @[lsu_bus_buffer.scala 521:13] + node _T_4348 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4349 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4348 : @[Reg.scala 28:19] + _T_4349 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4350 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4351 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4352 : @[Reg.scala 28:19] + _T_4353 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4354 : @[Reg.scala 28:19] + _T_4355 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4349 @[lsu_bus_buffer.scala 522:10] + buf_sz[1] <= _T_4351 @[lsu_bus_buffer.scala 522:10] + buf_sz[2] <= _T_4353 @[lsu_bus_buffer.scala 522:10] + buf_sz[3] <= _T_4355 @[lsu_bus_buffer.scala 522:10] + node _T_4356 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_4 of rvclkhdr_36 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4356 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4357 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4357 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4358 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_5 of rvclkhdr_37 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4358 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4359 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4359 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4360 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_6 of rvclkhdr_38 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4360 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4361 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4361 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4362 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_7 of rvclkhdr_39 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4362 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4363 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4363 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4357 @[lsu_bus_buffer.scala 523:12] + buf_addr[1] <= _T_4359 @[lsu_bus_buffer.scala 523:12] + buf_addr[2] <= _T_4361 @[lsu_bus_buffer.scala 523:12] + buf_addr[3] <= _T_4363 @[lsu_bus_buffer.scala 523:12] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4365 @[lsu_bus_buffer.scala 524:14] + buf_byteen[1] <= _T_4367 @[lsu_bus_buffer.scala 524:14] + buf_byteen[2] <= _T_4369 @[lsu_bus_buffer.scala 524:14] + buf_byteen[3] <= _T_4371 @[lsu_bus_buffer.scala 524:14] + inst rvclkhdr_8 of rvclkhdr_40 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4372 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4372 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_41 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_42 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4374 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4374 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_43 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4372 @[lsu_bus_buffer.scala 525:12] + buf_data[1] <= _T_4373 @[lsu_bus_buffer.scala 525:12] + buf_data[2] <= _T_4374 @[lsu_bus_buffer.scala 525:12] + buf_data[3] <= _T_4375 @[lsu_bus_buffer.scala 525:12] + node _T_4376 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 526:119] + node _T_4377 = mux(buf_error_en[0], UInt<1>("h01"), _T_4376) @[lsu_bus_buffer.scala 526:84] + node _T_4378 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4379 = and(_T_4377, _T_4378) @[lsu_bus_buffer.scala 526:124] + reg _T_4380 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4380 <= _T_4379 @[lsu_bus_buffer.scala 526:80] + node _T_4381 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 526:119] + node _T_4382 = mux(buf_error_en[1], UInt<1>("h01"), _T_4381) @[lsu_bus_buffer.scala 526:84] + node _T_4383 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4384 = and(_T_4382, _T_4383) @[lsu_bus_buffer.scala 526:124] + reg _T_4385 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4385 <= _T_4384 @[lsu_bus_buffer.scala 526:80] + node _T_4386 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 526:119] + node _T_4387 = mux(buf_error_en[2], UInt<1>("h01"), _T_4386) @[lsu_bus_buffer.scala 526:84] + node _T_4388 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4389 = and(_T_4387, _T_4388) @[lsu_bus_buffer.scala 526:124] + reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4390 <= _T_4389 @[lsu_bus_buffer.scala 526:80] + node _T_4391 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 526:119] + node _T_4392 = mux(buf_error_en[3], UInt<1>("h01"), _T_4391) @[lsu_bus_buffer.scala 526:84] + node _T_4393 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4394 = and(_T_4392, _T_4393) @[lsu_bus_buffer.scala 526:124] + reg _T_4395 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4395 <= _T_4394 @[lsu_bus_buffer.scala 526:80] + node _T_4396 = cat(_T_4395, _T_4390) @[Cat.scala 29:58] + node _T_4397 = cat(_T_4396, _T_4385) @[Cat.scala 29:58] + node _T_4398 = cat(_T_4397, _T_4380) @[Cat.scala 29:58] + buf_error <= _T_4398 @[lsu_bus_buffer.scala 526:13] + node _T_4399 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4400 = mux(io.ldst_dual_m, _T_4399, io.lsu_busreq_m) @[lsu_bus_buffer.scala 528:28] + node _T_4401 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4402 = mux(io.ldst_dual_r, _T_4401, io.lsu_busreq_r) @[lsu_bus_buffer.scala 528:94] + node _T_4403 = add(_T_4400, _T_4402) @[lsu_bus_buffer.scala 528:88] + node _T_4404 = add(_T_4403, ibuf_valid) @[lsu_bus_buffer.scala 528:154] + node _T_4405 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4406 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4407 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4408 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4409 = add(_T_4405, _T_4406) @[lsu_bus_buffer.scala 528:217] + node _T_4410 = add(_T_4409, _T_4407) @[lsu_bus_buffer.scala 528:217] + node _T_4411 = add(_T_4410, _T_4408) @[lsu_bus_buffer.scala 528:217] + node _T_4412 = add(_T_4404, _T_4411) @[lsu_bus_buffer.scala 528:169] + node buf_numvld_any = tail(_T_4412, 1) @[lsu_bus_buffer.scala 528:169] + node _T_4413 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 529:60] + node _T_4414 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4415 = and(_T_4413, _T_4414) @[lsu_bus_buffer.scala 529:64] + node _T_4416 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4417 = and(_T_4415, _T_4416) @[lsu_bus_buffer.scala 529:89] + node _T_4418 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 529:60] + node _T_4419 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4420 = and(_T_4418, _T_4419) @[lsu_bus_buffer.scala 529:64] + node _T_4421 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 529:89] + node _T_4423 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 529:60] + node _T_4424 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4425 = and(_T_4423, _T_4424) @[lsu_bus_buffer.scala 529:64] + node _T_4426 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 529:89] + node _T_4428 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 529:60] + node _T_4429 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4430 = and(_T_4428, _T_4429) @[lsu_bus_buffer.scala 529:64] + node _T_4431 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 529:89] + node _T_4433 = add(_T_4432, _T_4427) @[lsu_bus_buffer.scala 529:142] + node _T_4434 = add(_T_4433, _T_4422) @[lsu_bus_buffer.scala 529:142] + node _T_4435 = add(_T_4434, _T_4417) @[lsu_bus_buffer.scala 529:142] + buf_numvld_wrcmd_any <= _T_4435 @[lsu_bus_buffer.scala 529:24] + node _T_4436 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4437 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 530:73] + node _T_4439 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4440 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 530:73] + node _T_4442 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4443 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4444 = and(_T_4442, _T_4443) @[lsu_bus_buffer.scala 530:73] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4446 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4447 = and(_T_4445, _T_4446) @[lsu_bus_buffer.scala 530:73] + node _T_4448 = add(_T_4447, _T_4444) @[lsu_bus_buffer.scala 530:126] + node _T_4449 = add(_T_4448, _T_4441) @[lsu_bus_buffer.scala 530:126] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 530:126] + buf_numvld_cmd_any <= _T_4450 @[lsu_bus_buffer.scala 530:22] + node _T_4451 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 531:100] + node _T_4455 = or(_T_4451, _T_4454) @[lsu_bus_buffer.scala 531:74] + node _T_4456 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4457 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4459 = and(_T_4457, _T_4458) @[lsu_bus_buffer.scala 531:100] + node _T_4460 = or(_T_4456, _T_4459) @[lsu_bus_buffer.scala 531:74] + node _T_4461 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4462 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4464 = and(_T_4462, _T_4463) @[lsu_bus_buffer.scala 531:100] + node _T_4465 = or(_T_4461, _T_4464) @[lsu_bus_buffer.scala 531:74] + node _T_4466 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4467 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4469 = and(_T_4467, _T_4468) @[lsu_bus_buffer.scala 531:100] + node _T_4470 = or(_T_4466, _T_4469) @[lsu_bus_buffer.scala 531:74] + node _T_4471 = add(_T_4470, _T_4465) @[lsu_bus_buffer.scala 531:154] + node _T_4472 = add(_T_4471, _T_4460) @[lsu_bus_buffer.scala 531:154] + node _T_4473 = add(_T_4472, _T_4455) @[lsu_bus_buffer.scala 531:154] + buf_numvld_pend_any <= _T_4473 @[lsu_bus_buffer.scala 531:23] + node _T_4474 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4475 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4476 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4477 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4478 = or(_T_4477, _T_4476) @[lsu_bus_buffer.scala 532:93] + node _T_4479 = or(_T_4478, _T_4475) @[lsu_bus_buffer.scala 532:93] + node _T_4480 = or(_T_4479, _T_4474) @[lsu_bus_buffer.scala 532:93] + any_done_wait_state <= _T_4480 @[lsu_bus_buffer.scala 532:23] + node _T_4481 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 533:53] + io.lsu_bus_buffer_pend_any <= _T_4481 @[lsu_bus_buffer.scala 533:30] + node _T_4482 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 534:52] + node _T_4483 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 534:92] + node _T_4484 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 534:121] + node _T_4485 = mux(_T_4482, _T_4483, _T_4484) @[lsu_bus_buffer.scala 534:36] + io.lsu_bus_buffer_full_any <= _T_4485 @[lsu_bus_buffer.scala 534:30] + node _T_4486 = orr(buf_state[0]) @[lsu_bus_buffer.scala 535:52] + node _T_4487 = orr(buf_state[1]) @[lsu_bus_buffer.scala 535:52] + node _T_4488 = orr(buf_state[2]) @[lsu_bus_buffer.scala 535:52] + node _T_4489 = orr(buf_state[3]) @[lsu_bus_buffer.scala 535:52] + node _T_4490 = or(_T_4486, _T_4487) @[lsu_bus_buffer.scala 535:65] + node _T_4491 = or(_T_4490, _T_4488) @[lsu_bus_buffer.scala 535:65] + node _T_4492 = or(_T_4491, _T_4489) @[lsu_bus_buffer.scala 535:65] + node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:34] + node _T_4494 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:72] + node _T_4495 = and(_T_4493, _T_4494) @[lsu_bus_buffer.scala 535:70] + node _T_4496 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:86] + node _T_4497 = and(_T_4495, _T_4496) @[lsu_bus_buffer.scala 535:84] + io.lsu_bus_buffer_empty_any <= _T_4497 @[lsu_bus_buffer.scala 535:31] + node _T_4498 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 537:64] + node _T_4499 = and(_T_4498, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 537:85] + node _T_4500 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:112] + node _T_4501 = and(_T_4499, _T_4500) @[lsu_bus_buffer.scala 537:110] + node _T_4502 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:129] + node _T_4503 = and(_T_4501, _T_4502) @[lsu_bus_buffer.scala 537:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4503 @[lsu_bus_buffer.scala 537:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 538:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4504 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:74] + node _T_4505 = and(lsu_nonblock_load_valid_r, _T_4504) @[lsu_bus_buffer.scala 540:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4505 @[lsu_bus_buffer.scala 540:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 541:47] + node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4507 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:106] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4510 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:106] + node _T_4511 = eq(_T_4510, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4512 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4513 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:106] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4515 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4516 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:106] + node _T_4517 = eq(_T_4516, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4518 = mux(_T_4506, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4519 = mux(_T_4509, _T_4511, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4520 = mux(_T_4512, _T_4514, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4521 = mux(_T_4515, _T_4517, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4522 = or(_T_4518, _T_4519) @[Mux.scala 27:72] + node _T_4523 = or(_T_4522, _T_4520) @[Mux.scala 27:72] + node _T_4524 = or(_T_4523, _T_4521) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4524 @[Mux.scala 27:72] + node _T_4525 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4526 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 543:117] + node _T_4527 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 543:133] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4529 = and(_T_4526, _T_4528) @[lsu_bus_buffer.scala 543:121] + node _T_4530 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4531 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 543:117] + node _T_4532 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 543:133] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4534 = and(_T_4531, _T_4533) @[lsu_bus_buffer.scala 543:121] + node _T_4535 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4536 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 543:117] + node _T_4537 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 543:133] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4539 = and(_T_4536, _T_4538) @[lsu_bus_buffer.scala 543:121] + node _T_4540 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4541 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 543:117] + node _T_4542 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 543:133] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4544 = and(_T_4541, _T_4543) @[lsu_bus_buffer.scala 543:121] + node _T_4545 = mux(_T_4525, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4546 = mux(_T_4530, _T_4534, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4547 = mux(_T_4535, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4548 = mux(_T_4540, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = or(_T_4545, _T_4546) @[Mux.scala 27:72] + node _T_4550 = or(_T_4549, _T_4547) @[Mux.scala 27:72] + node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] + wire _T_4552 : UInt<1> @[Mux.scala 27:72] + _T_4552 <= _T_4551 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4552 @[lsu_bus_buffer.scala 543:48] + node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4554 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:115] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4556 = and(_T_4553, _T_4555) @[lsu_bus_buffer.scala 544:103] + node _T_4557 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4558 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4559 = or(_T_4557, _T_4558) @[lsu_bus_buffer.scala 544:135] + node _T_4560 = and(_T_4556, _T_4559) @[lsu_bus_buffer.scala 544:119] + node _T_4561 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4562 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:115] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 544:103] + node _T_4565 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4566 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4567 = or(_T_4565, _T_4566) @[lsu_bus_buffer.scala 544:135] + node _T_4568 = and(_T_4564, _T_4567) @[lsu_bus_buffer.scala 544:119] + node _T_4569 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4570 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:115] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4572 = and(_T_4569, _T_4571) @[lsu_bus_buffer.scala 544:103] + node _T_4573 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4574 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4575 = or(_T_4573, _T_4574) @[lsu_bus_buffer.scala 544:135] + node _T_4576 = and(_T_4572, _T_4575) @[lsu_bus_buffer.scala 544:119] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4578 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:115] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4580 = and(_T_4577, _T_4579) @[lsu_bus_buffer.scala 544:103] + node _T_4581 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4582 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4583 = or(_T_4581, _T_4582) @[lsu_bus_buffer.scala 544:135] + node _T_4584 = and(_T_4580, _T_4583) @[lsu_bus_buffer.scala 544:119] + node _T_4585 = mux(_T_4560, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4586 = mux(_T_4568, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = mux(_T_4576, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4584, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = or(_T_4585, _T_4586) @[Mux.scala 27:72] + node _T_4590 = or(_T_4589, _T_4587) @[Mux.scala 27:72] + node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] + wire _T_4592 : UInt<2> @[Mux.scala 27:72] + _T_4592 <= _T_4591 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4592 @[lsu_bus_buffer.scala 544:46] + node _T_4593 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4594 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:101] + node _T_4595 = eq(_T_4594, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4596 = and(_T_4593, _T_4595) @[lsu_bus_buffer.scala 545:89] + node _T_4597 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4598 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4599 = or(_T_4597, _T_4598) @[lsu_bus_buffer.scala 545:121] + node _T_4600 = and(_T_4596, _T_4599) @[lsu_bus_buffer.scala 545:105] + node _T_4601 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4602 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:101] + node _T_4603 = eq(_T_4602, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4604 = and(_T_4601, _T_4603) @[lsu_bus_buffer.scala 545:89] + node _T_4605 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4606 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4607 = or(_T_4605, _T_4606) @[lsu_bus_buffer.scala 545:121] + node _T_4608 = and(_T_4604, _T_4607) @[lsu_bus_buffer.scala 545:105] + node _T_4609 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4610 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:101] + node _T_4611 = eq(_T_4610, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4612 = and(_T_4609, _T_4611) @[lsu_bus_buffer.scala 545:89] + node _T_4613 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4614 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4615 = or(_T_4613, _T_4614) @[lsu_bus_buffer.scala 545:121] + node _T_4616 = and(_T_4612, _T_4615) @[lsu_bus_buffer.scala 545:105] + node _T_4617 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4618 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:101] + node _T_4619 = eq(_T_4618, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4620 = and(_T_4617, _T_4619) @[lsu_bus_buffer.scala 545:89] + node _T_4621 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4622 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4623 = or(_T_4621, _T_4622) @[lsu_bus_buffer.scala 545:121] + node _T_4624 = and(_T_4620, _T_4623) @[lsu_bus_buffer.scala 545:105] + node _T_4625 = mux(_T_4600, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4626 = mux(_T_4608, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4627 = mux(_T_4616, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4628 = mux(_T_4624, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4629 = or(_T_4625, _T_4626) @[Mux.scala 27:72] + node _T_4630 = or(_T_4629, _T_4627) @[Mux.scala 27:72] + node _T_4631 = or(_T_4630, _T_4628) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4631 @[Mux.scala 27:72] + node _T_4632 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4633 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:101] + node _T_4634 = eq(_T_4633, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4635 = and(_T_4632, _T_4634) @[lsu_bus_buffer.scala 546:89] + node _T_4636 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 546:120] + node _T_4637 = and(_T_4635, _T_4636) @[lsu_bus_buffer.scala 546:105] + node _T_4638 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4639 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:101] + node _T_4640 = eq(_T_4639, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4641 = and(_T_4638, _T_4640) @[lsu_bus_buffer.scala 546:89] + node _T_4642 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 546:120] + node _T_4643 = and(_T_4641, _T_4642) @[lsu_bus_buffer.scala 546:105] + node _T_4644 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4645 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:101] + node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4647 = and(_T_4644, _T_4646) @[lsu_bus_buffer.scala 546:89] + node _T_4648 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 546:120] + node _T_4649 = and(_T_4647, _T_4648) @[lsu_bus_buffer.scala 546:105] + node _T_4650 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4651 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:101] + node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4653 = and(_T_4650, _T_4652) @[lsu_bus_buffer.scala 546:89] + node _T_4654 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 546:120] + node _T_4655 = and(_T_4653, _T_4654) @[lsu_bus_buffer.scala 546:105] + node _T_4656 = mux(_T_4637, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4657 = mux(_T_4643, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4658 = mux(_T_4649, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4659 = mux(_T_4655, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4660 = or(_T_4656, _T_4657) @[Mux.scala 27:72] + node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] + node _T_4662 = or(_T_4661, _T_4659) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4662 @[Mux.scala 27:72] + node _T_4663 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4664 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4665 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4666 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4667 = mux(_T_4663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] + wire _T_4674 : UInt<32> @[Mux.scala 27:72] + _T_4674 <= _T_4673 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4674, 1, 0) @[lsu_bus_buffer.scala 547:96] + node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4678 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4679 = mux(_T_4675, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = mux(_T_4678, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = or(_T_4679, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + node _T_4685 = or(_T_4684, _T_4682) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4685 @[Mux.scala 27:72] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4687 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4689 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4690 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4691 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4692 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4693 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4694 = mux(_T_4686, _T_4687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4688, _T_4689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4690, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = mux(_T_4692, _T_4693, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] + node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] + node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4700 @[Mux.scala 27:72] + node _T_4701 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4702 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 551:121] + node lsu_nonblock_data_unalgn = dshr(_T_4701, _T_4702) @[lsu_bus_buffer.scala 551:92] + node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:82] + node _T_4704 = and(lsu_nonblock_load_data_ready, _T_4703) @[lsu_bus_buffer.scala 553:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4704 @[lsu_bus_buffer.scala 553:48] + node _T_4705 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:94] + node _T_4706 = and(lsu_nonblock_unsign, _T_4705) @[lsu_bus_buffer.scala 554:76] + node _T_4707 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 554:144] + node _T_4708 = cat(UInt<24>("h00"), _T_4707) @[Cat.scala 29:58] + node _T_4709 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 555:45] + node _T_4710 = and(lsu_nonblock_unsign, _T_4709) @[lsu_bus_buffer.scala 555:26] + node _T_4711 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 555:95] + node _T_4712 = cat(UInt<16>("h00"), _T_4711) @[Cat.scala 29:58] + node _T_4713 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:6] + node _T_4714 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:45] + node _T_4715 = and(_T_4713, _T_4714) @[lsu_bus_buffer.scala 556:27] + node _T_4716 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 556:93] + node _T_4717 = bits(_T_4716, 0, 0) @[Bitwise.scala 72:15] + node _T_4718 = mux(_T_4717, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4719 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:123] + node _T_4720 = cat(_T_4718, _T_4719) @[Cat.scala 29:58] + node _T_4721 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:6] + node _T_4722 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4723 = and(_T_4721, _T_4722) @[lsu_bus_buffer.scala 557:27] + node _T_4724 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 557:93] + node _T_4725 = bits(_T_4724, 0, 0) @[Bitwise.scala 72:15] + node _T_4726 = mux(_T_4725, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:124] + node _T_4728 = cat(_T_4726, _T_4727) @[Cat.scala 29:58] + node _T_4729 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 558:21] + node _T_4730 = mux(_T_4706, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4731 = mux(_T_4710, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4732 = mux(_T_4715, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4723, _T_4728, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4729, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = or(_T_4730, _T_4731) @[Mux.scala 27:72] + node _T_4736 = or(_T_4735, _T_4732) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4733) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4734) @[Mux.scala 27:72] + wire _T_4739 : UInt<64> @[Mux.scala 27:72] + _T_4739 <= _T_4738 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4739 @[lsu_bus_buffer.scala 554:42] + node _T_4740 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4741 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 559:89] + node _T_4742 = and(_T_4740, _T_4741) @[lsu_bus_buffer.scala 559:73] + node _T_4743 = and(_T_4742, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4744 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4745 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 559:89] + node _T_4746 = and(_T_4744, _T_4745) @[lsu_bus_buffer.scala 559:73] + node _T_4747 = and(_T_4746, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4748 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4749 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 559:89] + node _T_4750 = and(_T_4748, _T_4749) @[lsu_bus_buffer.scala 559:73] + node _T_4751 = and(_T_4750, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4752 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4753 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 559:89] + node _T_4754 = and(_T_4752, _T_4753) @[lsu_bus_buffer.scala 559:73] + node _T_4755 = and(_T_4754, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4756 = or(_T_4743, _T_4747) @[lsu_bus_buffer.scala 559:153] + node _T_4757 = or(_T_4756, _T_4751) @[lsu_bus_buffer.scala 559:153] + node _T_4758 = or(_T_4757, _T_4755) @[lsu_bus_buffer.scala 559:153] + node _T_4759 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 559:171] + node _T_4760 = and(_T_4759, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:189] + node _T_4761 = or(_T_4758, _T_4760) @[lsu_bus_buffer.scala 559:157] + bus_sideeffect_pend <= _T_4761 @[lsu_bus_buffer.scala 559:23] + node _T_4762 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4763 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4764 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4765 = eq(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:37] + node _T_4766 = and(obuf_valid, _T_4765) @[lsu_bus_buffer.scala 561:19] + node _T_4767 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:73] + node _T_4768 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:107] + node _T_4769 = and(obuf_merge, _T_4768) @[lsu_bus_buffer.scala 561:95] + node _T_4770 = or(_T_4767, _T_4769) @[lsu_bus_buffer.scala 561:81] + node _T_4771 = eq(_T_4770, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4772 = and(_T_4766, _T_4771) @[lsu_bus_buffer.scala 561:59] + node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4775 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 561:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 561:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 561:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 561:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 561:59] + node _T_4784 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4786 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 561:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 561:19] + node _T_4789 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:73] + node _T_4790 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 561:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 561:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 561:59] + node _T_4795 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4797 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 561:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 561:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 561:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 561:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 561:59] + node _T_4806 = mux(_T_4762, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4807 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4808 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4809 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4810 = or(_T_4806, _T_4807) @[Mux.scala 27:72] + node _T_4811 = or(_T_4810, _T_4808) @[Mux.scala 27:72] + node _T_4812 = or(_T_4811, _T_4809) @[Mux.scala 27:72] + wire _T_4813 : UInt<1> @[Mux.scala 27:72] + _T_4813 <= _T_4812 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4813 @[lsu_bus_buffer.scala 560:26] + node _T_4814 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 563:54] + node _T_4815 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 563:75] + node _T_4816 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 563:153] + node _T_4817 = mux(_T_4814, _T_4815, _T_4816) @[lsu_bus_buffer.scala 563:39] + node _T_4818 = mux(obuf_write, _T_4817, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 563:23] + bus_cmd_ready <= _T_4818 @[lsu_bus_buffer.scala 563:17] + node _T_4819 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 564:40] + bus_wcmd_sent <= _T_4819 @[lsu_bus_buffer.scala 564:17] + node _T_4820 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:40] + bus_wdata_sent <= _T_4820 @[lsu_bus_buffer.scala 565:18] + node _T_4821 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 566:35] + node _T_4822 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 566:70] + node _T_4823 = and(_T_4821, _T_4822) @[lsu_bus_buffer.scala 566:52] + node _T_4824 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 566:112] + node _T_4825 = or(_T_4823, _T_4824) @[lsu_bus_buffer.scala 566:89] + bus_cmd_sent <= _T_4825 @[lsu_bus_buffer.scala 566:16] + node _T_4826 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 567:38] + bus_rsp_read <= _T_4826 @[lsu_bus_buffer.scala 567:16] + node _T_4827 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 568:39] + bus_rsp_write <= _T_4827 @[lsu_bus_buffer.scala 568:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 569:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 570:21] + node _T_4828 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 571:66] + node _T_4829 = and(bus_rsp_write, _T_4828) @[lsu_bus_buffer.scala 571:40] + bus_rsp_write_error <= _T_4829 @[lsu_bus_buffer.scala 571:23] + node _T_4830 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:64] + node _T_4831 = and(bus_rsp_read, _T_4830) @[lsu_bus_buffer.scala 572:38] + bus_rsp_read_error <= _T_4831 @[lsu_bus_buffer.scala 572:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 573:17] + node _T_4832 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 576:37] + node _T_4833 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:52] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 576:50] + node _T_4835 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:69] + node _T_4836 = and(_T_4834, _T_4835) @[lsu_bus_buffer.scala 576:67] + io.lsu_axi.aw.valid <= _T_4836 @[lsu_bus_buffer.scala 576:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 577:25] + node _T_4837 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 578:75] + node _T_4838 = cat(_T_4837, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4839 = mux(obuf_sideeffect, obuf_addr, _T_4838) @[lsu_bus_buffer.scala 578:33] + io.lsu_axi.aw.bits.addr <= _T_4839 @[lsu_bus_buffer.scala 578:27] + node _T_4840 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4841 = mux(obuf_sideeffect, _T_4840, UInt<3>("h03")) @[lsu_bus_buffer.scala 579:33] + io.lsu_axi.aw.bits.size <= _T_4841 @[lsu_bus_buffer.scala 579:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 580:27] + node _T_4842 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 581:34] + io.lsu_axi.aw.bits.cache <= _T_4842 @[lsu_bus_buffer.scala 581:28] + node _T_4843 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.aw.bits.region <= _T_4843 @[lsu_bus_buffer.scala 582:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 583:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 584:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 586:27] + node _T_4844 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 588:36] + node _T_4845 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:51] + node _T_4846 = and(_T_4844, _T_4845) @[lsu_bus_buffer.scala 588:49] + node _T_4847 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:69] + node _T_4848 = and(_T_4846, _T_4847) @[lsu_bus_buffer.scala 588:67] + io.lsu_axi.w.valid <= _T_4848 @[lsu_bus_buffer.scala 588:22] + node _T_4849 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4850 = mux(_T_4849, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4851 = and(obuf_byteen, _T_4850) @[lsu_bus_buffer.scala 589:41] + io.lsu_axi.w.bits.strb <= _T_4851 @[lsu_bus_buffer.scala 589:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 590:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 591:26] + node _T_4852 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:39] + node _T_4853 = and(obuf_valid, _T_4852) @[lsu_bus_buffer.scala 593:37] + node _T_4854 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:53] + node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 593:51] + node _T_4856 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:68] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 593:66] + io.lsu_axi.ar.valid <= _T_4857 @[lsu_bus_buffer.scala 593:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 594:25] + node _T_4858 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 595:75] + node _T_4859 = cat(_T_4858, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4860 = mux(obuf_sideeffect, obuf_addr, _T_4859) @[lsu_bus_buffer.scala 595:33] + io.lsu_axi.ar.bits.addr <= _T_4860 @[lsu_bus_buffer.scala 595:27] + node _T_4861 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4862 = mux(obuf_sideeffect, _T_4861, UInt<3>("h03")) @[lsu_bus_buffer.scala 596:33] + io.lsu_axi.ar.bits.size <= _T_4862 @[lsu_bus_buffer.scala 596:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:27] + node _T_4863 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 598:34] + io.lsu_axi.ar.bits.cache <= _T_4863 @[lsu_bus_buffer.scala 598:28] + node _T_4864 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 599:41] + io.lsu_axi.ar.bits.region <= _T_4864 @[lsu_bus_buffer.scala 599:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 600:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 601:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 604:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:22] + node _T_4865 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4866 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 606:137] + node _T_4867 = and(io.lsu_bus_clk_en_q, _T_4866) @[lsu_bus_buffer.scala 606:126] + node _T_4868 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 606:152] + node _T_4869 = and(_T_4867, _T_4868) @[lsu_bus_buffer.scala 606:141] + node _T_4870 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4871 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 606:137] + node _T_4872 = and(io.lsu_bus_clk_en_q, _T_4871) @[lsu_bus_buffer.scala 606:126] + node _T_4873 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 606:152] + node _T_4874 = and(_T_4872, _T_4873) @[lsu_bus_buffer.scala 606:141] + node _T_4875 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4876 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 606:137] + node _T_4877 = and(io.lsu_bus_clk_en_q, _T_4876) @[lsu_bus_buffer.scala 606:126] + node _T_4878 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 606:152] + node _T_4879 = and(_T_4877, _T_4878) @[lsu_bus_buffer.scala 606:141] + node _T_4880 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4881 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 606:137] + node _T_4882 = and(io.lsu_bus_clk_en_q, _T_4881) @[lsu_bus_buffer.scala 606:126] + node _T_4883 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 606:152] + node _T_4884 = and(_T_4882, _T_4883) @[lsu_bus_buffer.scala 606:141] + node _T_4885 = mux(_T_4865, _T_4869, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4886 = mux(_T_4870, _T_4874, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4887 = mux(_T_4875, _T_4879, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4888 = mux(_T_4880, _T_4884, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4889 = or(_T_4885, _T_4886) @[Mux.scala 27:72] + node _T_4890 = or(_T_4889, _T_4887) @[Mux.scala 27:72] + node _T_4891 = or(_T_4890, _T_4888) @[Mux.scala 27:72] + wire _T_4892 : UInt<1> @[Mux.scala 27:72] + _T_4892 <= _T_4891 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4892 @[lsu_bus_buffer.scala 606:48] + node _T_4893 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4894 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 607:104] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 607:93] + node _T_4896 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 607:119] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 607:108] + node _T_4898 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4899 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 607:104] + node _T_4900 = and(_T_4898, _T_4899) @[lsu_bus_buffer.scala 607:93] + node _T_4901 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 607:119] + node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 607:108] + node _T_4903 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4904 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 607:104] + node _T_4905 = and(_T_4903, _T_4904) @[lsu_bus_buffer.scala 607:93] + node _T_4906 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 607:119] + node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 607:108] + node _T_4908 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4909 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 607:104] + node _T_4910 = and(_T_4908, _T_4909) @[lsu_bus_buffer.scala 607:93] + node _T_4911 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 607:119] + node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 607:108] + node _T_4913 = mux(_T_4897, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4914 = mux(_T_4902, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4915 = mux(_T_4907, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4912, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = or(_T_4913, _T_4914) @[Mux.scala 27:72] + node _T_4918 = or(_T_4917, _T_4915) @[Mux.scala 27:72] + node _T_4919 = or(_T_4918, _T_4916) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4919 @[Mux.scala 27:72] + node _T_4920 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 609:97] + node _T_4921 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4920) @[lsu_bus_buffer.scala 609:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4921 @[lsu_bus_buffer.scala 609:47] + node _T_4922 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 610:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4922 @[lsu_bus_buffer.scala 610:47] + node _T_4923 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 616:59] + node _T_4924 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 616:104] + node _T_4925 = or(_T_4923, _T_4924) @[lsu_bus_buffer.scala 616:82] + node _T_4926 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 616:149] + node _T_4927 = or(_T_4925, _T_4926) @[lsu_bus_buffer.scala 616:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4927 @[lsu_bus_buffer.scala 616:35] + node _T_4928 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 617:60] + node _T_4929 = and(_T_4928, io.lsu_commit_r) @[lsu_bus_buffer.scala 617:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4929 @[lsu_bus_buffer.scala 617:41] + node _T_4930 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 618:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4930 @[lsu_bus_buffer.scala 618:36] + node _T_4931 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:61] + node _T_4932 = and(io.lsu_axi.aw.valid, _T_4931) @[lsu_bus_buffer.scala 620:59] + node _T_4933 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:107] + node _T_4934 = and(io.lsu_axi.w.valid, _T_4933) @[lsu_bus_buffer.scala 620:105] + node _T_4935 = or(_T_4932, _T_4934) @[lsu_bus_buffer.scala 620:83] + node _T_4936 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:153] + node _T_4937 = and(io.lsu_axi.ar.valid, _T_4936) @[lsu_bus_buffer.scala 620:151] + node _T_4938 = or(_T_4935, _T_4937) @[lsu_bus_buffer.scala 620:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4938 @[lsu_bus_buffer.scala 620:35] + reg _T_4939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 622:49] + _T_4939 <= WrPtr0_m @[lsu_bus_buffer.scala 622:49] + WrPtr0_r <= _T_4939 @[lsu_bus_buffer.scala 622:12] + reg _T_4940 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 623:49] + _T_4940 <= WrPtr1_m @[lsu_bus_buffer.scala 623:49] + WrPtr1_r <= _T_4940 @[lsu_bus_buffer.scala 623:12] + node _T_4941 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:75] + node _T_4942 = and(io.lsu_busreq_m, _T_4941) @[lsu_bus_buffer.scala 624:73] + node _T_4943 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:89] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 624:87] + reg _T_4945 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:56] + _T_4945 <= _T_4944 @[lsu_bus_buffer.scala 624:56] + io.lsu_busreq_r <= _T_4945 @[lsu_bus_buffer.scala 624:19] + reg _T_4946 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:66] + _T_4946 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 625:66] + lsu_nonblock_load_valid_r <= _T_4946 @[lsu_bus_buffer.scala 625:29] + + module lsu_bus_intf : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip clk_override : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_bus_obuf_c1_clken : UInt<1>, flip lsu_busm_clken : UInt<1>, flip lsu_c1_r_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip active_clk : Clock, flip lsu_busm_clk : Clock, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_busreq_m : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip store_data_r : UInt<32>, flip dec_tlu_force_halt : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, bus_read_data_m : UInt<32>, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip lsu_bus_clk_en : UInt<1>} + + wire lsu_bus_clk_en_q : UInt<1> + lsu_bus_clk_en_q <= UInt<1>("h00") + wire ldst_byteen_m : UInt<4> + ldst_byteen_m <= UInt<1>("h00") + wire ldst_byteen_r : UInt<4> + ldst_byteen_r <= UInt<1>("h00") + wire ldst_byteen_ext_m : UInt<8> + ldst_byteen_ext_m <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ldst_byteen_hi_m : UInt<4> + ldst_byteen_hi_m <= UInt<1>("h00") + wire ldst_byteen_hi_r : UInt<4> + ldst_byteen_hi_r <= UInt<1>("h00") + wire ldst_byteen_lo_m : UInt<4> + ldst_byteen_lo_m <= UInt<1>("h00") + wire ldst_byteen_lo_r : UInt<4> + ldst_byteen_lo_r <= UInt<1>("h00") + wire is_sideeffects_r : UInt<1> + is_sideeffects_r <= UInt<1>("h00") + wire store_data_ext_r : UInt<64> + store_data_ext_r <= UInt<1>("h00") + wire store_data_hi_r : UInt<32> + store_data_hi_r <= UInt<1>("h00") + wire store_data_lo_r : UInt<32> + store_data_lo_r <= UInt<1>("h00") + wire addr_match_dw_lo_r_m : UInt<1> + addr_match_dw_lo_r_m <= UInt<1>("h00") + wire addr_match_word_lo_r_m : UInt<1> + addr_match_word_lo_r_m <= UInt<1>("h00") + wire no_word_merge_r : UInt<1> + no_word_merge_r <= UInt<1>("h00") + wire no_dword_merge_r : UInt<1> + no_dword_merge_r <= UInt<1>("h00") + wire ld_addr_rhit_lo_lo : UInt<1> + ld_addr_rhit_lo_lo <= UInt<1>("h00") + wire ld_addr_rhit_hi_lo : UInt<1> + ld_addr_rhit_hi_lo <= UInt<1>("h00") + wire ld_addr_rhit_lo_hi : UInt<1> + ld_addr_rhit_lo_hi <= UInt<1>("h00") + wire ld_addr_rhit_hi_hi : UInt<1> + ld_addr_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire ld_byte_hit_buf_lo : UInt<4> + ld_byte_hit_buf_lo <= UInt<1>("h00") + wire ld_byte_hit_buf_hi : UInt<4> + ld_byte_hit_buf_hi <= UInt<1>("h00") + wire ld_fwddata_buf_lo : UInt<32> + ld_fwddata_buf_lo <= UInt<1>("h00") + wire ld_fwddata_buf_hi : UInt<32> + ld_fwddata_buf_hi <= UInt<1>("h00") + wire ld_fwddata_lo : UInt<64> + ld_fwddata_lo <= UInt<1>("h00") + wire ld_fwddata_hi : UInt<64> + ld_fwddata_hi <= UInt<1>("h00") + wire ld_fwddata_m : UInt<64> + ld_fwddata_m <= UInt<1>("h00") + wire ld_full_hit_hi_m : UInt<1> + ld_full_hit_hi_m <= UInt<1>("h01") + wire ld_full_hit_lo_m : UInt<1> + ld_full_hit_lo_m <= UInt<1>("h01") + wire ld_full_hit_m : UInt<1> + ld_full_hit_m <= UInt<1>("h00") + inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 100:39] + bus_buffer.clock <= clock + bus_buffer.reset <= reset + bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 102:29] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 103:18] + bus_buffer.io.clk_override <= io.clk_override @[lsu_bus_intf.scala 104:51] + bus_buffer.io.lsu_bus_obuf_c1_clken <= io.lsu_bus_obuf_c1_clken @[lsu_bus_intf.scala 105:51] + bus_buffer.io.lsu_busm_clken <= io.lsu_busm_clken @[lsu_bus_intf.scala 106:51] + bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 107:51] + bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 108:51] + bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 109:51] + bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 110:51] + bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 111:51] + bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 112:51] + bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 113:51] + bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 114:51] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 121:51] + bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 122:51] + bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 123:51] + bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 124:51] + bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 125:51] + bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 127:51] + bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 128:51] + bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 129:51] + bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 130:51] + bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 131:51] + io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 131:51] + io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 131:51] + io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 131:51] + io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 131:51] + io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 131:51] + io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 131:51] + io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 131:51] + io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 132:51] + io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 134:38] + io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 135:38] + io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 136:38] + io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 137:38] + ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 139:38] + ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 140:38] + ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 141:38] + ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 142:38] + io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 143:19] + bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 144:51] + bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 145:51] + bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 146:51] + bus_buffer.io.ldst_dual_d <= io.ldst_dual_d @[lsu_bus_intf.scala 147:51] + bus_buffer.io.ldst_dual_m <= io.ldst_dual_m @[lsu_bus_intf.scala 148:51] + bus_buffer.io.ldst_dual_r <= io.ldst_dual_r @[lsu_bus_intf.scala 149:51] + bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 150:51] + bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 151:51] + bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 152:51] + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 154:63] + node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 154:107] + node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 154:148] + node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = or(_T_3, _T_4) @[Mux.scala 27:72] + node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72] + wire _T_8 : UInt<4> @[Mux.scala 27:72] + _T_8 <= _T_7 @[Mux.scala 27:72] + ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 154:27] + node _T_9 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 155:44] + node _T_10 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 155:68] + node _T_11 = eq(_T_9, _T_10) @[lsu_bus_intf.scala 155:51] + addr_match_dw_lo_r_m <= _T_11 @[lsu_bus_intf.scala 155:27] + node _T_12 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 156:68] + node _T_13 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 156:85] + node _T_14 = xor(_T_12, _T_13) @[lsu_bus_intf.scala 156:71] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[lsu_bus_intf.scala 156:53] + node _T_16 = and(addr_match_dw_lo_r_m, _T_15) @[lsu_bus_intf.scala 156:51] + addr_match_word_lo_r_m <= _T_16 @[lsu_bus_intf.scala 156:27] + node _T_17 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] + node _T_18 = and(io.lsu_busreq_r, _T_17) @[lsu_bus_intf.scala 157:46] + node _T_19 = and(_T_18, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:64] + node _T_20 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:110] + node _T_21 = or(io.lsu_pkt_m.bits.load, _T_20) @[lsu_bus_intf.scala 157:108] + node _T_22 = and(_T_19, _T_21) @[lsu_bus_intf.scala 157:82] + no_word_merge_r <= _T_22 @[lsu_bus_intf.scala 157:27] + node _T_23 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 158:48] + node _T_24 = and(io.lsu_busreq_r, _T_23) @[lsu_bus_intf.scala 158:46] + node _T_25 = and(_T_24, io.lsu_busreq_m) @[lsu_bus_intf.scala 158:64] + node _T_26 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 158:110] + node _T_27 = or(io.lsu_pkt_m.bits.load, _T_26) @[lsu_bus_intf.scala 158:108] + node _T_28 = and(_T_25, _T_27) @[lsu_bus_intf.scala 158:82] + no_dword_merge_r <= _T_28 @[lsu_bus_intf.scala 158:27] + node _T_29 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 160:43] + node _T_30 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 160:65] + node _T_31 = dshl(_T_29, _T_30) @[lsu_bus_intf.scala 160:49] + ldst_byteen_ext_m <= _T_31 @[lsu_bus_intf.scala 160:27] + node _T_32 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 161:43] + node _T_33 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:65] + node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 161:49] + ldst_byteen_ext_r <= _T_34 @[lsu_bus_intf.scala 161:27] + node _T_35 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 162:45] + node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 162:72] + node _T_37 = cat(_T_36, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_38 = dshl(_T_35, _T_37) @[lsu_bus_intf.scala 162:52] + store_data_ext_r <= _T_38 @[lsu_bus_intf.scala 162:27] + node _T_39 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 163:47] + ldst_byteen_hi_m <= _T_39 @[lsu_bus_intf.scala 163:27] + node _T_40 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 164:47] + ldst_byteen_lo_m <= _T_40 @[lsu_bus_intf.scala 164:27] + node _T_41 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 165:47] + ldst_byteen_hi_r <= _T_41 @[lsu_bus_intf.scala 165:27] + node _T_42 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 166:47] + ldst_byteen_lo_r <= _T_42 @[lsu_bus_intf.scala 166:27] + node _T_43 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 168:46] + store_data_hi_r <= _T_43 @[lsu_bus_intf.scala 168:27] + node _T_44 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 169:46] + store_data_lo_r <= _T_44 @[lsu_bus_intf.scala 169:27] + node _T_45 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] + node _T_46 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] + node _T_47 = eq(_T_45, _T_46) @[lsu_bus_intf.scala 170:51] + node _T_48 = and(_T_47, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] + node _T_49 = and(_T_48, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] + node _T_50 = and(_T_49, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] + ld_addr_rhit_lo_lo <= _T_50 @[lsu_bus_intf.scala 170:27] + node _T_51 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] + node _T_52 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] + node _T_53 = eq(_T_51, _T_52) @[lsu_bus_intf.scala 171:51] + node _T_54 = and(_T_53, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] + node _T_55 = and(_T_54, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] + node _T_56 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] + ld_addr_rhit_lo_hi <= _T_56 @[lsu_bus_intf.scala 171:27] + node _T_57 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] + node _T_58 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] + node _T_59 = eq(_T_57, _T_58) @[lsu_bus_intf.scala 172:51] + node _T_60 = and(_T_59, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] + node _T_61 = and(_T_60, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] + node _T_62 = and(_T_61, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] + ld_addr_rhit_hi_lo <= _T_62 @[lsu_bus_intf.scala 172:27] + node _T_63 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 173:44] + node _T_64 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 173:68] + node _T_65 = eq(_T_63, _T_64) @[lsu_bus_intf.scala 173:51] + node _T_66 = and(_T_65, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 173:76] + node _T_67 = and(_T_66, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 173:97] + node _T_68 = and(_T_67, io.lsu_busreq_m) @[lsu_bus_intf.scala 173:123] + ld_addr_rhit_hi_hi <= _T_68 @[lsu_bus_intf.scala 173:27] + node _T_69 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] + node _T_70 = and(ld_addr_rhit_lo_lo, _T_69) @[lsu_bus_intf.scala 175:70] + node _T_71 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 175:110] + node _T_72 = and(_T_70, _T_71) @[lsu_bus_intf.scala 175:92] + node _T_73 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] + node _T_74 = and(ld_addr_rhit_lo_lo, _T_73) @[lsu_bus_intf.scala 175:70] + node _T_75 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 175:110] + node _T_76 = and(_T_74, _T_75) @[lsu_bus_intf.scala 175:92] + node _T_77 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] + node _T_78 = and(ld_addr_rhit_lo_lo, _T_77) @[lsu_bus_intf.scala 175:70] + node _T_79 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 175:110] + node _T_80 = and(_T_78, _T_79) @[lsu_bus_intf.scala 175:92] + node _T_81 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] + node _T_82 = and(ld_addr_rhit_lo_lo, _T_81) @[lsu_bus_intf.scala 175:70] + node _T_83 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 175:110] + node _T_84 = and(_T_82, _T_83) @[lsu_bus_intf.scala 175:92] + node _T_85 = cat(_T_84, _T_80) @[Cat.scala 29:58] + node _T_86 = cat(_T_85, _T_76) @[Cat.scala 29:58] + node _T_87 = cat(_T_86, _T_72) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_87 @[lsu_bus_intf.scala 175:27] + node _T_88 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 176:88] + node _T_89 = and(ld_addr_rhit_lo_hi, _T_88) @[lsu_bus_intf.scala 176:70] + node _T_90 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 176:110] + node _T_91 = and(_T_89, _T_90) @[lsu_bus_intf.scala 176:92] + node _T_92 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 176:88] + node _T_93 = and(ld_addr_rhit_lo_hi, _T_92) @[lsu_bus_intf.scala 176:70] + node _T_94 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 176:110] + node _T_95 = and(_T_93, _T_94) @[lsu_bus_intf.scala 176:92] + node _T_96 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 176:88] + node _T_97 = and(ld_addr_rhit_lo_hi, _T_96) @[lsu_bus_intf.scala 176:70] + node _T_98 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 176:110] + node _T_99 = and(_T_97, _T_98) @[lsu_bus_intf.scala 176:92] + node _T_100 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 176:88] + node _T_101 = and(ld_addr_rhit_lo_hi, _T_100) @[lsu_bus_intf.scala 176:70] + node _T_102 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 176:110] + node _T_103 = and(_T_101, _T_102) @[lsu_bus_intf.scala 176:92] + node _T_104 = cat(_T_103, _T_99) @[Cat.scala 29:58] + node _T_105 = cat(_T_104, _T_95) @[Cat.scala 29:58] + node _T_106 = cat(_T_105, _T_91) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_106 @[lsu_bus_intf.scala 176:27] + node _T_107 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] + node _T_108 = and(ld_addr_rhit_hi_lo, _T_107) @[lsu_bus_intf.scala 177:70] + node _T_109 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 177:110] + node _T_110 = and(_T_108, _T_109) @[lsu_bus_intf.scala 177:92] + node _T_111 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] + node _T_112 = and(ld_addr_rhit_hi_lo, _T_111) @[lsu_bus_intf.scala 177:70] + node _T_113 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 177:110] + node _T_114 = and(_T_112, _T_113) @[lsu_bus_intf.scala 177:92] + node _T_115 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] + node _T_116 = and(ld_addr_rhit_hi_lo, _T_115) @[lsu_bus_intf.scala 177:70] + node _T_117 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 177:110] + node _T_118 = and(_T_116, _T_117) @[lsu_bus_intf.scala 177:92] + node _T_119 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] + node _T_120 = and(ld_addr_rhit_hi_lo, _T_119) @[lsu_bus_intf.scala 177:70] + node _T_121 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 177:110] + node _T_122 = and(_T_120, _T_121) @[lsu_bus_intf.scala 177:92] + node _T_123 = cat(_T_122, _T_118) @[Cat.scala 29:58] + node _T_124 = cat(_T_123, _T_114) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, _T_110) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_125 @[lsu_bus_intf.scala 177:27] + node _T_126 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 178:88] + node _T_127 = and(ld_addr_rhit_hi_hi, _T_126) @[lsu_bus_intf.scala 178:70] + node _T_128 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 178:110] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_intf.scala 178:92] + node _T_130 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 178:88] + node _T_131 = and(ld_addr_rhit_hi_hi, _T_130) @[lsu_bus_intf.scala 178:70] + node _T_132 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 178:110] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_intf.scala 178:92] + node _T_134 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 178:88] + node _T_135 = and(ld_addr_rhit_hi_hi, _T_134) @[lsu_bus_intf.scala 178:70] + node _T_136 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 178:110] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_intf.scala 178:92] + node _T_138 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 178:88] + node _T_139 = and(ld_addr_rhit_hi_hi, _T_138) @[lsu_bus_intf.scala 178:70] + node _T_140 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 178:110] + node _T_141 = and(_T_139, _T_140) @[lsu_bus_intf.scala 178:92] + node _T_142 = cat(_T_141, _T_137) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_133) @[Cat.scala 29:58] + node _T_144 = cat(_T_143, _T_129) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_144 @[lsu_bus_intf.scala 178:27] + node _T_145 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 180:69] + node _T_146 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 180:93] + node _T_147 = or(_T_145, _T_146) @[lsu_bus_intf.scala 180:73] + node _T_148 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 180:117] + node _T_149 = or(_T_147, _T_148) @[lsu_bus_intf.scala 180:97] + node _T_150 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 180:69] + node _T_151 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 180:93] + node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 180:73] + node _T_153 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 180:117] + node _T_154 = or(_T_152, _T_153) @[lsu_bus_intf.scala 180:97] + node _T_155 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 180:69] + node _T_156 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 180:93] + node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 180:73] + node _T_158 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 180:117] + node _T_159 = or(_T_157, _T_158) @[lsu_bus_intf.scala 180:97] + node _T_160 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 180:69] + node _T_161 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 180:93] + node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 180:73] + node _T_163 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 180:117] + node _T_164 = or(_T_162, _T_163) @[lsu_bus_intf.scala 180:97] + node _T_165 = cat(_T_164, _T_159) @[Cat.scala 29:58] + node _T_166 = cat(_T_165, _T_154) @[Cat.scala 29:58] + node _T_167 = cat(_T_166, _T_149) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_167 @[lsu_bus_intf.scala 180:27] + node _T_168 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 181:69] + node _T_169 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 181:93] + node _T_170 = or(_T_168, _T_169) @[lsu_bus_intf.scala 181:73] + node _T_171 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 181:117] + node _T_172 = or(_T_170, _T_171) @[lsu_bus_intf.scala 181:97] + node _T_173 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 181:69] + node _T_174 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 181:93] + node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 181:73] + node _T_176 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 181:117] + node _T_177 = or(_T_175, _T_176) @[lsu_bus_intf.scala 181:97] + node _T_178 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 181:69] + node _T_179 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 181:93] + node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 181:73] + node _T_181 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 181:117] + node _T_182 = or(_T_180, _T_181) @[lsu_bus_intf.scala 181:97] + node _T_183 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 181:69] + node _T_184 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 181:93] + node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 181:73] + node _T_186 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 181:117] + node _T_187 = or(_T_185, _T_186) @[lsu_bus_intf.scala 181:97] + node _T_188 = cat(_T_187, _T_182) @[Cat.scala 29:58] + node _T_189 = cat(_T_188, _T_177) @[Cat.scala 29:58] + node _T_190 = cat(_T_189, _T_172) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_190 @[lsu_bus_intf.scala 181:27] + node _T_191 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 182:69] + node _T_192 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 182:93] + node _T_193 = or(_T_191, _T_192) @[lsu_bus_intf.scala 182:73] + node _T_194 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 182:69] + node _T_195 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 182:93] + node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 182:73] + node _T_197 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 182:69] + node _T_198 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 182:93] + node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 182:73] + node _T_200 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 182:69] + node _T_201 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 182:93] + node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 182:73] + node _T_203 = cat(_T_202, _T_199) @[Cat.scala 29:58] + node _T_204 = cat(_T_203, _T_196) @[Cat.scala 29:58] + node _T_205 = cat(_T_204, _T_193) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_205 @[lsu_bus_intf.scala 182:27] + node _T_206 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 183:69] + node _T_207 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 183:93] + node _T_208 = or(_T_206, _T_207) @[lsu_bus_intf.scala 183:73] + node _T_209 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 183:69] + node _T_210 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 183:93] + node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 183:73] + node _T_212 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 183:69] + node _T_213 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 183:93] + node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 183:73] + node _T_215 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 183:69] + node _T_216 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 183:93] + node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 183:73] + node _T_218 = cat(_T_217, _T_214) @[Cat.scala 29:58] + node _T_219 = cat(_T_218, _T_211) @[Cat.scala 29:58] + node _T_220 = cat(_T_219, _T_208) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_220 @[lsu_bus_intf.scala 183:27] + node _T_221 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 184:79] + node _T_222 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] + node _T_223 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 184:136] + node _T_224 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] + node _T_225 = mux(_T_221, _T_222, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = mux(_T_223, _T_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_227 = or(_T_225, _T_226) @[Mux.scala 27:72] + wire _T_228 : UInt<8> @[Mux.scala 27:72] + _T_228 <= _T_227 @[Mux.scala 27:72] + node _T_229 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 184:79] + node _T_230 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] + node _T_231 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 184:136] + node _T_232 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] + node _T_233 = mux(_T_229, _T_230, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_234 = mux(_T_231, _T_232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_235 = or(_T_233, _T_234) @[Mux.scala 27:72] + wire _T_236 : UInt<8> @[Mux.scala 27:72] + _T_236 <= _T_235 @[Mux.scala 27:72] + node _T_237 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 184:79] + node _T_238 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] + node _T_239 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 184:136] + node _T_240 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] + node _T_241 = mux(_T_237, _T_238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_242 = mux(_T_239, _T_240, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_243 = or(_T_241, _T_242) @[Mux.scala 27:72] + wire _T_244 : UInt<8> @[Mux.scala 27:72] + _T_244 <= _T_243 @[Mux.scala 27:72] + node _T_245 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 184:79] + node _T_246 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] + node _T_247 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 184:136] + node _T_248 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] + node _T_249 = mux(_T_245, _T_246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_250 = mux(_T_247, _T_248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_251 = or(_T_249, _T_250) @[Mux.scala 27:72] + wire _T_252 : UInt<8> @[Mux.scala 27:72] + _T_252 <= _T_251 @[Mux.scala 27:72] + node _T_253 = cat(_T_252, _T_244) @[Cat.scala 29:58] + node _T_254 = cat(_T_253, _T_236) @[Cat.scala 29:58] + node _T_255 = cat(_T_254, _T_228) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_255 @[lsu_bus_intf.scala 184:27] + node _T_256 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 185:79] + node _T_257 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 185:101] + node _T_258 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 185:136] + node _T_259 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 185:158] + node _T_260 = mux(_T_256, _T_257, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_261 = mux(_T_258, _T_259, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = or(_T_260, _T_261) @[Mux.scala 27:72] + wire _T_263 : UInt<8> @[Mux.scala 27:72] + _T_263 <= _T_262 @[Mux.scala 27:72] + node _T_264 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 185:79] + node _T_265 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 185:101] + node _T_266 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 185:136] + node _T_267 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 185:158] + node _T_268 = mux(_T_264, _T_265, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_269 = mux(_T_266, _T_267, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_270 = or(_T_268, _T_269) @[Mux.scala 27:72] + wire _T_271 : UInt<8> @[Mux.scala 27:72] + _T_271 <= _T_270 @[Mux.scala 27:72] + node _T_272 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 185:79] + node _T_273 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 185:101] + node _T_274 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 185:136] + node _T_275 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 185:158] + node _T_276 = mux(_T_272, _T_273, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_277 = mux(_T_274, _T_275, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_278 = or(_T_276, _T_277) @[Mux.scala 27:72] + wire _T_279 : UInt<8> @[Mux.scala 27:72] + _T_279 <= _T_278 @[Mux.scala 27:72] + node _T_280 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 185:79] + node _T_281 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 185:101] + node _T_282 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 185:136] + node _T_283 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 185:158] + node _T_284 = mux(_T_280, _T_281, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_282, _T_283, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = or(_T_284, _T_285) @[Mux.scala 27:72] + wire _T_287 : UInt<8> @[Mux.scala 27:72] + _T_287 <= _T_286 @[Mux.scala 27:72] + node _T_288 = cat(_T_287, _T_279) @[Cat.scala 29:58] + node _T_289 = cat(_T_288, _T_271) @[Cat.scala 29:58] + node _T_290 = cat(_T_289, _T_263) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_290 @[lsu_bus_intf.scala 185:27] + node _T_291 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 186:70] + node _T_292 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 186:94] + node _T_293 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 186:128] + node _T_294 = mux(_T_291, _T_292, _T_293) @[lsu_bus_intf.scala 186:54] + node _T_295 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 186:70] + node _T_296 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 186:94] + node _T_297 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 186:128] + node _T_298 = mux(_T_295, _T_296, _T_297) @[lsu_bus_intf.scala 186:54] + node _T_299 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 186:70] + node _T_300 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 186:94] + node _T_301 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 186:128] + node _T_302 = mux(_T_299, _T_300, _T_301) @[lsu_bus_intf.scala 186:54] + node _T_303 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 186:70] + node _T_304 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 186:94] + node _T_305 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 186:128] + node _T_306 = mux(_T_303, _T_304, _T_305) @[lsu_bus_intf.scala 186:54] + node _T_307 = cat(_T_306, _T_302) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_298) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_294) @[Cat.scala 29:58] + ld_fwddata_lo <= _T_309 @[lsu_bus_intf.scala 186:27] + node _T_310 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 187:70] + node _T_311 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 187:94] + node _T_312 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 187:128] + node _T_313 = mux(_T_310, _T_311, _T_312) @[lsu_bus_intf.scala 187:54] + node _T_314 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 187:70] + node _T_315 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 187:94] + node _T_316 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 187:128] + node _T_317 = mux(_T_314, _T_315, _T_316) @[lsu_bus_intf.scala 187:54] + node _T_318 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 187:70] + node _T_319 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 187:94] + node _T_320 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 187:128] + node _T_321 = mux(_T_318, _T_319, _T_320) @[lsu_bus_intf.scala 187:54] + node _T_322 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 187:70] + node _T_323 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 187:94] + node _T_324 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 187:128] + node _T_325 = mux(_T_322, _T_323, _T_324) @[lsu_bus_intf.scala 187:54] + node _T_326 = cat(_T_325, _T_321) @[Cat.scala 29:58] + node _T_327 = cat(_T_326, _T_317) @[Cat.scala 29:58] + node _T_328 = cat(_T_327, _T_313) @[Cat.scala 29:58] + ld_fwddata_hi <= _T_328 @[lsu_bus_intf.scala 187:27] + node _T_329 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 188:66] + node _T_330 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 188:89] + node _T_331 = eq(_T_330, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_332 = or(_T_329, _T_331) @[lsu_bus_intf.scala 188:70] + node _T_333 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 188:66] + node _T_334 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 188:89] + node _T_335 = eq(_T_334, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_336 = or(_T_333, _T_335) @[lsu_bus_intf.scala 188:70] + node _T_337 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 188:66] + node _T_338 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 188:89] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_340 = or(_T_337, _T_339) @[lsu_bus_intf.scala 188:70] + node _T_341 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 188:66] + node _T_342 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 188:89] + node _T_343 = eq(_T_342, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_344 = or(_T_341, _T_343) @[lsu_bus_intf.scala 188:70] + node _T_345 = and(_T_332, _T_336) @[lsu_bus_intf.scala 188:111] + node _T_346 = and(_T_345, _T_340) @[lsu_bus_intf.scala 188:111] + node _T_347 = and(_T_346, _T_344) @[lsu_bus_intf.scala 188:111] + ld_full_hit_lo_m <= _T_347 @[lsu_bus_intf.scala 188:27] + node _T_348 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 189:66] + node _T_349 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 189:89] + node _T_350 = eq(_T_349, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_351 = or(_T_348, _T_350) @[lsu_bus_intf.scala 189:70] + node _T_352 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 189:66] + node _T_353 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 189:89] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_355 = or(_T_352, _T_354) @[lsu_bus_intf.scala 189:70] + node _T_356 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 189:66] + node _T_357 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 189:89] + node _T_358 = eq(_T_357, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_359 = or(_T_356, _T_358) @[lsu_bus_intf.scala 189:70] + node _T_360 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 189:66] + node _T_361 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 189:89] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_363 = or(_T_360, _T_362) @[lsu_bus_intf.scala 189:70] + node _T_364 = and(_T_351, _T_355) @[lsu_bus_intf.scala 189:111] + node _T_365 = and(_T_364, _T_359) @[lsu_bus_intf.scala 189:111] + node _T_366 = and(_T_365, _T_363) @[lsu_bus_intf.scala 189:111] + ld_full_hit_hi_m <= _T_366 @[lsu_bus_intf.scala 189:27] + node _T_367 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 190:47] + node _T_368 = and(_T_367, io.lsu_busreq_m) @[lsu_bus_intf.scala 190:66] + node _T_369 = and(_T_368, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 190:84] + node _T_370 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 190:111] + node _T_371 = and(_T_369, _T_370) @[lsu_bus_intf.scala 190:109] + ld_full_hit_m <= _T_371 @[lsu_bus_intf.scala 190:27] + node _T_372 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 191:47] + node _T_373 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 191:68] + node _T_374 = cat(_T_372, _T_373) @[Cat.scala 29:58] + node _T_375 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 191:97] + node _T_376 = mul(UInt<4>("h08"), _T_375) @[lsu_bus_intf.scala 191:83] + node _T_377 = dshr(_T_374, _T_376) @[lsu_bus_intf.scala 191:76] + ld_fwddata_m <= _T_377 @[lsu_bus_intf.scala 191:27] + node _T_378 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 192:42] + io.bus_read_data_m <= _T_378 @[lsu_bus_intf.scala 192:27] + reg _T_379 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 195:32] + _T_379 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 195:32] + lsu_bus_clk_en_q <= _T_379 @[lsu_bus_intf.scala 195:22] + reg _T_380 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 199:33] + _T_380 <= io.is_sideeffects_m @[lsu_bus_intf.scala 199:33] + is_sideeffects_r <= _T_380 @[lsu_bus_intf.scala 199:23] + reg _T_381 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 200:33] + _T_381 <= ldst_byteen_m @[lsu_bus_intf.scala 200:33] + ldst_byteen_r <= _T_381 @[lsu_bus_intf.scala 200:23] + + module lsu : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_lsu_valid_raw_d : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_load_stall_any : UInt<1>, lsu_store_stall_any : UInt<1>, lsu_fastint_stall_any : UInt<1>, lsu_idle_any : UInt<1>, lsu_active : UInt<1>, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_pmu_misaligned_m : UInt<1>, lsu_trigger_match_m : UInt<4>, flip lsu_bus_clk_en : UInt<1>, flip scan_mode : UInt<1>, flip active_clk : Clock} + + wire dma_dccm_wdata : UInt<64> + dma_dccm_wdata <= UInt<64>("h00") + wire dma_dccm_wdata_lo : UInt<32> + dma_dccm_wdata_lo <= UInt<32>("h00") + wire dma_dccm_wdata_hi : UInt<32> + dma_dccm_wdata_hi <= UInt<32>("h00") + wire dma_mem_tag_m : UInt<3> + dma_mem_tag_m <= UInt<3>("h00") + wire lsu_raw_fwd_lo_r : UInt<1> + lsu_raw_fwd_lo_r <= UInt<1>("h00") + wire lsu_raw_fwd_hi_r : UInt<1> + lsu_raw_fwd_hi_r <= UInt<1>("h00") + wire lsu_busm_clken : UInt<1> + lsu_busm_clken <= UInt<1>("h00") + wire lsu_bus_obuf_c1_clken : UInt<1> + lsu_bus_obuf_c1_clken <= UInt<1>("h00") + inst lsu_lsc_ctl of lsu_lsc_ctl @[lsu.scala 65:30] + lsu_lsc_ctl.clock <= clock + lsu_lsc_ctl.reset <= reset + io.lsu_result_m <= lsu_lsc_ctl.io.lsu_result_m @[lsu.scala 66:19] + io.lsu_result_corr_r <= lsu_lsc_ctl.io.lsu_result_corr_r @[lsu.scala 67:24] + inst dccm_ctl of lsu_dccm_ctl @[lsu.scala 68:30] + dccm_ctl.clock <= clock + dccm_ctl.reset <= reset + inst stbuf of lsu_stbuf @[lsu.scala 69:30] + stbuf.clock <= clock + stbuf.reset <= reset + inst ecc of lsu_ecc @[lsu.scala 70:30] + ecc.clock <= clock + ecc.reset <= reset + inst trigger of lsu_trigger @[lsu.scala 71:30] + trigger.clock <= clock + trigger.reset <= reset + inst clkdomain of lsu_clkdomain @[lsu.scala 72:30] + clkdomain.clock <= clock + clkdomain.reset <= reset + inst bus_intf of lsu_bus_intf @[lsu.scala 73:30] + bus_intf.clock <= clock + bus_intf.reset <= reset + node lsu_raw_fwd_lo_m = orr(stbuf.io.stbuf_fwdbyteen_lo_m) @[lsu.scala 75:56] + node lsu_raw_fwd_hi_m = orr(stbuf.io.stbuf_fwdbyteen_hi_m) @[lsu.scala 76:56] + node _T = or(stbuf.io.lsu_stbuf_full_any, bus_intf.io.lsu_bus_buffer_full_any) @[lsu.scala 79:57] + node _T_1 = or(_T, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 79:95] + io.lsu_store_stall_any <= _T_1 @[lsu.scala 79:26] + node _T_2 = or(bus_intf.io.lsu_bus_buffer_full_any, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 80:64] + io.lsu_load_stall_any <= _T_2 @[lsu.scala 80:25] + io.lsu_fastint_stall_any <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 81:28] + node _T_3 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 86:58] + node _T_4 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_3) @[lsu.scala 86:56] + node _T_5 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 86:126] + node _T_6 = and(_T_4, _T_5) @[lsu.scala 86:93] + node ldst_nodma_mtor = and(_T_6, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 86:158] + node _T_7 = or(io.dec_lsu_valid_raw_d, ldst_nodma_mtor) @[lsu.scala 87:53] + node _T_8 = or(_T_7, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 87:71] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[lsu.scala 87:28] + io.lsu_dma.dccm_ready <= _T_9 @[lsu.scala 87:25] + node _T_10 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 88:58] + node _T_11 = and(_T_10, lsu_lsc_ctl.io.addr_in_dccm_d) @[lsu.scala 88:97] + node _T_12 = bits(io.lsu_dma.dma_lsc_ctl.dma_mem_sz, 1, 1) @[lsu.scala 88:164] + node dma_dccm_wen = and(_T_11, _T_12) @[lsu.scala 88:129] + node _T_13 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 89:58] + node dma_pic_wen = and(_T_13, lsu_lsc_ctl.io.addr_in_pic_d) @[lsu.scala 89:97] + node _T_14 = bits(io.lsu_dma.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu.scala 90:100] + node _T_15 = cat(_T_14, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_16 = dshr(io.lsu_dma.dma_lsc_ctl.dma_mem_wdata, _T_15) @[lsu.scala 90:58] + dma_dccm_wdata <= _T_16 @[lsu.scala 90:18] + node _T_17 = bits(dma_dccm_wdata, 63, 32) @[lsu.scala 91:38] + dma_dccm_wdata_hi <= _T_17 @[lsu.scala 91:21] + node _T_18 = bits(dma_dccm_wdata, 31, 0) @[lsu.scala 92:38] + dma_dccm_wdata_lo <= _T_18 @[lsu.scala 92:21] + node _T_19 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 101:58] + node _T_20 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_19) @[lsu.scala 101:56] + node _T_21 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 101:130] + node _T_22 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, _T_21) @[lsu.scala 101:128] + node _T_23 = or(_T_20, _T_22) @[lsu.scala 101:94] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[lsu.scala 101:22] + node _T_25 = and(_T_24, bus_intf.io.lsu_bus_buffer_empty_any) @[lsu.scala 101:167] + io.lsu_idle_any <= _T_25 @[lsu.scala 101:19] + node _T_26 = or(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_r.valid) @[lsu.scala 102:52] + node _T_27 = or(_T_26, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 102:85] + node _T_28 = eq(bus_intf.io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu.scala 102:127] + node _T_29 = or(_T_27, _T_28) @[lsu.scala 102:125] + io.lsu_active <= _T_29 @[lsu.scala 102:17] + node _T_30 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, lsu_lsc_ctl.io.lsu_pkt_r.bits.store) @[lsu.scala 104:61] + node _T_31 = and(_T_30, lsu_lsc_ctl.io.addr_in_dccm_r) @[lsu.scala 104:99] + node _T_32 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[lsu.scala 104:133] + node _T_33 = and(_T_31, _T_32) @[lsu.scala 104:131] + node _T_34 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 104:145] + node _T_35 = or(lsu_lsc_ctl.io.lsu_pkt_r.bits.by, lsu_lsc_ctl.io.lsu_pkt_r.bits.half) @[lsu.scala 104:217] + node _T_36 = eq(ecc.io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu.scala 104:257] + node _T_37 = and(_T_35, _T_36) @[lsu.scala 104:255] + node _T_38 = or(_T_34, _T_37) @[lsu.scala 104:180] + node store_stbuf_reqvld_r = and(_T_33, _T_38) @[lsu.scala 104:142] + node _T_39 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 106:90] + node _T_40 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_39) @[lsu.scala 106:52] + node _T_41 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 106:162] + node lsu_cmpen_m = and(_T_40, _T_41) @[lsu.scala 106:129] + node _T_42 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 108:92] + node _T_43 = and(_T_42, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 108:131] + node _T_44 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_43) @[lsu.scala 108:53] + node _T_45 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[lsu.scala 108:167] + node _T_46 = and(_T_44, _T_45) @[lsu.scala 108:165] + node _T_47 = eq(lsu_lsc_ctl.io.lsu_exc_m, UInt<1>("h00")) @[lsu.scala 108:181] + node _T_48 = and(_T_46, _T_47) @[lsu.scala 108:179] + node _T_49 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu.scala 108:209] + node lsu_busreq_m = and(_T_48, _T_49) @[lsu.scala 108:207] + node _T_50 = bits(lsu_lsc_ctl.io.lsu_addr_d, 2, 2) @[lsu.scala 110:47] + node _T_51 = bits(lsu_lsc_ctl.io.end_addr_d, 2, 2) @[lsu.scala 110:80] + node ldst_dual_d = neq(_T_50, _T_51) @[lsu.scala 110:51] + node _T_52 = bits(lsu_lsc_ctl.io.lsu_addr_m, 2, 2) @[lsu.scala 111:47] + node _T_53 = bits(lsu_lsc_ctl.io.end_addr_m, 2, 2) @[lsu.scala 111:80] + node ldst_dual_m = neq(_T_52, _T_53) @[lsu.scala 111:51] + node _T_54 = bits(lsu_lsc_ctl.io.lsu_addr_r, 2, 2) @[lsu.scala 112:47] + node _T_55 = bits(lsu_lsc_ctl.io.end_addr_r, 2, 2) @[lsu.scala 112:80] + node ldst_dual_r = neq(_T_54, _T_55) @[lsu.scala 112:51] + node _T_56 = bits(lsu_lsc_ctl.io.lsu_addr_m, 0, 0) @[lsu.scala 114:127] + node _T_57 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.half, _T_56) @[lsu.scala 114:100] + node _T_58 = bits(lsu_lsc_ctl.io.lsu_addr_m, 1, 0) @[lsu.scala 114:197] + node _T_59 = orr(_T_58) @[lsu.scala 114:203] + node _T_60 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.word, _T_59) @[lsu.scala 114:170] + node _T_61 = or(_T_57, _T_60) @[lsu.scala 114:132] + node _T_62 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_61) @[lsu.scala 114:61] + io.lsu_pmu_misaligned_m <= _T_62 @[lsu.scala 114:27] + node _T_63 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.load) @[lsu.scala 115:73] + node _T_64 = and(_T_63, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 115:110] + io.lsu_tlu.lsu_pmu_load_external_m <= _T_64 @[lsu.scala 115:39] + node _T_65 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 116:73] + node _T_66 = and(_T_65, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 116:111] + io.lsu_tlu.lsu_pmu_store_external_m <= _T_66 @[lsu.scala 116:39] + lsu_lsc_ctl.io.clk_override <= io.clk_override @[lsu.scala 120:46] + lsu_lsc_ctl.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 121:46] + lsu_lsc_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 122:46] + lsu_lsc_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 123:46] + lsu_lsc_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 124:46] + lsu_lsc_ctl.io.lsu_store_c1_m_clk <= clkdomain.io.lsu_store_c1_m_clk @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_ld_data_r <= dccm_ctl.io.lsu_ld_data_r @[lsu.scala 126:46] + lsu_lsc_ctl.io.lsu_ld_data_corr_r <= dccm_ctl.io.lsu_ld_data_corr_r @[lsu.scala 127:46] + lsu_lsc_ctl.io.lsu_single_ecc_error_r <= ecc.io.lsu_single_ecc_error_r @[lsu.scala 128:46] + lsu_lsc_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 129:46] + lsu_lsc_ctl.io.lsu_ld_data_m <= dccm_ctl.io.lsu_ld_data_m @[lsu.scala 130:46] + lsu_lsc_ctl.io.lsu_single_ecc_error_m <= ecc.io.lsu_single_ecc_error_m @[lsu.scala 131:46] + lsu_lsc_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 132:46] + lsu_lsc_ctl.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 133:46] + lsu_lsc_ctl.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 134:46] + lsu_lsc_ctl.io.ldst_dual_d <= ldst_dual_d @[lsu.scala 135:46] + lsu_lsc_ctl.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 136:46] + lsu_lsc_ctl.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 137:46] + lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs2_d <= io.lsu_exu.exu_lsu_rs2_d @[lsu.scala 138:46] + lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs1_d <= io.lsu_exu.exu_lsu_rs1_d @[lsu.scala 138:46] + lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 139:46] + lsu_lsc_ctl.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 139:46] + lsu_lsc_ctl.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 140:46] + lsu_lsc_ctl.io.dec_lsu_offset_d <= io.dec_lsu_offset_d @[lsu.scala 141:46] + lsu_lsc_ctl.io.picm_mask_data_m <= dccm_ctl.io.picm_mask_data_m @[lsu.scala 142:46] + lsu_lsc_ctl.io.bus_read_data_m <= bus_intf.io.bus_read_data_m @[lsu.scala 143:46] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[lsu.scala 144:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[lsu.scala 144:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[lsu.scala 144:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[lsu.scala 144:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 144:38] + lsu_lsc_ctl.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu.scala 145:46] + lsu_lsc_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 146:46] + io.lsu_single_ecc_error_incr <= lsu_lsc_ctl.io.lsu_single_ecc_error_incr @[lsu.scala 149:49] + io.lsu_error_pkt_r.bits.addr <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.addr @[lsu.scala 150:49] + io.lsu_error_pkt_r.bits.mscause <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.mscause @[lsu.scala 150:49] + io.lsu_error_pkt_r.bits.exc_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.exc_type @[lsu.scala 150:49] + io.lsu_error_pkt_r.bits.inst_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.inst_type @[lsu.scala 150:49] + io.lsu_error_pkt_r.bits.single_ecc_error <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.single_ecc_error @[lsu.scala 150:49] + io.lsu_error_pkt_r.valid <= lsu_lsc_ctl.io.lsu_error_pkt_r.valid @[lsu.scala 150:49] + io.lsu_fir_addr <= lsu_lsc_ctl.io.lsu_fir_addr @[lsu.scala 151:49] + io.lsu_fir_error <= lsu_lsc_ctl.io.lsu_fir_error @[lsu.scala 152:49] + dccm_ctl.io.clk_override <= io.clk_override @[lsu.scala 155:46] + dccm_ctl.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 156:46] + dccm_ctl.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 157:46] + dccm_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 158:46] + dccm_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 159:46] + dccm_ctl.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 160:46] + dccm_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 161:46] + dccm_ctl.io.lsu_store_c1_r_clk <= clkdomain.io.lsu_store_c1_r_clk @[lsu.scala 162:46] + dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 163:46] + dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 164:46] + dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 165:46] + dccm_ctl.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 165:46] + dccm_ctl.io.addr_in_dccm_d <= lsu_lsc_ctl.io.addr_in_dccm_d @[lsu.scala 166:46] + dccm_ctl.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 167:46] + dccm_ctl.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 168:46] + dccm_ctl.io.addr_in_pic_d <= lsu_lsc_ctl.io.addr_in_pic_d @[lsu.scala 169:46] + dccm_ctl.io.addr_in_pic_m <= lsu_lsc_ctl.io.addr_in_pic_m @[lsu.scala 170:46] + dccm_ctl.io.addr_in_pic_r <= lsu_lsc_ctl.io.addr_in_pic_r @[lsu.scala 171:46] + dccm_ctl.io.lsu_raw_fwd_lo_r <= lsu_raw_fwd_lo_r @[lsu.scala 172:46] + dccm_ctl.io.lsu_raw_fwd_hi_r <= lsu_raw_fwd_hi_r @[lsu.scala 173:46] + dccm_ctl.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 174:46] + dccm_ctl.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 175:46] + dccm_ctl.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 176:46] + dccm_ctl.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 177:46] + dccm_ctl.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 178:46] + dccm_ctl.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 179:46] + dccm_ctl.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 180:46] + dccm_ctl.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 181:46] + dccm_ctl.io.stbuf_addr_any <= stbuf.io.stbuf_addr_any @[lsu.scala 182:46] + dccm_ctl.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 183:46] + dccm_ctl.io.stbuf_ecc_any <= ecc.io.stbuf_ecc_any @[lsu.scala 184:46] + dccm_ctl.io.stbuf_fwddata_hi_m <= stbuf.io.stbuf_fwddata_hi_m @[lsu.scala 185:46] + dccm_ctl.io.stbuf_fwddata_lo_m <= stbuf.io.stbuf_fwddata_lo_m @[lsu.scala 186:46] + dccm_ctl.io.stbuf_fwdbyteen_lo_m <= stbuf.io.stbuf_fwdbyteen_lo_m @[lsu.scala 187:46] + dccm_ctl.io.stbuf_fwdbyteen_hi_m <= stbuf.io.stbuf_fwdbyteen_hi_m @[lsu.scala 188:46] + dccm_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 189:46] + dccm_ctl.io.single_ecc_error_hi_r <= ecc.io.single_ecc_error_hi_r @[lsu.scala 190:46] + dccm_ctl.io.single_ecc_error_lo_r <= ecc.io.single_ecc_error_lo_r @[lsu.scala 191:46] + dccm_ctl.io.sec_data_hi_r <= ecc.io.sec_data_hi_r @[lsu.scala 192:46] + dccm_ctl.io.sec_data_lo_r <= ecc.io.sec_data_lo_r @[lsu.scala 193:46] + dccm_ctl.io.sec_data_hi_r_ff <= ecc.io.sec_data_hi_r_ff @[lsu.scala 194:46] + dccm_ctl.io.sec_data_lo_r_ff <= ecc.io.sec_data_lo_r_ff @[lsu.scala 195:46] + dccm_ctl.io.sec_data_ecc_hi_r_ff <= ecc.io.sec_data_ecc_hi_r_ff @[lsu.scala 196:46] + dccm_ctl.io.sec_data_ecc_lo_r_ff <= ecc.io.sec_data_ecc_lo_r_ff @[lsu.scala 197:46] + dccm_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 198:46] + dccm_ctl.io.sec_data_hi_m <= ecc.io.sec_data_hi_m @[lsu.scala 199:46] + dccm_ctl.io.sec_data_lo_m <= ecc.io.sec_data_lo_m @[lsu.scala 200:46] + dccm_ctl.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 201:46] + dccm_ctl.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 202:46] + dccm_ctl.io.dma_pic_wen <= dma_pic_wen @[lsu.scala 203:46] + dccm_ctl.io.dma_mem_tag_m <= dma_mem_tag_m @[lsu.scala 204:46] + dccm_ctl.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 205:46] + dccm_ctl.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 206:46] + dccm_ctl.io.dma_dccm_wdata_ecc_hi <= ecc.io.dma_dccm_wdata_ecc_hi @[lsu.scala 207:46] + dccm_ctl.io.dma_dccm_wdata_ecc_lo <= ecc.io.dma_dccm_wdata_ecc_lo @[lsu.scala 208:46] + dccm_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 209:46] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rdata @[lsu.scala 211:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rtag @[lsu.scala 211:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_ecc_error @[lsu.scala 211:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rvalid @[lsu.scala 211:27] + dccm_ctl.io.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[lsu.scala 211:27] + dccm_ctl.io.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[lsu.scala 211:27] + dccm_ctl.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[lsu.scala 212:11] + dccm_ctl.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[lsu.scala 212:11] + io.dccm.wr_data_hi <= dccm_ctl.io.dccm.wr_data_hi @[lsu.scala 212:11] + io.dccm.wr_data_lo <= dccm_ctl.io.dccm.wr_data_lo @[lsu.scala 212:11] + io.dccm.rd_addr_hi <= dccm_ctl.io.dccm.rd_addr_hi @[lsu.scala 212:11] + io.dccm.rd_addr_lo <= dccm_ctl.io.dccm.rd_addr_lo @[lsu.scala 212:11] + io.dccm.wr_addr_hi <= dccm_ctl.io.dccm.wr_addr_hi @[lsu.scala 212:11] + io.dccm.wr_addr_lo <= dccm_ctl.io.dccm.wr_addr_lo @[lsu.scala 212:11] + io.dccm.rden <= dccm_ctl.io.dccm.rden @[lsu.scala 212:11] + io.dccm.wren <= dccm_ctl.io.dccm.wren @[lsu.scala 212:11] + dccm_ctl.io.lsu_pic.picm_rd_data <= io.lsu_pic.picm_rd_data @[lsu.scala 213:14] + io.lsu_pic.picm_wr_data <= dccm_ctl.io.lsu_pic.picm_wr_data @[lsu.scala 213:14] + io.lsu_pic.picm_wraddr <= dccm_ctl.io.lsu_pic.picm_wraddr @[lsu.scala 213:14] + io.lsu_pic.picm_rdaddr <= dccm_ctl.io.lsu_pic.picm_rdaddr @[lsu.scala 213:14] + io.lsu_pic.picm_mken <= dccm_ctl.io.lsu_pic.picm_mken @[lsu.scala 213:14] + io.lsu_pic.picm_rden <= dccm_ctl.io.lsu_pic.picm_rden @[lsu.scala 213:14] + io.lsu_pic.picm_wren <= dccm_ctl.io.lsu_pic.picm_wren @[lsu.scala 213:14] + stbuf.io.ldst_dual_d <= ldst_dual_d @[lsu.scala 216:50] + stbuf.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 217:50] + stbuf.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 218:50] + stbuf.io.lsu_stbuf_c1_clk <= clkdomain.io.lsu_stbuf_c1_clk @[lsu.scala 219:54] + stbuf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 220:54] + stbuf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 221:48] + stbuf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 221:48] + stbuf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 222:48] + stbuf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 222:48] + stbuf.io.store_stbuf_reqvld_r <= store_stbuf_reqvld_r @[lsu.scala 223:48] + stbuf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 224:49] + stbuf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 225:49] + stbuf.io.store_data_hi_r <= dccm_ctl.io.store_data_hi_r @[lsu.scala 226:62] + stbuf.io.store_data_lo_r <= dccm_ctl.io.store_data_lo_r @[lsu.scala 227:62] + stbuf.io.store_datafn_hi_r <= dccm_ctl.io.store_datafn_hi_r @[lsu.scala 228:49] + stbuf.io.store_datafn_lo_r <= dccm_ctl.io.store_datafn_lo_r @[lsu.scala 229:56] + stbuf.io.lsu_stbuf_commit_any <= dccm_ctl.io.lsu_stbuf_commit_any @[lsu.scala 230:52] + stbuf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 231:64] + stbuf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 232:64] + stbuf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 233:64] + stbuf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 234:64] + stbuf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 235:64] + stbuf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 236:64] + stbuf.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 237:49] + stbuf.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 238:56] + stbuf.io.lsu_cmpen_m <= lsu_cmpen_m @[lsu.scala 239:54] + stbuf.io.scan_mode <= io.scan_mode @[lsu.scala 240:49] + ecc.io.clk_override <= io.clk_override @[lsu.scala 244:50] + ecc.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 245:52] + ecc.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 246:52] + ecc.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 246:52] + ecc.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 247:52] + ecc.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 247:52] + ecc.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 248:54] + ecc.io.dec_tlu_core_ecc_disable <= io.dec_tlu_core_ecc_disable @[lsu.scala 249:50] + ecc.io.lsu_dccm_rden_r <= dccm_ctl.io.lsu_dccm_rden_r @[lsu.scala 250:56] + ecc.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 251:50] + ecc.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 252:58] + ecc.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 253:58] + ecc.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 254:58] + ecc.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 255:58] + ecc.io.dccm_rdata_hi_r <= dccm_ctl.io.dccm_rdata_hi_r @[lsu.scala 256:54] + ecc.io.dccm_rdata_lo_r <= dccm_ctl.io.dccm_rdata_lo_r @[lsu.scala 257:54] + ecc.io.dccm_rdata_hi_m <= dccm_ctl.io.dccm_rdata_hi_m @[lsu.scala 258:54] + ecc.io.dccm_rdata_lo_m <= dccm_ctl.io.dccm_rdata_lo_m @[lsu.scala 259:54] + ecc.io.dccm_data_ecc_hi_r <= dccm_ctl.io.dccm_data_ecc_hi_r @[lsu.scala 260:50] + ecc.io.dccm_data_ecc_lo_r <= dccm_ctl.io.dccm_data_ecc_lo_r @[lsu.scala 261:50] + ecc.io.dccm_data_ecc_hi_m <= dccm_ctl.io.dccm_data_ecc_hi_m @[lsu.scala 262:50] + ecc.io.dccm_data_ecc_lo_m <= dccm_ctl.io.dccm_data_ecc_lo_m @[lsu.scala 263:50] + ecc.io.ld_single_ecc_error_r <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 264:50] + ecc.io.ld_single_ecc_error_r_ff <= dccm_ctl.io.ld_single_ecc_error_r_ff @[lsu.scala 265:50] + ecc.io.lsu_dccm_rden_m <= dccm_ctl.io.lsu_dccm_rden_m @[lsu.scala 266:50] + ecc.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 267:50] + ecc.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 268:50] + ecc.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 269:50] + ecc.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 270:50] + ecc.io.scan_mode <= io.scan_mode @[lsu.scala 271:50] + trigger.io.trigger_pkt_any[0].tdata2 <= io.trigger_pkt_any[0].tdata2 @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[0].m <= io.trigger_pkt_any[0].m @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[0].execute <= io.trigger_pkt_any[0].execute @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[0].load <= io.trigger_pkt_any[0].load @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[0].store <= io.trigger_pkt_any[0].store @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[0].match_pkt <= io.trigger_pkt_any[0].match_pkt @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[0].select <= io.trigger_pkt_any[0].select @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].tdata2 <= io.trigger_pkt_any[1].tdata2 @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].m <= io.trigger_pkt_any[1].m @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].execute <= io.trigger_pkt_any[1].execute @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].load <= io.trigger_pkt_any[1].load @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].store <= io.trigger_pkt_any[1].store @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].match_pkt <= io.trigger_pkt_any[1].match_pkt @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[1].select <= io.trigger_pkt_any[1].select @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].tdata2 <= io.trigger_pkt_any[2].tdata2 @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].m <= io.trigger_pkt_any[2].m @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].execute <= io.trigger_pkt_any[2].execute @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].load <= io.trigger_pkt_any[2].load @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].store <= io.trigger_pkt_any[2].store @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].match_pkt <= io.trigger_pkt_any[2].match_pkt @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[2].select <= io.trigger_pkt_any[2].select @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].tdata2 <= io.trigger_pkt_any[3].tdata2 @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].m <= io.trigger_pkt_any[3].m @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].execute <= io.trigger_pkt_any[3].execute @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].load <= io.trigger_pkt_any[3].load @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].store <= io.trigger_pkt_any[3].store @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].match_pkt <= io.trigger_pkt_any[3].match_pkt @[lsu.scala 275:50] + trigger.io.trigger_pkt_any[3].select <= io.trigger_pkt_any[3].select @[lsu.scala 275:50] + trigger.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 276:50] + trigger.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 276:50] + trigger.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 277:50] + trigger.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 278:50] + io.lsu_trigger_match_m <= trigger.io.lsu_trigger_match_m @[lsu.scala 280:50] + clkdomain.io.active_clk <= io.active_clk @[lsu.scala 284:50] + clkdomain.io.clk_override <= io.clk_override @[lsu.scala 285:50] + clkdomain.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu.scala 286:50] + clkdomain.io.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 287:50] + clkdomain.io.ldst_stbuf_reqvld_r <= stbuf.io.ldst_stbuf_reqvld_r @[lsu.scala 288:50] + clkdomain.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 289:50] + clkdomain.io.stbuf_reqvld_flushed_any <= stbuf.io.stbuf_reqvld_flushed_any @[lsu.scala 290:50] + clkdomain.io.lsu_busreq_r <= bus_intf.io.lsu_busreq_r @[lsu.scala 291:50] + clkdomain.io.lsu_bus_buffer_pend_any <= bus_intf.io.lsu_bus_buffer_pend_any @[lsu.scala 292:50] + clkdomain.io.lsu_bus_buffer_empty_any <= bus_intf.io.lsu_bus_buffer_empty_any @[lsu.scala 293:50] + clkdomain.io.lsu_stbuf_empty_any <= stbuf.io.lsu_stbuf_empty_any @[lsu.scala 294:50] + clkdomain.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 295:50] + clkdomain.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 296:50] + clkdomain.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 296:50] + clkdomain.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 296:50] + clkdomain.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 297:50] + clkdomain.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 298:50] + clkdomain.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 299:50] + clkdomain.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 299:50] + clkdomain.io.scan_mode <= io.scan_mode @[lsu.scala 300:50] + bus_intf.io.scan_mode <= io.scan_mode @[lsu.scala 304:49] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu.scala 305:26] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu.scala 305:26] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu.scala 305:26] + bus_intf.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu.scala 305:26] + bus_intf.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu.scala 305:26] + bus_intf.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu.scala 305:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu.scala 305:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_error @[lsu.scala 305:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu.scala 305:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu.scala 305:26] + bus_intf.io.clk_override <= io.clk_override @[lsu.scala 306:49] + bus_intf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 307:49] + bus_intf.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 308:49] + bus_intf.io.lsu_busm_clken <= lsu_busm_clken @[lsu.scala 309:49] + bus_intf.io.lsu_bus_obuf_c1_clken <= lsu_bus_obuf_c1_clken @[lsu.scala 310:49] + bus_intf.io.lsu_bus_ibuf_c1_clk <= clkdomain.io.lsu_bus_ibuf_c1_clk @[lsu.scala 311:49] + bus_intf.io.lsu_bus_obuf_c1_clk <= clkdomain.io.lsu_bus_obuf_c1_clk @[lsu.scala 312:49] + bus_intf.io.lsu_bus_buf_c1_clk <= clkdomain.io.lsu_bus_buf_c1_clk @[lsu.scala 313:49] + bus_intf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 314:49] + bus_intf.io.active_clk <= io.active_clk @[lsu.scala 315:49] + bus_intf.io.lsu_busm_clk <= clkdomain.io.lsu_busm_clk @[lsu.scala 316:49] + bus_intf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 317:49] + bus_intf.io.lsu_busreq_m <= lsu_busreq_m @[lsu.scala 318:49] + bus_intf.io.ldst_dual_d <= ldst_dual_d @[lsu.scala 319:49] + bus_intf.io.ldst_dual_m <= ldst_dual_m @[lsu.scala 320:49] + bus_intf.io.ldst_dual_r <= ldst_dual_r @[lsu.scala 321:49] + bus_intf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 322:49] + bus_intf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 323:49] + bus_intf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 324:49] + bus_intf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 325:49] + bus_intf.io.store_data_r <= dccm_ctl.io.store_data_r @[lsu.scala 326:49] + bus_intf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 327:49] + bus_intf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 328:49] + bus_intf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 328:49] + bus_intf.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu.scala 329:49] + bus_intf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 330:49] + bus_intf.io.is_sideeffects_m <= lsu_lsc_ctl.io.is_sideeffects_m @[lsu.scala 331:49] + bus_intf.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 332:49] + bus_intf.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 333:49] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu.scala 335:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu.scala 335:27] + bus_intf.io.axi.r.bits.last <= io.axi.r.bits.last @[lsu.scala 336:49] + bus_intf.io.axi.r.bits.resp <= io.axi.r.bits.resp @[lsu.scala 336:49] + bus_intf.io.axi.r.bits.data <= io.axi.r.bits.data @[lsu.scala 336:49] + bus_intf.io.axi.r.bits.id <= io.axi.r.bits.id @[lsu.scala 336:49] + bus_intf.io.axi.r.valid <= io.axi.r.valid @[lsu.scala 336:49] + io.axi.r.ready <= bus_intf.io.axi.r.ready @[lsu.scala 336:49] + io.axi.ar.bits.qos <= bus_intf.io.axi.ar.bits.qos @[lsu.scala 336:49] + io.axi.ar.bits.prot <= bus_intf.io.axi.ar.bits.prot @[lsu.scala 336:49] + io.axi.ar.bits.cache <= bus_intf.io.axi.ar.bits.cache @[lsu.scala 336:49] + io.axi.ar.bits.lock <= bus_intf.io.axi.ar.bits.lock @[lsu.scala 336:49] + io.axi.ar.bits.burst <= bus_intf.io.axi.ar.bits.burst @[lsu.scala 336:49] + io.axi.ar.bits.size <= bus_intf.io.axi.ar.bits.size @[lsu.scala 336:49] + io.axi.ar.bits.len <= bus_intf.io.axi.ar.bits.len @[lsu.scala 336:49] + io.axi.ar.bits.region <= bus_intf.io.axi.ar.bits.region @[lsu.scala 336:49] + io.axi.ar.bits.addr <= bus_intf.io.axi.ar.bits.addr @[lsu.scala 336:49] + io.axi.ar.bits.id <= bus_intf.io.axi.ar.bits.id @[lsu.scala 336:49] + io.axi.ar.valid <= bus_intf.io.axi.ar.valid @[lsu.scala 336:49] + bus_intf.io.axi.ar.ready <= io.axi.ar.ready @[lsu.scala 336:49] + bus_intf.io.axi.b.bits.id <= io.axi.b.bits.id @[lsu.scala 336:49] + bus_intf.io.axi.b.bits.resp <= io.axi.b.bits.resp @[lsu.scala 336:49] + bus_intf.io.axi.b.valid <= io.axi.b.valid @[lsu.scala 336:49] + io.axi.b.ready <= bus_intf.io.axi.b.ready @[lsu.scala 336:49] + io.axi.w.bits.last <= bus_intf.io.axi.w.bits.last @[lsu.scala 336:49] + io.axi.w.bits.strb <= bus_intf.io.axi.w.bits.strb @[lsu.scala 336:49] + io.axi.w.bits.data <= bus_intf.io.axi.w.bits.data @[lsu.scala 336:49] + io.axi.w.valid <= bus_intf.io.axi.w.valid @[lsu.scala 336:49] + bus_intf.io.axi.w.ready <= io.axi.w.ready @[lsu.scala 336:49] + io.axi.aw.bits.qos <= bus_intf.io.axi.aw.bits.qos @[lsu.scala 336:49] + io.axi.aw.bits.prot <= bus_intf.io.axi.aw.bits.prot @[lsu.scala 336:49] + io.axi.aw.bits.cache <= bus_intf.io.axi.aw.bits.cache @[lsu.scala 336:49] + io.axi.aw.bits.lock <= bus_intf.io.axi.aw.bits.lock @[lsu.scala 336:49] + io.axi.aw.bits.burst <= bus_intf.io.axi.aw.bits.burst @[lsu.scala 336:49] + io.axi.aw.bits.size <= bus_intf.io.axi.aw.bits.size @[lsu.scala 336:49] + io.axi.aw.bits.len <= bus_intf.io.axi.aw.bits.len @[lsu.scala 336:49] + io.axi.aw.bits.region <= bus_intf.io.axi.aw.bits.region @[lsu.scala 336:49] + io.axi.aw.bits.addr <= bus_intf.io.axi.aw.bits.addr @[lsu.scala 336:49] + io.axi.aw.bits.id <= bus_intf.io.axi.aw.bits.id @[lsu.scala 336:49] + io.axi.aw.valid <= bus_intf.io.axi.aw.valid @[lsu.scala 336:49] + bus_intf.io.axi.aw.ready <= io.axi.aw.ready @[lsu.scala 336:49] + bus_intf.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 337:49] + reg _T_67 : UInt, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 339:67] + _T_67 <= io.lsu_dma.dma_mem_tag @[lsu.scala 339:67] + dma_mem_tag_m <= _T_67 @[lsu.scala 339:57] + reg _T_68 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 340:67] + _T_68 <= lsu_raw_fwd_hi_m @[lsu.scala 340:67] + lsu_raw_fwd_hi_r <= _T_68 @[lsu.scala 340:57] + reg _T_69 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 341:67] + _T_69 <= lsu_raw_fwd_lo_m @[lsu.scala 341:67] + lsu_raw_fwd_lo_r <= _T_69 @[lsu.scala 341:57] + diff --git a/lsu.v b/lsu.v new file mode 100644 index 00000000..1cea6e1a --- /dev/null +++ b/lsu.v @@ -0,0 +1,12034 @@ +module lsu_addrcheck( + input reset, + input io_lsu_c2_m_clk, + input [31:0] io_start_addr_d, + input [31:0] io_end_addr_d, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input [31:0] io_dec_tlu_mrac_ff, + input [3:0] io_rs1_region_d, + output io_is_sideeffects_m, + output io_addr_in_dccm_d, + output io_addr_in_pic_d, + output io_addr_external_d, + output io_access_fault_d, + output io_misaligned_fault_d, + output [3:0] io_exc_mscause_d, + output io_fir_dccm_access_error_d, + output io_fir_nondccm_access_error_d +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; +`endif // RANDOMIZE_REG_INIT + wire start_addr_in_dccm_region_d = io_start_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire start_addr_in_dccm_d = io_start_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire end_addr_in_dccm_region_d = io_end_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire end_addr_in_dccm_d = io_end_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire addr_in_iccm = io_start_addr_d[31:28] == 4'he; // @[lsu_addrcheck.scala 42:45] + wire start_addr_in_pic_d = io_start_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire end_addr_in_pic_d = io_end_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 54:60] + wire _T_17 = io_rs1_region_d == 4'hf; // @[lsu_addrcheck.scala 55:55] + wire base_reg_dccm_or_pic = _T_17 | _T_17; // @[lsu_addrcheck.scala 55:91] + wire [4:0] csr_idx = {io_start_addr_d[31:28],1'h1}; // @[Cat.scala 29:58] + wire [31:0] _T_26 = io_dec_tlu_mrac_ff >> csr_idx; // @[lsu_addrcheck.scala 61:50] + wire _T_29 = start_addr_dccm_or_pic | addr_in_iccm; // @[lsu_addrcheck.scala 61:121] + wire _T_30 = ~_T_29; // @[lsu_addrcheck.scala 61:62] + wire _T_31 = _T_26[0] & _T_30; // @[lsu_addrcheck.scala 61:60] + wire _T_32 = _T_31 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 61:137] + wire _T_33 = io_lsu_pkt_d_bits_store | io_lsu_pkt_d_bits_load; // @[lsu_addrcheck.scala 61:185] + wire is_sideeffects_d = _T_32 & _T_33; // @[lsu_addrcheck.scala 61:158] + wire _T_35 = io_start_addr_d[1:0] == 2'h0; // @[lsu_addrcheck.scala 62:80] + wire _T_36 = io_lsu_pkt_d_bits_word & _T_35; // @[lsu_addrcheck.scala 62:56] + wire _T_38 = ~io_start_addr_d[0]; // @[lsu_addrcheck.scala 62:138] + wire _T_39 = io_lsu_pkt_d_bits_half & _T_38; // @[lsu_addrcheck.scala 62:116] + wire _T_40 = _T_36 | _T_39; // @[lsu_addrcheck.scala 62:90] + wire is_aligned_d = _T_40 | io_lsu_pkt_d_bits_by; // @[lsu_addrcheck.scala 62:148] + wire [31:0] _T_51 = io_start_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 67:56] + wire _T_53 = _T_51 == 32'h7fffffff; // @[lsu_addrcheck.scala 67:88] + wire [31:0] _T_56 = io_start_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 68:56] + wire _T_58 = _T_56 == 32'hffffffff; // @[lsu_addrcheck.scala 68:88] + wire _T_60 = _T_53 | _T_58; // @[lsu_addrcheck.scala 67:153] + wire [31:0] _T_62 = io_start_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 69:56] + wire _T_64 = _T_62 == 32'hbfffffff; // @[lsu_addrcheck.scala 69:88] + wire _T_66 = _T_60 | _T_64; // @[lsu_addrcheck.scala 68:153] + wire [31:0] _T_68 = io_start_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 70:56] + wire _T_70 = _T_68 == 32'h8fffffff; // @[lsu_addrcheck.scala 70:88] + wire _T_72 = _T_66 | _T_70; // @[lsu_addrcheck.scala 69:153] + wire [31:0] _T_98 = io_end_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 76:57] + wire _T_100 = _T_98 == 32'h7fffffff; // @[lsu_addrcheck.scala 76:89] + wire [31:0] _T_103 = io_end_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 77:58] + wire _T_105 = _T_103 == 32'hffffffff; // @[lsu_addrcheck.scala 77:90] + wire _T_107 = _T_100 | _T_105; // @[lsu_addrcheck.scala 76:154] + wire [31:0] _T_109 = io_end_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 78:58] + wire _T_111 = _T_109 == 32'hbfffffff; // @[lsu_addrcheck.scala 78:90] + wire _T_113 = _T_107 | _T_111; // @[lsu_addrcheck.scala 77:155] + wire [31:0] _T_115 = io_end_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 79:58] + wire _T_117 = _T_115 == 32'h8fffffff; // @[lsu_addrcheck.scala 79:90] + wire _T_119 = _T_113 | _T_117; // @[lsu_addrcheck.scala 78:155] + wire non_dccm_access_ok = _T_72 & _T_119; // @[lsu_addrcheck.scala 75:7] + wire regpred_access_fault_d = start_addr_dccm_or_pic ^ base_reg_dccm_or_pic; // @[lsu_addrcheck.scala 85:57] + wire _T_146 = io_start_addr_d[1:0] != 2'h0; // @[lsu_addrcheck.scala 86:76] + wire _T_147 = ~io_lsu_pkt_d_bits_word; // @[lsu_addrcheck.scala 86:92] + wire _T_148 = _T_146 | _T_147; // @[lsu_addrcheck.scala 86:90] + wire picm_access_fault_d = io_addr_in_pic_d & _T_148; // @[lsu_addrcheck.scala 86:51] + wire _T_149 = start_addr_in_dccm_d | start_addr_in_pic_d; // @[lsu_addrcheck.scala 91:87] + wire _T_150 = ~_T_149; // @[lsu_addrcheck.scala 91:64] + wire _T_151 = start_addr_in_dccm_region_d & _T_150; // @[lsu_addrcheck.scala 91:62] + wire _T_152 = end_addr_in_dccm_d | end_addr_in_pic_d; // @[lsu_addrcheck.scala 93:57] + wire _T_153 = ~_T_152; // @[lsu_addrcheck.scala 93:36] + wire _T_154 = end_addr_in_dccm_region_d & _T_153; // @[lsu_addrcheck.scala 93:34] + wire _T_155 = _T_151 | _T_154; // @[lsu_addrcheck.scala 91:112] + wire _T_156 = start_addr_in_dccm_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 95:29] + wire _T_157 = _T_155 | _T_156; // @[lsu_addrcheck.scala 93:85] + wire _T_158 = start_addr_in_pic_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 97:29] + wire unmapped_access_fault_d = _T_157 | _T_158; // @[lsu_addrcheck.scala 95:85] + wire _T_160 = ~start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 99:33] + wire _T_161 = ~non_dccm_access_ok; // @[lsu_addrcheck.scala 99:64] + wire mpu_access_fault_d = _T_160 & _T_161; // @[lsu_addrcheck.scala 99:62] + wire _T_163 = unmapped_access_fault_d | mpu_access_fault_d; // @[lsu_addrcheck.scala 111:49] + wire _T_164 = _T_163 | picm_access_fault_d; // @[lsu_addrcheck.scala 111:70] + wire _T_165 = _T_164 | regpred_access_fault_d; // @[lsu_addrcheck.scala 111:92] + wire _T_166 = _T_165 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 111:118] + wire _T_167 = ~io_lsu_pkt_d_bits_dma; // @[lsu_addrcheck.scala 111:141] + wire [3:0] _T_173 = picm_access_fault_d ? 4'h6 : 4'h0; // @[lsu_addrcheck.scala 112:164] + wire [3:0] _T_174 = regpred_access_fault_d ? 4'h5 : _T_173; // @[lsu_addrcheck.scala 112:120] + wire [3:0] _T_175 = mpu_access_fault_d ? 4'h3 : _T_174; // @[lsu_addrcheck.scala 112:80] + wire [3:0] access_fault_mscause_d = unmapped_access_fault_d ? 4'h2 : _T_175; // @[lsu_addrcheck.scala 112:35] + wire regcross_misaligned_fault_d = io_start_addr_d[31:28] != io_end_addr_d[31:28]; // @[lsu_addrcheck.scala 113:61] + wire _T_178 = ~is_aligned_d; // @[lsu_addrcheck.scala 114:59] + wire sideeffect_misaligned_fault_d = is_sideeffects_d & _T_178; // @[lsu_addrcheck.scala 114:57] + wire _T_179 = sideeffect_misaligned_fault_d & io_addr_external_d; // @[lsu_addrcheck.scala 115:90] + wire _T_180 = regcross_misaligned_fault_d | _T_179; // @[lsu_addrcheck.scala 115:57] + wire _T_181 = _T_180 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 115:113] + wire [3:0] _T_185 = sideeffect_misaligned_fault_d ? 4'h1 : 4'h0; // @[lsu_addrcheck.scala 116:80] + wire [3:0] misaligned_fault_mscause_d = regcross_misaligned_fault_d ? 4'h2 : _T_185; // @[lsu_addrcheck.scala 116:39] + wire _T_190 = ~start_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:66] + wire _T_191 = start_addr_in_dccm_region_d & _T_190; // @[lsu_addrcheck.scala 118:64] + wire _T_192 = ~end_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:120] + wire _T_193 = end_addr_in_dccm_region_d & _T_192; // @[lsu_addrcheck.scala 118:118] + wire _T_194 = _T_191 | _T_193; // @[lsu_addrcheck.scala 118:88] + wire _T_195 = _T_194 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 118:142] + wire _T_197 = start_addr_in_dccm_region_d & end_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 119:66] + wire _T_198 = ~_T_197; // @[lsu_addrcheck.scala 119:36] + wire _T_199 = _T_198 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 119:95] + reg _T_201; // @[lsu_addrcheck.scala 121:60] + assign io_is_sideeffects_m = _T_201; // @[lsu_addrcheck.scala 121:50] + assign io_addr_in_dccm_d = start_addr_in_dccm_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 56:32] + assign io_addr_in_pic_d = start_addr_in_pic_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 57:32] + assign io_addr_external_d = ~start_addr_dccm_or_pic; // @[lsu_addrcheck.scala 59:30] + assign io_access_fault_d = _T_166 & _T_167; // @[lsu_addrcheck.scala 111:21] + assign io_misaligned_fault_d = _T_181 & _T_167; // @[lsu_addrcheck.scala 115:25] + assign io_exc_mscause_d = io_misaligned_fault_d ? misaligned_fault_mscause_d : access_fault_mscause_d; // @[lsu_addrcheck.scala 117:21] + assign io_fir_dccm_access_error_d = _T_195 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 118:31] + assign io_fir_nondccm_access_error_d = _T_199 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 119:33] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_201 = _RAND_0[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_201 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_201 <= 1'h0; + end else begin + _T_201 <= _T_32 & _T_33; + end + end +endmodule +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_lsc_ctl( + input clock, + input reset, + input io_clk_override, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_store_c1_m_clk, + input [31:0] io_lsu_ld_data_corr_r, + input io_lsu_single_ecc_error_r, + input io_lsu_double_ecc_error_r, + input [31:0] io_lsu_ld_data_m, + input io_lsu_single_ecc_error_m, + input io_lsu_double_ecc_error_m, + input io_flush_m_up, + input io_flush_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_dec_lsu_valid_raw_d, + input [11:0] io_dec_lsu_offset_d, + input [31:0] io_picm_mask_data_m, + input [31:0] io_bus_read_data_m, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output [31:0] io_lsu_addr_d, + output [31:0] io_lsu_addr_m, + output [31:0] io_lsu_addr_r, + output [31:0] io_end_addr_d, + output [31:0] io_end_addr_m, + output [31:0] io_end_addr_r, + output [31:0] io_store_data_m, + input [31:0] io_dec_tlu_mrac_ff, + output io_lsu_exc_m, + output io_is_sideeffects_m, + output io_lsu_commit_r, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_addr_in_dccm_d, + output io_addr_in_dccm_m, + output io_addr_in_dccm_r, + output io_addr_in_pic_d, + output io_addr_in_pic_m, + output io_addr_in_pic_r, + output io_addr_external_m, + input io_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_dma_lsc_ctl_dma_mem_sz, + input io_dma_lsc_ctl_dma_mem_write, + input [63:0] io_dma_lsc_ctl_dma_mem_wdata, + output io_lsu_pkt_d_valid, + output io_lsu_pkt_d_bits_fast_int, + output io_lsu_pkt_d_bits_by, + output io_lsu_pkt_d_bits_half, + output io_lsu_pkt_d_bits_word, + output io_lsu_pkt_d_bits_dword, + output io_lsu_pkt_d_bits_load, + output io_lsu_pkt_d_bits_store, + output io_lsu_pkt_d_bits_unsign, + output io_lsu_pkt_d_bits_dma, + output io_lsu_pkt_d_bits_store_data_bypass_d, + output io_lsu_pkt_d_bits_load_ldst_bypass_d, + output io_lsu_pkt_d_bits_store_data_bypass_m, + output io_lsu_pkt_m_valid, + output io_lsu_pkt_m_bits_fast_int, + output io_lsu_pkt_m_bits_by, + output io_lsu_pkt_m_bits_half, + output io_lsu_pkt_m_bits_word, + output io_lsu_pkt_m_bits_dword, + output io_lsu_pkt_m_bits_load, + output io_lsu_pkt_m_bits_store, + output io_lsu_pkt_m_bits_unsign, + output io_lsu_pkt_m_bits_dma, + output io_lsu_pkt_m_bits_store_data_bypass_m, + output io_lsu_pkt_r_valid, + output io_lsu_pkt_r_bits_by, + output io_lsu_pkt_r_bits_half, + output io_lsu_pkt_r_bits_word, + output io_lsu_pkt_r_bits_dword, + output io_lsu_pkt_r_bits_load, + output io_lsu_pkt_r_bits_store, + output io_lsu_pkt_r_bits_unsign, + output io_lsu_pkt_r_bits_dma, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; +`endif // RANDOMIZE_REG_INIT + wire addrcheck_reset; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 118:25] + wire [31:0] addrcheck_io_start_addr_d; // @[lsu_lsc_ctl.scala 118:25] + wire [31:0] addrcheck_io_end_addr_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 118:25] + wire [31:0] addrcheck_io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 118:25] + wire [3:0] addrcheck_io_rs1_region_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_addr_external_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_access_fault_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_misaligned_fault_d; // @[lsu_lsc_ctl.scala 118:25] + wire [3:0] addrcheck_io_exc_mscause_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_fir_dccm_access_error_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_fir_nondccm_access_error_d; // @[lsu_lsc_ctl.scala 118:25] + wire rvclkhdr_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_io_en; // @[lib.scala 378:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire [31:0] lsu_rs1_d = io_dec_lsu_valid_raw_d ? io_lsu_exu_exu_lsu_rs1_d : io_dma_lsc_ctl_dma_mem_addr; // @[lsu_lsc_ctl.scala 100:28] + wire [11:0] _T_3 = io_dec_lsu_valid_raw_d ? 12'hfff : 12'h0; // @[Bitwise.scala 72:12] + wire [11:0] lsu_offset_d = io_dec_lsu_offset_d & _T_3; // @[lsu_lsc_ctl.scala 101:51] + wire [31:0] rs1_d = io_lsu_pkt_d_bits_load_ldst_bypass_d ? io_lsu_result_m : lsu_rs1_d; // @[lsu_lsc_ctl.scala 104:28] + wire [12:0] _T_6 = {1'h0,rs1_d[11:0]}; // @[Cat.scala 29:58] + wire [12:0] _T_8 = {1'h0,lsu_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _T_10 = _T_6 + _T_8; // @[lib.scala 92:39] + wire _T_13 = lsu_offset_d[11] ^ _T_10[12]; // @[lib.scala 93:46] + wire _T_14 = ~_T_13; // @[lib.scala 93:33] + wire [19:0] _T_16 = _T_14 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_18 = _T_16 & rs1_d[31:12]; // @[lib.scala 93:58] + wire _T_20 = ~lsu_offset_d[11]; // @[lib.scala 94:18] + wire _T_22 = _T_20 & _T_10[12]; // @[lib.scala 94:30] + wire [19:0] _T_24 = _T_22 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_27 = rs1_d[31:12] + 20'h1; // @[lib.scala 94:54] + wire [19:0] _T_28 = _T_24 & _T_27; // @[lib.scala 94:41] + wire [19:0] _T_29 = _T_18 | _T_28; // @[lib.scala 93:72] + wire _T_32 = ~_T_10[12]; // @[lib.scala 95:31] + wire _T_33 = lsu_offset_d[11] & _T_32; // @[lib.scala 95:29] + wire [19:0] _T_35 = _T_33 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_38 = rs1_d[31:12] - 20'h1; // @[lib.scala 95:54] + wire [19:0] _T_39 = _T_35 & _T_38; // @[lib.scala 95:41] + wire [19:0] _T_40 = _T_29 | _T_39; // @[lib.scala 94:61] + wire [2:0] _T_43 = io_lsu_pkt_d_bits_half ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_44 = _T_43 & 3'h1; // @[lsu_lsc_ctl.scala 109:58] + wire [2:0] _T_46 = io_lsu_pkt_d_bits_word ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_47 = _T_46 & 3'h3; // @[lsu_lsc_ctl.scala 110:40] + wire [2:0] _T_48 = _T_44 | _T_47; // @[lsu_lsc_ctl.scala 109:70] + wire [2:0] _T_50 = io_lsu_pkt_d_bits_dword ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] addr_offset_d = _T_48 | _T_50; // @[lsu_lsc_ctl.scala 110:52] + wire [12:0] _T_54 = {lsu_offset_d[11],lsu_offset_d}; // @[Cat.scala 29:58] + wire [11:0] _T_57 = {9'h0,addr_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _GEN_0 = {{1'd0}, _T_57}; // @[lsu_lsc_ctl.scala 113:60] + wire [12:0] end_addr_offset_d = _T_54 + _GEN_0; // @[lsu_lsc_ctl.scala 113:60] + wire [18:0] _T_62 = end_addr_offset_d[12] ? 19'h7ffff : 19'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_64 = {_T_62,end_addr_offset_d}; // @[Cat.scala 29:58] + reg access_fault_m; // @[lsu_lsc_ctl.scala 149:75] + reg misaligned_fault_m; // @[lsu_lsc_ctl.scala 150:75] + reg [3:0] exc_mscause_m; // @[lsu_lsc_ctl.scala 151:75] + reg fir_dccm_access_error_m; // @[lsu_lsc_ctl.scala 152:75] + reg fir_nondccm_access_error_m; // @[lsu_lsc_ctl.scala 153:75] + wire _T_69 = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 155:34] + wire _T_70 = ~io_lsu_double_ecc_error_r; // @[lsu_lsc_ctl.scala 156:64] + wire _T_71 = io_lsu_single_ecc_error_r & _T_70; // @[lsu_lsc_ctl.scala 156:62] + wire _T_72 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 156:111] + wire _T_73 = _T_71 & _T_72; // @[lsu_lsc_ctl.scala 156:92] + wire _T_76 = _T_69 | io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 178:67] + wire _T_77 = _T_76 & io_lsu_pkt_m_valid; // @[lsu_lsc_ctl.scala 178:96] + wire _T_78 = ~io_lsu_pkt_m_bits_dma; // @[lsu_lsc_ctl.scala 178:119] + wire _T_79 = _T_77 & _T_78; // @[lsu_lsc_ctl.scala 178:117] + wire _T_80 = ~io_lsu_pkt_m_bits_fast_int; // @[lsu_lsc_ctl.scala 178:144] + wire _T_81 = _T_79 & _T_80; // @[lsu_lsc_ctl.scala 178:142] + wire _T_82 = ~io_flush_m_up; // @[lsu_lsc_ctl.scala 178:174] + wire lsu_error_pkt_m_valid = _T_81 & _T_82; // @[lsu_lsc_ctl.scala 178:172] + wire _T_84 = ~lsu_error_pkt_m_valid; // @[lsu_lsc_ctl.scala 179:75] + wire _T_85 = io_lsu_single_ecc_error_m & _T_84; // @[lsu_lsc_ctl.scala 179:73] + wire lsu_error_pkt_m_bits_single_ecc_error = _T_85 & _T_78; // @[lsu_lsc_ctl.scala 179:99] + wire lsu_error_pkt_m_bits_exc_type = ~misaligned_fault_m; // @[lsu_lsc_ctl.scala 181:46] + wire _T_90 = io_lsu_double_ecc_error_m & lsu_error_pkt_m_bits_exc_type; // @[lsu_lsc_ctl.scala 182:78] + wire _T_91 = ~access_fault_m; // @[lsu_lsc_ctl.scala 182:102] + wire _T_92 = _T_90 & _T_91; // @[lsu_lsc_ctl.scala 182:100] + wire _T_99 = io_lsu_pkt_m_bits_fast_int & io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 184:166] + wire _T_104 = lsu_error_pkt_m_valid | lsu_error_pkt_m_bits_single_ecc_error; // @[lsu_lsc_ctl.scala 185:73] + reg _T_109_bits_inst_type; // @[lib.scala 384:16] + reg _T_109_bits_exc_type; // @[lib.scala 384:16] + reg [3:0] _T_109_bits_mscause; // @[lib.scala 384:16] + reg [31:0] _T_109_bits_addr; // @[lib.scala 384:16] + reg _T_110; // @[lsu_lsc_ctl.scala 186:83] + reg _T_111; // @[lsu_lsc_ctl.scala 187:67] + reg [1:0] _T_112; // @[lsu_lsc_ctl.scala 188:48] + wire dma_pkt_d_bits_load = ~io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 195:30] + wire dma_pkt_d_bits_by = io_dma_lsc_ctl_dma_mem_sz == 3'h0; // @[lsu_lsc_ctl.scala 196:62] + wire dma_pkt_d_bits_half = io_dma_lsc_ctl_dma_mem_sz == 3'h1; // @[lsu_lsc_ctl.scala 197:62] + wire dma_pkt_d_bits_word = io_dma_lsc_ctl_dma_mem_sz == 3'h2; // @[lsu_lsc_ctl.scala 198:62] + wire dma_pkt_d_bits_dword = io_dma_lsc_ctl_dma_mem_sz == 3'h3; // @[lsu_lsc_ctl.scala 199:62] + wire _T_124 = ~io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 212:64] + wire _T_125 = io_flush_m_up & _T_124; // @[lsu_lsc_ctl.scala 212:61] + wire _T_126 = ~_T_125; // @[lsu_lsc_ctl.scala 212:45] + wire _T_127 = io_lsu_p_valid & _T_126; // @[lsu_lsc_ctl.scala 212:43] + wire _T_129 = ~io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 213:68] + wire _T_130 = io_flush_m_up & _T_129; // @[lsu_lsc_ctl.scala 213:65] + wire _T_131 = ~_T_130; // @[lsu_lsc_ctl.scala 213:49] + wire _T_134 = io_flush_m_up & _T_78; // @[lsu_lsc_ctl.scala 214:65] + wire _T_135 = ~_T_134; // @[lsu_lsc_ctl.scala 214:49] + reg _T_138_bits_fast_int; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_by; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_half; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_word; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_dword; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_load; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_store; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_unsign; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_dma; // @[lsu_lsc_ctl.scala 216:65] + reg _T_138_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 216:65] + reg _T_140_bits_by; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_half; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_word; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_dword; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_load; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_store; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_unsign; // @[lsu_lsc_ctl.scala 217:65] + reg _T_140_bits_dma; // @[lsu_lsc_ctl.scala 217:65] + reg _T_141; // @[lsu_lsc_ctl.scala 218:65] + reg _T_142; // @[lsu_lsc_ctl.scala 219:65] + wire [5:0] _T_145 = {io_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_mem_wdata_shifted = io_dma_lsc_ctl_dma_mem_wdata >> _T_145; // @[lsu_lsc_ctl.scala 221:66] + reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 225:72] + reg [31:0] _T_152; // @[lsu_lsc_ctl.scala 226:62] + reg [31:0] _T_153; // @[lsu_lsc_ctl.scala 227:62] + wire _T_167 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 232:69] + wire _T_173 = io_lsu_pkt_m_valid & io_ldst_dual_m; // @[lsu_lsc_ctl.scala 233:69] + reg [31:0] _T_178; // @[lsu_lsc_ctl.scala 236:62] + reg [31:0] _T_179; // @[lsu_lsc_ctl.scala 237:62] + reg _T_180; // @[lsu_lsc_ctl.scala 238:62] + reg _T_181; // @[lsu_lsc_ctl.scala 239:62] + reg _T_182; // @[lsu_lsc_ctl.scala 240:62] + reg _T_183; // @[lsu_lsc_ctl.scala 241:62] + reg _T_184; // @[lsu_lsc_ctl.scala 242:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 243:66] + reg [31:0] bus_read_data_r; // @[lib.scala 374:16] + wire _T_189 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 251:68] + wire _T_190 = io_lsu_pkt_r_valid & _T_189; // @[lsu_lsc_ctl.scala 251:41] + wire _T_191 = ~io_flush_r; // @[lsu_lsc_ctl.scala 251:96] + wire _T_192 = _T_190 & _T_191; // @[lsu_lsc_ctl.scala 251:94] + wire _T_193 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 251:110] + wire _T_196 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 252:69] + wire [31:0] _T_198 = _T_196 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_199 = io_picm_mask_data_m | _T_198; // @[lsu_lsc_ctl.scala 252:59] + wire [31:0] _T_201 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 252:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 273:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 274:33] + wire _T_207 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 275:66] + wire [31:0] _T_209 = _T_207 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_211 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_212 = _T_209 & _T_211; // @[lsu_lsc_ctl.scala 275:94] + wire _T_213 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 276:43] + wire [31:0] _T_215 = _T_213 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_217 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_218 = _T_215 & _T_217; // @[lsu_lsc_ctl.scala 276:71] + wire [31:0] _T_219 = _T_212 | _T_218; // @[lsu_lsc_ctl.scala 275:133] + wire _T_220 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 277:17] + wire _T_221 = _T_220 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 277:43] + wire [31:0] _T_223 = _T_221 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_226 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_228 = {_T_226,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_229 = _T_223 & _T_228; // @[lsu_lsc_ctl.scala 277:71] + wire [31:0] _T_230 = _T_219 | _T_229; // @[lsu_lsc_ctl.scala 276:114] + wire _T_232 = _T_220 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 278:43] + wire [31:0] _T_234 = _T_232 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_237 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_239 = {_T_237,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_240 = _T_234 & _T_239; // @[lsu_lsc_ctl.scala 278:71] + wire [31:0] _T_241 = _T_230 | _T_240; // @[lsu_lsc_ctl.scala 277:134] + wire [31:0] _T_243 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_245 = _T_243 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 279:43] + wire _T_247 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 280:66] + wire [31:0] _T_249 = _T_247 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_251 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_252 = _T_249 & _T_251; // @[lsu_lsc_ctl.scala 280:94] + wire _T_253 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 281:43] + wire [31:0] _T_255 = _T_253 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_257 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_258 = _T_255 & _T_257; // @[lsu_lsc_ctl.scala 281:71] + wire [31:0] _T_259 = _T_252 | _T_258; // @[lsu_lsc_ctl.scala 280:138] + wire _T_260 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 282:17] + wire _T_261 = _T_260 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 282:43] + wire [31:0] _T_263 = _T_261 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_266 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_268 = {_T_266,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_269 = _T_263 & _T_268; // @[lsu_lsc_ctl.scala 282:71] + wire [31:0] _T_270 = _T_259 | _T_269; // @[lsu_lsc_ctl.scala 281:119] + wire _T_272 = _T_260 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 283:43] + wire [31:0] _T_274 = _T_272 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_277 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_279 = {_T_277,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_280 = _T_274 & _T_279; // @[lsu_lsc_ctl.scala 283:71] + wire [31:0] _T_281 = _T_270 | _T_280; // @[lsu_lsc_ctl.scala 282:144] + wire [31:0] _T_283 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_285 = _T_283 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 284:43] + lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 118:25] + .reset(addrcheck_reset), + .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), + .io_start_addr_d(addrcheck_io_start_addr_d), + .io_end_addr_d(addrcheck_io_end_addr_d), + .io_lsu_pkt_d_valid(addrcheck_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(addrcheck_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(addrcheck_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(addrcheck_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(addrcheck_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_load(addrcheck_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(addrcheck_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(addrcheck_io_lsu_pkt_d_bits_dma), + .io_dec_tlu_mrac_ff(addrcheck_io_dec_tlu_mrac_ff), + .io_rs1_region_d(addrcheck_io_rs1_region_d), + .io_is_sideeffects_m(addrcheck_io_is_sideeffects_m), + .io_addr_in_dccm_d(addrcheck_io_addr_in_dccm_d), + .io_addr_in_pic_d(addrcheck_io_addr_in_pic_d), + .io_addr_external_d(addrcheck_io_addr_external_d), + .io_access_fault_d(addrcheck_io_access_fault_d), + .io_misaligned_fault_d(addrcheck_io_misaligned_fault_d), + .io_exc_mscause_d(addrcheck_io_exc_mscause_d), + .io_fir_dccm_access_error_d(addrcheck_io_fir_dccm_access_error_d), + .io_fir_nondccm_access_error_d(addrcheck_io_fir_nondccm_access_error_d) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_lsu_result_m = _T_241 | _T_245; // @[lsu_lsc_ctl.scala 275:27] + assign io_lsu_result_corr_r = _T_281 | _T_285; // @[lsu_lsc_ctl.scala 280:27] + assign io_lsu_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 249:28] + assign io_lsu_addr_m = _T_152; // @[lsu_lsc_ctl.scala 226:24] + assign io_lsu_addr_r = _T_153; // @[lsu_lsc_ctl.scala 227:24] + assign io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 115:24] + assign io_end_addr_m = _T_178; // @[lsu_lsc_ctl.scala 229:17 lsu_lsc_ctl.scala 236:24] + assign io_end_addr_r = _T_179; // @[lsu_lsc_ctl.scala 230:17 lsu_lsc_ctl.scala 237:24] + assign io_store_data_m = _T_199 & _T_201; // @[lsu_lsc_ctl.scala 252:29] + assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 155:16] + assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 128:42] + assign io_lsu_commit_r = _T_192 & _T_193; // @[lsu_lsc_ctl.scala 251:19] + assign io_lsu_single_ecc_error_incr = _T_73 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 156:32] + assign io_lsu_error_pkt_r_valid = _T_111; // @[lsu_lsc_ctl.scala 185:24 lsu_lsc_ctl.scala 187:30] + assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_110; // @[lsu_lsc_ctl.scala 185:24 lsu_lsc_ctl.scala 186:46] + assign io_lsu_error_pkt_r_bits_inst_type = _T_109_bits_inst_type; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_error_pkt_r_bits_exc_type = _T_109_bits_exc_type; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_error_pkt_r_bits_mscause = _T_109_bits_mscause; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_error_pkt_r_bits_addr = _T_109_bits_addr; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 247:28] + assign io_lsu_fir_error = _T_112; // @[lsu_lsc_ctl.scala 188:38] + assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 129:42] + assign io_addr_in_dccm_m = _T_180; // @[lsu_lsc_ctl.scala 238:24] + assign io_addr_in_dccm_r = _T_181; // @[lsu_lsc_ctl.scala 239:24] + assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 130:42] + assign io_addr_in_pic_m = _T_182; // @[lsu_lsc_ctl.scala 240:24] + assign io_addr_in_pic_r = _T_183; // @[lsu_lsc_ctl.scala 241:24] + assign io_addr_external_m = _T_184; // @[lsu_lsc_ctl.scala 242:24] + assign io_lsu_pkt_d_valid = _T_127 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 208:20 lsu_lsc_ctl.scala 212:24] + assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_by = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_by : dma_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_half = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_half : dma_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_word = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_word : dma_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_dword = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dword : dma_pkt_d_bits_dword; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_load = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_load : dma_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_store = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_store : io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_unsign = io_dec_lsu_valid_raw_d & io_lsu_p_bits_unsign; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_dma = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dma : 1'h1; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_store_data_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_load_ldst_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_d_bits_store_data_bypass_m = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 208:20] + assign io_lsu_pkt_m_valid = _T_141; // @[lsu_lsc_ctl.scala 216:28 lsu_lsc_ctl.scala 218:28] + assign io_lsu_pkt_m_bits_fast_int = _T_138_bits_fast_int; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_by = _T_138_bits_by; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_half = _T_138_bits_half; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_word = _T_138_bits_word; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_dword = _T_138_bits_dword; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_load = _T_138_bits_load; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_store = _T_138_bits_store; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_unsign = _T_138_bits_unsign; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_dma = _T_138_bits_dma; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_m_bits_store_data_bypass_m = _T_138_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 216:28] + assign io_lsu_pkt_r_valid = _T_142; // @[lsu_lsc_ctl.scala 217:28 lsu_lsc_ctl.scala 219:28] + assign io_lsu_pkt_r_bits_by = _T_140_bits_by; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_half = _T_140_bits_half; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_word = _T_140_bits_word; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_dword = _T_140_bits_dword; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_load = _T_140_bits_load; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_store = _T_140_bits_store; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_unsign = _T_140_bits_unsign; // @[lsu_lsc_ctl.scala 217:28] + assign io_lsu_pkt_r_bits_dma = _T_140_bits_dma; // @[lsu_lsc_ctl.scala 217:28] + assign addrcheck_reset = reset; + assign addrcheck_io_lsu_c2_m_clk = io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 120:42] + assign addrcheck_io_start_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 122:42] + assign addrcheck_io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 123:42] + assign addrcheck_io_lsu_pkt_d_valid = io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_fast_int = io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_by = io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_half = io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_word = io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_load = io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_store = io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_dma = io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 125:42] + assign addrcheck_io_rs1_region_d = rs1_d[31:28]; // @[lsu_lsc_ctl.scala 126:42] + assign rvclkhdr_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_io_en = _T_104 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_167 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_173 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_addr_external_m | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + access_fault_m = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + misaligned_fault_m = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + exc_mscause_m = _RAND_2[3:0]; + _RAND_3 = {1{`RANDOM}}; + fir_dccm_access_error_m = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + fir_nondccm_access_error_m = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_109_bits_inst_type = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_109_bits_exc_type = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_109_bits_mscause = _RAND_7[3:0]; + _RAND_8 = {1{`RANDOM}}; + _T_109_bits_addr = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + _T_110 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + _T_111 = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_112 = _RAND_11[1:0]; + _RAND_12 = {1{`RANDOM}}; + _T_138_bits_fast_int = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + _T_138_bits_by = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + _T_138_bits_half = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + _T_138_bits_word = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + _T_138_bits_dword = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + _T_138_bits_load = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + _T_138_bits_store = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + _T_138_bits_unsign = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + _T_138_bits_dma = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + _T_138_bits_store_data_bypass_m = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + _T_140_bits_by = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + _T_140_bits_half = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + _T_140_bits_word = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + _T_140_bits_dword = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + _T_140_bits_load = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + _T_140_bits_store = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + _T_140_bits_unsign = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + _T_140_bits_dma = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + _T_141 = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + _T_142 = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + store_data_pre_m = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + _T_152 = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + _T_153 = _RAND_34[31:0]; + _RAND_35 = {1{`RANDOM}}; + _T_178 = _RAND_35[31:0]; + _RAND_36 = {1{`RANDOM}}; + _T_179 = _RAND_36[31:0]; + _RAND_37 = {1{`RANDOM}}; + _T_180 = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + _T_181 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + _T_182 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + _T_183 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + _T_184 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + addr_external_r = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + bus_read_data_r = _RAND_43[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + access_fault_m = 1'h0; + end + if (reset) begin + misaligned_fault_m = 1'h0; + end + if (reset) begin + exc_mscause_m = 4'h0; + end + if (reset) begin + fir_dccm_access_error_m = 1'h0; + end + if (reset) begin + fir_nondccm_access_error_m = 1'h0; + end + if (reset) begin + _T_109_bits_inst_type = 1'h0; + end + if (reset) begin + _T_109_bits_exc_type = 1'h0; + end + if (reset) begin + _T_109_bits_mscause = 4'h0; + end + if (reset) begin + _T_109_bits_addr = 32'h0; + end + if (reset) begin + _T_110 = 1'h0; + end + if (reset) begin + _T_111 = 1'h0; + end + if (reset) begin + _T_112 = 2'h0; + end + if (reset) begin + _T_138_bits_fast_int = 1'h0; + end + if (reset) begin + _T_138_bits_by = 1'h0; + end + if (reset) begin + _T_138_bits_half = 1'h0; + end + if (reset) begin + _T_138_bits_word = 1'h0; + end + if (reset) begin + _T_138_bits_dword = 1'h0; + end + if (reset) begin + _T_138_bits_load = 1'h0; + end + if (reset) begin + _T_138_bits_store = 1'h0; + end + if (reset) begin + _T_138_bits_unsign = 1'h0; + end + if (reset) begin + _T_138_bits_dma = 1'h0; + end + if (reset) begin + _T_138_bits_store_data_bypass_m = 1'h0; + end + if (reset) begin + _T_140_bits_by = 1'h0; + end + if (reset) begin + _T_140_bits_half = 1'h0; + end + if (reset) begin + _T_140_bits_word = 1'h0; + end + if (reset) begin + _T_140_bits_dword = 1'h0; + end + if (reset) begin + _T_140_bits_load = 1'h0; + end + if (reset) begin + _T_140_bits_store = 1'h0; + end + if (reset) begin + _T_140_bits_unsign = 1'h0; + end + if (reset) begin + _T_140_bits_dma = 1'h0; + end + if (reset) begin + _T_141 = 1'h0; + end + if (reset) begin + _T_142 = 1'h0; + end + if (reset) begin + store_data_pre_m = 32'h0; + end + if (reset) begin + _T_152 = 32'h0; + end + if (reset) begin + _T_153 = 32'h0; + end + if (reset) begin + _T_178 = 32'h0; + end + if (reset) begin + _T_179 = 32'h0; + end + if (reset) begin + _T_180 = 1'h0; + end + if (reset) begin + _T_181 = 1'h0; + end + if (reset) begin + _T_182 = 1'h0; + end + if (reset) begin + _T_183 = 1'h0; + end + if (reset) begin + _T_184 = 1'h0; + end + if (reset) begin + addr_external_r = 1'h0; + end + if (reset) begin + bus_read_data_r = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + access_fault_m <= 1'h0; + end else begin + access_fault_m <= addrcheck_io_access_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + misaligned_fault_m <= 1'h0; + end else begin + misaligned_fault_m <= addrcheck_io_misaligned_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + exc_mscause_m <= 4'h0; + end else begin + exc_mscause_m <= addrcheck_io_exc_mscause_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_dccm_access_error_m <= 1'h0; + end else begin + fir_dccm_access_error_m <= addrcheck_io_fir_dccm_access_error_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_nondccm_access_error_m <= 1'h0; + end else begin + fir_nondccm_access_error_m <= addrcheck_io_fir_nondccm_access_error_d; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_inst_type <= 1'h0; + end else begin + _T_109_bits_inst_type <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_exc_type <= 1'h0; + end else begin + _T_109_bits_exc_type <= ~misaligned_fault_m; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_mscause <= 4'h0; + end else if (_T_92) begin + _T_109_bits_mscause <= 4'h1; + end else begin + _T_109_bits_mscause <= exc_mscause_m; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_addr <= 32'h0; + end else begin + _T_109_bits_addr <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_110 <= 1'h0; + end else begin + _T_110 <= _T_85 & _T_78; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_111 <= 1'h0; + end else begin + _T_111 <= _T_81 & _T_82; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_112 <= 2'h0; + end else if (fir_nondccm_access_error_m) begin + _T_112 <= 2'h3; + end else if (fir_dccm_access_error_m) begin + _T_112 <= 2'h2; + end else if (_T_99) begin + _T_112 <= 2'h1; + end else begin + _T_112 <= 2'h0; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_fast_int <= 1'h0; + end else begin + _T_138_bits_fast_int <= io_lsu_pkt_d_bits_fast_int; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_by <= 1'h0; + end else begin + _T_138_bits_by <= io_lsu_pkt_d_bits_by; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_half <= 1'h0; + end else begin + _T_138_bits_half <= io_lsu_pkt_d_bits_half; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_word <= 1'h0; + end else begin + _T_138_bits_word <= io_lsu_pkt_d_bits_word; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_dword <= 1'h0; + end else begin + _T_138_bits_dword <= io_lsu_pkt_d_bits_dword; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_load <= 1'h0; + end else begin + _T_138_bits_load <= io_lsu_pkt_d_bits_load; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_store <= 1'h0; + end else begin + _T_138_bits_store <= io_lsu_pkt_d_bits_store; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_unsign <= 1'h0; + end else begin + _T_138_bits_unsign <= io_lsu_pkt_d_bits_unsign; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_dma <= 1'h0; + end else begin + _T_138_bits_dma <= io_lsu_pkt_d_bits_dma; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_store_data_bypass_m <= 1'h0; + end else begin + _T_138_bits_store_data_bypass_m <= io_lsu_pkt_d_bits_store_data_bypass_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_by <= 1'h0; + end else begin + _T_140_bits_by <= io_lsu_pkt_m_bits_by; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_half <= 1'h0; + end else begin + _T_140_bits_half <= io_lsu_pkt_m_bits_half; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_word <= 1'h0; + end else begin + _T_140_bits_word <= io_lsu_pkt_m_bits_word; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_dword <= 1'h0; + end else begin + _T_140_bits_dword <= io_lsu_pkt_m_bits_dword; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_load <= 1'h0; + end else begin + _T_140_bits_load <= io_lsu_pkt_m_bits_load; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_store <= 1'h0; + end else begin + _T_140_bits_store <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_unsign <= 1'h0; + end else begin + _T_140_bits_unsign <= io_lsu_pkt_m_bits_unsign; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_dma <= 1'h0; + end else begin + _T_140_bits_dma <= io_lsu_pkt_m_bits_dma; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_141 <= 1'h0; + end else begin + _T_141 <= io_lsu_pkt_d_valid & _T_131; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_142 <= 1'h0; + end else begin + _T_142 <= io_lsu_pkt_m_valid & _T_135; + end + end + always @(posedge io_lsu_store_c1_m_clk or posedge reset) begin + if (reset) begin + store_data_pre_m <= 32'h0; + end else if (io_lsu_pkt_d_bits_store_data_bypass_d) begin + store_data_pre_m <= io_lsu_result_m; + end else if (io_dma_lsc_ctl_dma_dccm_req) begin + store_data_pre_m <= dma_mem_wdata_shifted[31:0]; + end else begin + store_data_pre_m <= io_lsu_exu_exu_lsu_rs2_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_152 <= 32'h0; + end else begin + _T_152 <= io_lsu_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_153 <= 32'h0; + end else begin + _T_153 <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_178 <= 32'h0; + end else begin + _T_178 <= io_end_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_179 <= 32'h0; + end else begin + _T_179 <= io_end_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_180 <= 1'h0; + end else begin + _T_180 <= io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_181 <= 1'h0; + end else begin + _T_181 <= io_addr_in_dccm_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_182 <= 1'h0; + end else begin + _T_182 <= io_addr_in_pic_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_183 <= 1'h0; + end else begin + _T_183 <= io_addr_in_pic_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_184 <= 1'h0; + end else begin + _T_184 <= addrcheck_io_addr_external_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + addr_external_r <= 1'h0; + end else begin + addr_external_r <= io_addr_external_m; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + bus_read_data_r <= 32'h0; + end else begin + bus_read_data_r <= io_bus_read_data_m; + end + end +endmodule +module lsu_dccm_ctl( + input clock, + input reset, + input io_clk_override, + input io_lsu_c2_m_clk, + input io_lsu_free_c2_clk, + input io_lsu_store_c1_r_clk, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_dword, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_dma, + input io_addr_in_dccm_d, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_addr_in_pic_d, + input io_addr_in_pic_m, + input io_addr_in_pic_r, + input io_lsu_raw_fwd_lo_r, + input io_lsu_raw_fwd_hi_r, + input io_lsu_commit_r, + input io_ldst_dual_m, + input [31:0] io_lsu_addr_d, + input [15:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [15:0] io_end_addr_m, + input [15:0] io_end_addr_r, + input io_stbuf_reqvld_any, + input [15:0] io_stbuf_addr_any, + input [31:0] io_stbuf_data_any, + input [6:0] io_stbuf_ecc_any, + input [31:0] io_stbuf_fwddata_hi_m, + input [31:0] io_stbuf_fwddata_lo_m, + input [3:0] io_stbuf_fwdbyteen_lo_m, + input [3:0] io_stbuf_fwdbyteen_hi_m, + output [31:0] io_lsu_ld_data_corr_r, + input io_lsu_double_ecc_error_r, + input io_single_ecc_error_hi_r, + input io_single_ecc_error_lo_r, + input [31:0] io_sec_data_hi_r_ff, + input [31:0] io_sec_data_lo_r_ff, + input [6:0] io_sec_data_ecc_hi_r_ff, + input [6:0] io_sec_data_ecc_lo_r_ff, + output [31:0] io_dccm_rdata_hi_m, + output [31:0] io_dccm_rdata_lo_m, + output [6:0] io_dccm_data_ecc_hi_m, + output [6:0] io_dccm_data_ecc_lo_m, + output [31:0] io_lsu_ld_data_m, + input io_lsu_double_ecc_error_m, + input [31:0] io_sec_data_hi_m, + input [31:0] io_sec_data_lo_m, + input [31:0] io_store_data_m, + input io_dma_dccm_wen, + input io_dma_pic_wen, + input [2:0] io_dma_mem_tag_m, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input [6:0] io_dma_dccm_wdata_ecc_hi, + input [6:0] io_dma_dccm_wdata_ecc_lo, + output [31:0] io_store_data_hi_r, + output [31:0] io_store_data_lo_r, + output [31:0] io_store_datafn_hi_r, + output [31:0] io_store_datafn_lo_r, + output [31:0] io_store_data_r, + output io_ld_single_ecc_error_r, + output io_ld_single_ecc_error_r_ff, + output [31:0] io_picm_mask_data_m, + output io_lsu_stbuf_commit_any, + output io_lsu_dccm_rden_m, + input [31:0] io_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_dma_dccm_ctl_dma_mem_wdata, + output io_dma_dccm_ctl_dccm_dma_rvalid, + output io_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_dma_dccm_ctl_dccm_dma_rdata, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [63:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire [63:0] picm_rd_data_m = {io_lsu_pic_picm_rd_data,io_lsu_pic_picm_rd_data}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_corr_m = {io_sec_data_hi_m,io_sec_data_lo_m}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_m = {io_dccm_rdata_hi_m,io_dccm_rdata_lo_m}; // @[Cat.scala 29:58] + wire _T = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_load; // @[lsu_dccm_ctl.scala 145:63] + wire [7:0] _T_5 = {io_stbuf_fwdbyteen_hi_m,io_stbuf_fwdbyteen_lo_m}; // @[Cat.scala 29:58] + wire [63:0] _T_8 = {io_stbuf_fwddata_hi_m,io_stbuf_fwddata_lo_m}; // @[Cat.scala 29:58] + wire [1:0] _T_13 = io_addr_in_dccm_m ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [7:0] _GEN_0 = {{6'd0}, _T_13}; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_15 = _GEN_0 & dccm_rdata_corr_m[7:0]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_16 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_15; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_17 = _T_5[0] ? _T_8[7:0] : _T_16; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_21 = {{4'd0}, _T_17[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_23 = {_T_17[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_25 = _T_23 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_26 = _T_21 | _T_25; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_1 = {{2'd0}, _T_26[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_31 = _GEN_1 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_33 = {_T_26[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_35 = _T_33 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_36 = _T_31 | _T_35; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_2 = {{1'd0}, _T_36[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_41 = _GEN_2 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_43 = {_T_36[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_45 = _T_43 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_46 = _T_41 | _T_45; // @[Bitwise.scala 103:39] + wire [7:0] _T_57 = _GEN_0 & dccm_rdata_corr_m[15:8]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_58 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_57; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_59 = _T_5[1] ? _T_8[15:8] : _T_58; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_63 = {{4'd0}, _T_59[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_65 = {_T_59[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_67 = _T_65 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_68 = _T_63 | _T_67; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_4 = {{2'd0}, _T_68[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_73 = _GEN_4 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_75 = {_T_68[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_77 = _T_75 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_78 = _T_73 | _T_77; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_5 = {{1'd0}, _T_78[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_83 = _GEN_5 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_85 = {_T_78[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_87 = _T_85 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_88 = _T_83 | _T_87; // @[Bitwise.scala 103:39] + wire [7:0] _T_99 = _GEN_0 & dccm_rdata_corr_m[23:16]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_100 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_99; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_101 = _T_5[2] ? _T_8[23:16] : _T_100; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_105 = {{4'd0}, _T_101[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_107 = {_T_101[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_109 = _T_107 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_110 = _T_105 | _T_109; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_7 = {{2'd0}, _T_110[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_115 = _GEN_7 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_117 = {_T_110[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_119 = _T_117 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_120 = _T_115 | _T_119; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_8 = {{1'd0}, _T_120[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_125 = _GEN_8 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_127 = {_T_120[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_129 = _T_127 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_130 = _T_125 | _T_129; // @[Bitwise.scala 103:39] + wire [7:0] _T_141 = _GEN_0 & dccm_rdata_corr_m[31:24]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_142 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_141; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_143 = _T_5[3] ? _T_8[31:24] : _T_142; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_147 = {{4'd0}, _T_143[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_149 = {_T_143[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_151 = _T_149 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_152 = _T_147 | _T_151; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_10 = {{2'd0}, _T_152[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_157 = _GEN_10 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_159 = {_T_152[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_161 = _T_159 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_162 = _T_157 | _T_161; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_11 = {{1'd0}, _T_162[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_167 = _GEN_11 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_169 = {_T_162[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_171 = _T_169 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_172 = _T_167 | _T_171; // @[Bitwise.scala 103:39] + wire [7:0] _T_183 = _GEN_0 & dccm_rdata_corr_m[39:32]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_184 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_183; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_185 = _T_5[4] ? _T_8[39:32] : _T_184; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_189 = {{4'd0}, _T_185[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_191 = {_T_185[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_193 = _T_191 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_194 = _T_189 | _T_193; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_13 = {{2'd0}, _T_194[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_199 = _GEN_13 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_201 = {_T_194[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_203 = _T_201 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_204 = _T_199 | _T_203; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_14 = {{1'd0}, _T_204[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_209 = _GEN_14 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_211 = {_T_204[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_213 = _T_211 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_214 = _T_209 | _T_213; // @[Bitwise.scala 103:39] + wire [7:0] _T_225 = _GEN_0 & dccm_rdata_corr_m[47:40]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_226 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_225; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_227 = _T_5[5] ? _T_8[47:40] : _T_226; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_231 = {{4'd0}, _T_227[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_233 = {_T_227[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_235 = _T_233 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_236 = _T_231 | _T_235; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_16 = {{2'd0}, _T_236[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_241 = _GEN_16 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_243 = {_T_236[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_245 = _T_243 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_246 = _T_241 | _T_245; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_17 = {{1'd0}, _T_246[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_251 = _GEN_17 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_253 = {_T_246[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_255 = _T_253 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_256 = _T_251 | _T_255; // @[Bitwise.scala 103:39] + wire [7:0] _T_267 = _GEN_0 & dccm_rdata_corr_m[55:48]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_268 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_267; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_269 = _T_5[6] ? _T_8[55:48] : _T_268; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_273 = {{4'd0}, _T_269[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_275 = {_T_269[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_277 = _T_275 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_278 = _T_273 | _T_277; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_19 = {{2'd0}, _T_278[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_283 = _GEN_19 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_285 = {_T_278[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_287 = _T_285 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_288 = _T_283 | _T_287; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_20 = {{1'd0}, _T_288[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_293 = _GEN_20 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_295 = {_T_288[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_297 = _T_295 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_298 = _T_293 | _T_297; // @[Bitwise.scala 103:39] + wire [7:0] _T_309 = _GEN_0 & dccm_rdata_corr_m[63:56]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_310 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_309; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_311 = _T_5[7] ? _T_8[63:56] : _T_310; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_315 = {{4'd0}, _T_311[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_317 = {_T_311[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_319 = _T_317 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_320 = _T_315 | _T_319; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_22 = {{2'd0}, _T_320[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_325 = _GEN_22 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_327 = {_T_320[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_329 = _T_327 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_330 = _T_325 | _T_329; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_23 = {{1'd0}, _T_330[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_335 = _GEN_23 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_337 = {_T_330[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_339 = _T_337 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_340 = _T_335 | _T_339; // @[Bitwise.scala 103:39] + wire [63:0] _T_348 = {_T_46,_T_88,_T_130,_T_172,_T_214,_T_256,_T_298,_T_340}; // @[Cat.scala 29:58] + wire [63:0] _T_352 = {{32'd0}, _T_348[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_354 = {_T_348[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_356 = _T_354 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_357 = _T_352 | _T_356; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_24 = {{16'd0}, _T_357[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_362 = _GEN_24 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_364 = {_T_357[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_366 = _T_364 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_367 = _T_362 | _T_366; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_25 = {{8'd0}, _T_367[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_372 = _GEN_25 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_374 = {_T_367[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_376 = _T_374 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_377 = _T_372 | _T_376; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_26 = {{4'd0}, _T_377[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_382 = _GEN_26 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_384 = {_T_377[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_386 = _T_384 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_387 = _T_382 | _T_386; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_27 = {{2'd0}, _T_387[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_392 = _GEN_27 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_394 = {_T_387[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_396 = _T_394 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_397 = _T_392 | _T_396; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_28 = {{1'd0}, _T_397[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_402 = _GEN_28 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_404 = {_T_397[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_406 = _T_404 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_corr_m = _T_402 | _T_406; // @[Bitwise.scala 103:39] + wire [127:0] _T_3 = {lsu_rdata_corr_m,lsu_rdata_corr_m}; // @[Cat.scala 29:58] + wire [127:0] _T_4 = io_ldst_dual_m ? {{64'd0}, lsu_rdata_corr_m} : _T_3; // @[lsu_dccm_ctl.scala 147:47] + wire [7:0] _T_418 = _GEN_0 & dccm_rdata_m[7:0]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_419 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_418; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_420 = _T_5[0] ? _T_8[7:0] : _T_419; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_424 = {{4'd0}, _T_420[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_426 = {_T_420[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_428 = _T_426 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_429 = _T_424 | _T_428; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_30 = {{2'd0}, _T_429[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_434 = _GEN_30 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_436 = {_T_429[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_438 = _T_436 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_439 = _T_434 | _T_438; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_31 = {{1'd0}, _T_439[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_444 = _GEN_31 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_446 = {_T_439[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_448 = _T_446 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_449 = _T_444 | _T_448; // @[Bitwise.scala 103:39] + wire [7:0] _T_460 = _GEN_0 & dccm_rdata_m[15:8]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_461 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_460; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_462 = _T_5[1] ? _T_8[15:8] : _T_461; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_466 = {{4'd0}, _T_462[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_468 = {_T_462[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_470 = _T_468 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_471 = _T_466 | _T_470; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_33 = {{2'd0}, _T_471[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_476 = _GEN_33 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_478 = {_T_471[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_480 = _T_478 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_481 = _T_476 | _T_480; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_34 = {{1'd0}, _T_481[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_486 = _GEN_34 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_488 = {_T_481[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_490 = _T_488 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_491 = _T_486 | _T_490; // @[Bitwise.scala 103:39] + wire [7:0] _T_502 = _GEN_0 & dccm_rdata_m[23:16]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_503 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_502; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_504 = _T_5[2] ? _T_8[23:16] : _T_503; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_508 = {{4'd0}, _T_504[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_510 = {_T_504[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_512 = _T_510 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_513 = _T_508 | _T_512; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_36 = {{2'd0}, _T_513[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_518 = _GEN_36 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_520 = {_T_513[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_522 = _T_520 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_523 = _T_518 | _T_522; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_37 = {{1'd0}, _T_523[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_528 = _GEN_37 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_530 = {_T_523[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_532 = _T_530 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_533 = _T_528 | _T_532; // @[Bitwise.scala 103:39] + wire [7:0] _T_544 = _GEN_0 & dccm_rdata_m[31:24]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_545 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_544; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_546 = _T_5[3] ? _T_8[31:24] : _T_545; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_550 = {{4'd0}, _T_546[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_552 = {_T_546[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_554 = _T_552 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_555 = _T_550 | _T_554; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_39 = {{2'd0}, _T_555[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_560 = _GEN_39 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_562 = {_T_555[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_564 = _T_562 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_565 = _T_560 | _T_564; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_40 = {{1'd0}, _T_565[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_570 = _GEN_40 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_572 = {_T_565[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_574 = _T_572 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_575 = _T_570 | _T_574; // @[Bitwise.scala 103:39] + wire [7:0] _T_586 = _GEN_0 & dccm_rdata_m[39:32]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_587 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_586; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_588 = _T_5[4] ? _T_8[39:32] : _T_587; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_592 = {{4'd0}, _T_588[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_594 = {_T_588[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_596 = _T_594 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_597 = _T_592 | _T_596; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_42 = {{2'd0}, _T_597[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_602 = _GEN_42 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_604 = {_T_597[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_606 = _T_604 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_607 = _T_602 | _T_606; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_43 = {{1'd0}, _T_607[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_612 = _GEN_43 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_614 = {_T_607[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_616 = _T_614 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_617 = _T_612 | _T_616; // @[Bitwise.scala 103:39] + wire [7:0] _T_628 = _GEN_0 & dccm_rdata_m[47:40]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_629 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_628; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_630 = _T_5[5] ? _T_8[47:40] : _T_629; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_634 = {{4'd0}, _T_630[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_636 = {_T_630[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_638 = _T_636 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_639 = _T_634 | _T_638; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_45 = {{2'd0}, _T_639[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_644 = _GEN_45 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_646 = {_T_639[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_648 = _T_646 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_649 = _T_644 | _T_648; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_46 = {{1'd0}, _T_649[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_654 = _GEN_46 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_656 = {_T_649[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_658 = _T_656 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_659 = _T_654 | _T_658; // @[Bitwise.scala 103:39] + wire [7:0] _T_670 = _GEN_0 & dccm_rdata_m[55:48]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_671 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_670; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_672 = _T_5[6] ? _T_8[55:48] : _T_671; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_676 = {{4'd0}, _T_672[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_678 = {_T_672[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_680 = _T_678 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_681 = _T_676 | _T_680; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_48 = {{2'd0}, _T_681[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_686 = _GEN_48 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_688 = {_T_681[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_690 = _T_688 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_691 = _T_686 | _T_690; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_49 = {{1'd0}, _T_691[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_696 = _GEN_49 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_698 = {_T_691[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_700 = _T_698 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_701 = _T_696 | _T_700; // @[Bitwise.scala 103:39] + wire [7:0] _T_712 = _GEN_0 & dccm_rdata_m[63:56]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_713 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_712; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_714 = _T_5[7] ? _T_8[63:56] : _T_713; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_718 = {{4'd0}, _T_714[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_720 = {_T_714[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_722 = _T_720 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_723 = _T_718 | _T_722; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_51 = {{2'd0}, _T_723[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_728 = _GEN_51 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_730 = {_T_723[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_732 = _T_730 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_733 = _T_728 | _T_732; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_52 = {{1'd0}, _T_733[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_738 = _GEN_52 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_740 = {_T_733[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_742 = _T_740 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_743 = _T_738 | _T_742; // @[Bitwise.scala 103:39] + wire [63:0] _T_751 = {_T_449,_T_491,_T_533,_T_575,_T_617,_T_659,_T_701,_T_743}; // @[Cat.scala 29:58] + wire [63:0] _T_755 = {{32'd0}, _T_751[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_757 = {_T_751[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_759 = _T_757 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_760 = _T_755 | _T_759; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_53 = {{16'd0}, _T_760[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_765 = _GEN_53 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_767 = {_T_760[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_769 = _T_767 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_770 = _T_765 | _T_769; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_54 = {{8'd0}, _T_770[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_775 = _GEN_54 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_777 = {_T_770[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_779 = _T_777 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_780 = _T_775 | _T_779; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_55 = {{4'd0}, _T_780[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_785 = _GEN_55 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_787 = {_T_780[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_789 = _T_787 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_790 = _T_785 | _T_789; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_56 = {{2'd0}, _T_790[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_795 = _GEN_56 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_797 = {_T_790[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_799 = _T_797 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_800 = _T_795 | _T_799; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_57 = {{1'd0}, _T_800[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_805 = _GEN_57 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_807 = {_T_800[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_809 = _T_807 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_m = _T_805 | _T_809; // @[Bitwise.scala 103:39] + wire _T_812 = io_addr_in_pic_m | io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 157:123] + wire _T_813 = _T & _T_812; // @[lsu_dccm_ctl.scala 157:103] + reg [63:0] _T_817; // @[lib.scala 374:16] + wire [3:0] _GEN_58 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_dccm_ctl.scala 158:49] + wire [5:0] _T_819 = 4'h8 * _GEN_58; // @[lsu_dccm_ctl.scala 158:49] + wire [63:0] _T_820 = lsu_rdata_m >> _T_819; // @[lsu_dccm_ctl.scala 158:43] + wire _T_826 = io_lsu_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:60] + wire _T_829 = io_end_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:133] + wire _T_830 = _T_826 | _T_829; // @[lsu_dccm_ctl.scala 163:101] + wire _T_831 = _T_830 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 163:175] + wire _T_832 = _T_831 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 163:196] + wire _T_833 = _T_832 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 163:222] + wire _T_834 = _T_833 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 163:246] + wire _T_837 = io_lsu_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:37] + wire _T_840 = io_end_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:110] + wire _T_841 = _T_837 | _T_840; // @[lsu_dccm_ctl.scala 164:78] + wire _T_842 = _T_841 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 164:152] + wire _T_843 = _T_842 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 164:173] + wire _T_844 = _T_843 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 164:199] + wire _T_845 = _T_844 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 164:223] + wire kill_ecc_corr_lo_r = _T_834 | _T_845; // @[lsu_dccm_ctl.scala 163:267] + wire _T_848 = io_lsu_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:60] + wire _T_851 = io_end_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:133] + wire _T_852 = _T_848 | _T_851; // @[lsu_dccm_ctl.scala 166:101] + wire _T_853 = _T_852 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 166:175] + wire _T_854 = _T_853 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 166:196] + wire _T_855 = _T_854 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 166:222] + wire _T_856 = _T_855 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 166:246] + wire _T_859 = io_lsu_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:37] + wire _T_862 = io_end_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:110] + wire _T_863 = _T_859 | _T_862; // @[lsu_dccm_ctl.scala 167:78] + wire _T_864 = _T_863 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 167:152] + wire _T_865 = _T_864 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 167:173] + wire _T_866 = _T_865 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 167:199] + wire _T_867 = _T_866 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 167:223] + wire kill_ecc_corr_hi_r = _T_856 | _T_867; // @[lsu_dccm_ctl.scala 166:267] + wire _T_868 = io_lsu_pkt_r_bits_load & io_single_ecc_error_lo_r; // @[lsu_dccm_ctl.scala 169:60] + wire _T_869 = ~io_lsu_raw_fwd_lo_r; // @[lsu_dccm_ctl.scala 169:89] + wire ld_single_ecc_error_lo_r = _T_868 & _T_869; // @[lsu_dccm_ctl.scala 169:87] + wire _T_870 = io_lsu_pkt_r_bits_load & io_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 170:60] + wire _T_871 = ~io_lsu_raw_fwd_hi_r; // @[lsu_dccm_ctl.scala 170:89] + wire ld_single_ecc_error_hi_r = _T_870 & _T_871; // @[lsu_dccm_ctl.scala 170:87] + wire _T_872 = ld_single_ecc_error_lo_r | ld_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 171:63] + wire _T_873 = ~io_lsu_double_ecc_error_r; // @[lsu_dccm_ctl.scala 171:93] + wire _T_875 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_dccm_ctl.scala 172:81] + wire _T_876 = ld_single_ecc_error_lo_r & _T_875; // @[lsu_dccm_ctl.scala 172:62] + wire _T_877 = ~kill_ecc_corr_lo_r; // @[lsu_dccm_ctl.scala 172:108] + wire _T_879 = ld_single_ecc_error_hi_r & _T_875; // @[lsu_dccm_ctl.scala 173:62] + wire _T_880 = ~kill_ecc_corr_hi_r; // @[lsu_dccm_ctl.scala 173:108] + wire _T_881 = io_lsu_pkt_d_bits_word | io_lsu_pkt_d_bits_dword; // @[lsu_dccm_ctl.scala 175:125] + wire _T_882 = ~_T_881; // @[lsu_dccm_ctl.scala 175:100] + wire _T_884 = io_lsu_addr_d[1:0] != 2'h0; // @[lsu_dccm_ctl.scala 175:174] + wire _T_885 = _T_882 | _T_884; // @[lsu_dccm_ctl.scala 175:152] + wire _T_886 = io_lsu_pkt_d_bits_store & _T_885; // @[lsu_dccm_ctl.scala 175:97] + wire _T_887 = io_lsu_pkt_d_bits_load | _T_886; // @[lsu_dccm_ctl.scala 175:70] + wire _T_888 = io_lsu_pkt_d_valid & _T_887; // @[lsu_dccm_ctl.scala 175:44] + wire lsu_dccm_rden_d = _T_888 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 175:191] + reg ld_single_ecc_error_lo_r_ff; // @[lsu_dccm_ctl.scala 284:73] + reg ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 283:73] + wire _T_889 = ld_single_ecc_error_lo_r_ff | ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 178:63] + reg lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 282:73] + wire _T_890 = ~lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 178:96] + wire _T_892 = lsu_dccm_rden_d | io_dma_dccm_wen; // @[lsu_dccm_ctl.scala 179:75] + wire _T_893 = _T_892 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 179:93] + wire _T_894 = ~_T_893; // @[lsu_dccm_ctl.scala 179:57] + wire _T_897 = io_stbuf_addr_any[3:2] == io_lsu_addr_d[3:2]; // @[lsu_dccm_ctl.scala 180:95] + wire _T_900 = io_stbuf_addr_any[3:2] == io_end_addr_d[3:2]; // @[lsu_dccm_ctl.scala 181:76] + wire _T_901 = _T_897 | _T_900; // @[lsu_dccm_ctl.scala 180:171] + wire _T_902 = ~_T_901; // @[lsu_dccm_ctl.scala 180:24] + wire _T_903 = lsu_dccm_rden_d & _T_902; // @[lsu_dccm_ctl.scala 180:22] + wire _T_904 = _T_894 | _T_903; // @[lsu_dccm_ctl.scala 179:124] + wire _T_906 = io_dma_dccm_wen | io_lsu_stbuf_commit_any; // @[lsu_dccm_ctl.scala 185:41] + reg [15:0] ld_sec_addr_lo_r_ff; // @[lib.scala 374:16] + reg [15:0] ld_sec_addr_hi_r_ff; // @[lib.scala 374:16] + wire [15:0] _T_913 = ld_single_ecc_error_lo_r_ff ? ld_sec_addr_lo_r_ff : ld_sec_addr_hi_r_ff; // @[lsu_dccm_ctl.scala 189:8] + wire [15:0] _T_917 = io_dma_dccm_wen ? io_lsu_addr_d[15:0] : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 190:8] + wire [15:0] _T_923 = ld_single_ecc_error_hi_r_ff ? ld_sec_addr_hi_r_ff : ld_sec_addr_lo_r_ff; // @[lsu_dccm_ctl.scala 193:8] + wire [15:0] _T_927 = io_dma_dccm_wen ? io_end_addr_d : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 194:8] + wire [38:0] _T_935 = {io_sec_data_ecc_lo_r_ff,io_sec_data_lo_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_938 = {io_sec_data_ecc_hi_r_ff,io_sec_data_hi_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_939 = ld_single_ecc_error_lo_r_ff ? _T_935 : _T_938; // @[lsu_dccm_ctl.scala 200:8] + wire [38:0] _T_943 = {io_dma_dccm_wdata_ecc_lo,io_dma_dccm_wdata_lo}; // @[Cat.scala 29:58] + wire [38:0] _T_946 = {io_stbuf_ecc_any,io_stbuf_data_any}; // @[Cat.scala 29:58] + wire [38:0] _T_947 = io_dma_dccm_wen ? _T_943 : _T_946; // @[lsu_dccm_ctl.scala 202:8] + wire [38:0] _T_957 = ld_single_ecc_error_hi_r_ff ? _T_938 : _T_935; // @[lsu_dccm_ctl.scala 206:8] + wire [38:0] _T_961 = {io_dma_dccm_wdata_ecc_hi,io_dma_dccm_wdata_hi}; // @[Cat.scala 29:58] + wire [38:0] _T_965 = io_dma_dccm_wen ? _T_961 : _T_946; // @[lsu_dccm_ctl.scala 208:8] + wire [3:0] _T_968 = io_lsu_pkt_m_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_970 = io_lsu_pkt_m_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_971 = _T_970 & 4'h1; // @[lsu_dccm_ctl.scala 212:94] + wire [3:0] _T_973 = io_lsu_pkt_m_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_974 = _T_973 & 4'h3; // @[lsu_dccm_ctl.scala 213:38] + wire [3:0] _T_975 = _T_971 | _T_974; // @[lsu_dccm_ctl.scala 212:107] + wire [3:0] _T_977 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_979 = _T_975 | _T_977; // @[lsu_dccm_ctl.scala 213:51] + wire [3:0] store_byteen_m = _T_968 & _T_979; // @[lsu_dccm_ctl.scala 212:58] + wire [3:0] _T_981 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_983 = io_lsu_pkt_r_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_984 = _T_983 & 4'h1; // @[lsu_dccm_ctl.scala 216:94] + wire [3:0] _T_986 = io_lsu_pkt_r_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_987 = _T_986 & 4'h3; // @[lsu_dccm_ctl.scala 217:38] + wire [3:0] _T_988 = _T_984 | _T_987; // @[lsu_dccm_ctl.scala 216:107] + wire [3:0] _T_990 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_992 = _T_988 | _T_990; // @[lsu_dccm_ctl.scala 217:51] + wire [3:0] store_byteen_r = _T_981 & _T_992; // @[lsu_dccm_ctl.scala 216:58] + wire [6:0] _GEN_60 = {{3'd0}, store_byteen_m}; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _T_995 = _GEN_60 << io_lsu_addr_m[1:0]; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _GEN_61 = {{3'd0}, store_byteen_r}; // @[lsu_dccm_ctl.scala 222:45] + wire [6:0] _T_998 = _GEN_61 << io_lsu_addr_r[1:0]; // @[lsu_dccm_ctl.scala 222:45] + wire _T_1001 = io_stbuf_addr_any[15:2] == io_lsu_addr_m[15:2]; // @[lsu_dccm_ctl.scala 225:67] + wire dccm_wr_bypass_d_m_lo = _T_1001 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 225:101] + wire _T_1004 = io_stbuf_addr_any[15:2] == io_end_addr_m[15:2]; // @[lsu_dccm_ctl.scala 226:67] + wire dccm_wr_bypass_d_m_hi = _T_1004 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 226:101] + wire _T_1007 = io_stbuf_addr_any[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 228:67] + wire dccm_wr_bypass_d_r_lo = _T_1007 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 228:101] + wire _T_1010 = io_stbuf_addr_any[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 229:67] + wire dccm_wr_bypass_d_r_hi = _T_1010 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 229:101] + wire [63:0] _T_1013 = {32'h0,io_store_data_m}; // @[Cat.scala 29:58] + wire [126:0] _GEN_63 = {{63'd0}, _T_1013}; // @[lsu_dccm_ctl.scala 258:72] + wire [126:0] _T_1016 = _GEN_63 << _T_819; // @[lsu_dccm_ctl.scala 258:72] + wire [63:0] store_data_pre_m = _T_1016[63:0]; // @[lsu_dccm_ctl.scala 258:29] + wire [31:0] store_data_hi_m = store_data_pre_m[63:32]; // @[lsu_dccm_ctl.scala 259:48] + wire [31:0] store_data_lo_m = store_data_pre_m[31:0]; // @[lsu_dccm_ctl.scala 260:48] + wire [7:0] store_byteen_ext_m = {{1'd0}, _T_995}; // @[lsu_dccm_ctl.scala 220:22] + wire _T_1022 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo; // @[lsu_dccm_ctl.scala 261:211] + wire [7:0] _T_1026 = _T_1022 ? io_stbuf_data_any[7:0] : io_sec_data_lo_m[7:0]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1027 = store_byteen_ext_m[0] ? store_data_lo_m[7:0] : _T_1026; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1031 = {{4'd0}, _T_1027[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1033 = {_T_1027[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1035 = _T_1033 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1036 = _T_1031 | _T_1035; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_64 = {{2'd0}, _T_1036[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1041 = _GEN_64 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1043 = {_T_1036[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1045 = _T_1043 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1046 = _T_1041 | _T_1045; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_65 = {{1'd0}, _T_1046[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1051 = _GEN_65 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1053 = {_T_1046[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1055 = _T_1053 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1056 = _T_1051 | _T_1055; // @[Bitwise.scala 103:39] + wire [7:0] _T_1064 = _T_1022 ? io_stbuf_data_any[15:8] : io_sec_data_lo_m[15:8]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1065 = store_byteen_ext_m[1] ? store_data_lo_m[15:8] : _T_1064; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1069 = {{4'd0}, _T_1065[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1071 = {_T_1065[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1073 = _T_1071 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1074 = _T_1069 | _T_1073; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_66 = {{2'd0}, _T_1074[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1079 = _GEN_66 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1081 = {_T_1074[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1083 = _T_1081 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1084 = _T_1079 | _T_1083; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_67 = {{1'd0}, _T_1084[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1089 = _GEN_67 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1091 = {_T_1084[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1093 = _T_1091 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1094 = _T_1089 | _T_1093; // @[Bitwise.scala 103:39] + wire [7:0] _T_1102 = _T_1022 ? io_stbuf_data_any[23:16] : io_sec_data_lo_m[23:16]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1103 = store_byteen_ext_m[2] ? store_data_lo_m[23:16] : _T_1102; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1107 = {{4'd0}, _T_1103[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1109 = {_T_1103[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1111 = _T_1109 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1112 = _T_1107 | _T_1111; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_68 = {{2'd0}, _T_1112[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1117 = _GEN_68 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1119 = {_T_1112[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1121 = _T_1119 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1122 = _T_1117 | _T_1121; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_69 = {{1'd0}, _T_1122[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1127 = _GEN_69 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1129 = {_T_1122[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1131 = _T_1129 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1132 = _T_1127 | _T_1131; // @[Bitwise.scala 103:39] + wire [7:0] _T_1140 = _T_1022 ? io_stbuf_data_any[31:24] : io_sec_data_lo_m[31:24]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1141 = store_byteen_ext_m[3] ? store_data_lo_m[31:24] : _T_1140; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1145 = {{4'd0}, _T_1141[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1147 = {_T_1141[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1149 = _T_1147 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1150 = _T_1145 | _T_1149; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_70 = {{2'd0}, _T_1150[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1155 = _GEN_70 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1157 = {_T_1150[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1159 = _T_1157 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1160 = _T_1155 | _T_1159; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_71 = {{1'd0}, _T_1160[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1165 = _GEN_71 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1167 = {_T_1160[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1169 = _T_1167 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1170 = _T_1165 | _T_1169; // @[Bitwise.scala 103:39] + wire [31:0] _T_1174 = {_T_1056,_T_1094,_T_1132,_T_1170}; // @[Cat.scala 29:58] + wire [31:0] _T_1178 = {{16'd0}, _T_1174[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1180 = {_T_1174[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1182 = _T_1180 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1183 = _T_1178 | _T_1182; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_72 = {{8'd0}, _T_1183[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1188 = _GEN_72 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1190 = {_T_1183[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1192 = _T_1190 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1193 = _T_1188 | _T_1192; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_73 = {{4'd0}, _T_1193[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1198 = _GEN_73 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1200 = {_T_1193[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1202 = _T_1200 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1203 = _T_1198 | _T_1202; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_74 = {{2'd0}, _T_1203[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1208 = _GEN_74 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1210 = {_T_1203[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1212 = _T_1210 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1213 = _T_1208 | _T_1212; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_75 = {{1'd0}, _T_1213[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1218 = _GEN_75 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1220 = {_T_1213[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1222 = _T_1220 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1224; // @[lsu_dccm_ctl.scala 261:72] + wire _T_1228 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi; // @[lsu_dccm_ctl.scala 262:177] + wire [7:0] _T_1232 = _T_1228 ? io_stbuf_data_any[7:0] : io_sec_data_hi_m[7:0]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1233 = store_byteen_ext_m[4] ? store_data_hi_m[7:0] : _T_1232; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1237 = {{4'd0}, _T_1233[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1239 = {_T_1233[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1241 = _T_1239 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1242 = _T_1237 | _T_1241; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_76 = {{2'd0}, _T_1242[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1247 = _GEN_76 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1249 = {_T_1242[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1251 = _T_1249 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1252 = _T_1247 | _T_1251; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_77 = {{1'd0}, _T_1252[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1257 = _GEN_77 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1259 = {_T_1252[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1261 = _T_1259 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1262 = _T_1257 | _T_1261; // @[Bitwise.scala 103:39] + wire [7:0] _T_1270 = _T_1228 ? io_stbuf_data_any[15:8] : io_sec_data_hi_m[15:8]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1271 = store_byteen_ext_m[5] ? store_data_hi_m[15:8] : _T_1270; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1275 = {{4'd0}, _T_1271[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1277 = {_T_1271[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1279 = _T_1277 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1280 = _T_1275 | _T_1279; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_78 = {{2'd0}, _T_1280[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1285 = _GEN_78 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1287 = {_T_1280[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1289 = _T_1287 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1290 = _T_1285 | _T_1289; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_79 = {{1'd0}, _T_1290[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1295 = _GEN_79 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1297 = {_T_1290[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1299 = _T_1297 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1300 = _T_1295 | _T_1299; // @[Bitwise.scala 103:39] + wire [7:0] _T_1308 = _T_1228 ? io_stbuf_data_any[23:16] : io_sec_data_hi_m[23:16]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1309 = store_byteen_ext_m[6] ? store_data_hi_m[23:16] : _T_1308; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1313 = {{4'd0}, _T_1309[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1315 = {_T_1309[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1317 = _T_1315 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1318 = _T_1313 | _T_1317; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_80 = {{2'd0}, _T_1318[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1323 = _GEN_80 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1325 = {_T_1318[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1327 = _T_1325 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1328 = _T_1323 | _T_1327; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_81 = {{1'd0}, _T_1328[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1333 = _GEN_81 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1335 = {_T_1328[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1337 = _T_1335 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1338 = _T_1333 | _T_1337; // @[Bitwise.scala 103:39] + wire [7:0] _T_1346 = _T_1228 ? io_stbuf_data_any[31:24] : io_sec_data_hi_m[31:24]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1347 = store_byteen_ext_m[7] ? store_data_hi_m[31:24] : _T_1346; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1351 = {{4'd0}, _T_1347[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1353 = {_T_1347[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1355 = _T_1353 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1356 = _T_1351 | _T_1355; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_82 = {{2'd0}, _T_1356[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1361 = _GEN_82 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1363 = {_T_1356[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1365 = _T_1363 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1366 = _T_1361 | _T_1365; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_83 = {{1'd0}, _T_1366[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1371 = _GEN_83 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1373 = {_T_1366[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1375 = _T_1373 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1376 = _T_1371 | _T_1375; // @[Bitwise.scala 103:39] + wire [31:0] _T_1380 = {_T_1262,_T_1300,_T_1338,_T_1376}; // @[Cat.scala 29:58] + wire [31:0] _T_1384 = {{16'd0}, _T_1380[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1386 = {_T_1380[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1388 = _T_1386 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1389 = _T_1384 | _T_1388; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_84 = {{8'd0}, _T_1389[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1394 = _GEN_84 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1396 = {_T_1389[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1398 = _T_1396 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1399 = _T_1394 | _T_1398; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_85 = {{4'd0}, _T_1399[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1404 = _GEN_85 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1406 = {_T_1399[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1408 = _T_1406 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1409 = _T_1404 | _T_1408; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_86 = {{2'd0}, _T_1409[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1414 = _GEN_86 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1416 = {_T_1409[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1418 = _T_1416 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1419 = _T_1414 | _T_1418; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_87 = {{1'd0}, _T_1419[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1424 = _GEN_87 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1426 = {_T_1419[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1428 = _T_1426 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1430 = io_ldst_dual_m & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 262:295] + wire _T_1431 = _T_1430 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 262:316] + reg [31:0] _T_1435; // @[lib.scala 374:16] + wire _T_1436 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo; // @[lsu_dccm_ctl.scala 263:105] + wire [7:0] store_byteen_ext_r = {{1'd0}, _T_998}; // @[lsu_dccm_ctl.scala 222:22] + wire _T_1438 = ~store_byteen_ext_r[0]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1439 = _T_1436 & _T_1438; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1443 = _T_1439 ? io_stbuf_data_any[7:0] : io_store_data_lo_r[7:0]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1447 = {{4'd0}, _T_1443[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1449 = {_T_1443[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1451 = _T_1449 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1452 = _T_1447 | _T_1451; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_88 = {{2'd0}, _T_1452[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1457 = _GEN_88 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1459 = {_T_1452[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1461 = _T_1459 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1462 = _T_1457 | _T_1461; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_89 = {{1'd0}, _T_1462[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1467 = _GEN_89 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1469 = {_T_1462[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1471 = _T_1469 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1472 = _T_1467 | _T_1471; // @[Bitwise.scala 103:39] + wire _T_1475 = ~store_byteen_ext_r[1]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1476 = _T_1436 & _T_1475; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1480 = _T_1476 ? io_stbuf_data_any[15:8] : io_store_data_lo_r[15:8]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1484 = {{4'd0}, _T_1480[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1486 = {_T_1480[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1488 = _T_1486 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1489 = _T_1484 | _T_1488; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_90 = {{2'd0}, _T_1489[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1494 = _GEN_90 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1496 = {_T_1489[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1498 = _T_1496 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1499 = _T_1494 | _T_1498; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_91 = {{1'd0}, _T_1499[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1504 = _GEN_91 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1506 = {_T_1499[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1508 = _T_1506 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1509 = _T_1504 | _T_1508; // @[Bitwise.scala 103:39] + wire _T_1512 = ~store_byteen_ext_r[2]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1513 = _T_1436 & _T_1512; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1517 = _T_1513 ? io_stbuf_data_any[23:16] : io_store_data_lo_r[23:16]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1521 = {{4'd0}, _T_1517[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1523 = {_T_1517[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1525 = _T_1523 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1526 = _T_1521 | _T_1525; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_92 = {{2'd0}, _T_1526[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1531 = _GEN_92 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1533 = {_T_1526[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1535 = _T_1533 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1536 = _T_1531 | _T_1535; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_93 = {{1'd0}, _T_1536[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1541 = _GEN_93 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1543 = {_T_1536[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1545 = _T_1543 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1546 = _T_1541 | _T_1545; // @[Bitwise.scala 103:39] + wire _T_1549 = ~store_byteen_ext_r[3]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1550 = _T_1436 & _T_1549; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1554 = _T_1550 ? io_stbuf_data_any[31:24] : io_store_data_lo_r[31:24]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1558 = {{4'd0}, _T_1554[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1560 = {_T_1554[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1562 = _T_1560 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1563 = _T_1558 | _T_1562; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_94 = {{2'd0}, _T_1563[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1568 = _GEN_94 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1570 = {_T_1563[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1572 = _T_1570 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1573 = _T_1568 | _T_1572; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_95 = {{1'd0}, _T_1573[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1578 = _GEN_95 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1580 = {_T_1573[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1582 = _T_1580 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1583 = _T_1578 | _T_1582; // @[Bitwise.scala 103:39] + wire [31:0] _T_1587 = {_T_1472,_T_1509,_T_1546,_T_1583}; // @[Cat.scala 29:58] + wire [31:0] _T_1591 = {{16'd0}, _T_1587[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1593 = {_T_1587[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1595 = _T_1593 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1596 = _T_1591 | _T_1595; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_96 = {{8'd0}, _T_1596[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1601 = _GEN_96 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1603 = {_T_1596[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1605 = _T_1603 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1606 = _T_1601 | _T_1605; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_97 = {{4'd0}, _T_1606[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1611 = _GEN_97 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1613 = {_T_1606[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1615 = _T_1613 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1616 = _T_1611 | _T_1615; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_98 = {{2'd0}, _T_1616[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1621 = _GEN_98 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1623 = {_T_1616[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1625 = _T_1623 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1626 = _T_1621 | _T_1625; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_99 = {{1'd0}, _T_1626[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1631 = _GEN_99 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1633 = {_T_1626[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1635 = _T_1633 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1637 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi; // @[lsu_dccm_ctl.scala 264:105] + wire _T_1639 = ~store_byteen_ext_r[4]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1640 = _T_1637 & _T_1639; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1644 = _T_1640 ? io_stbuf_data_any[7:0] : io_store_data_hi_r[7:0]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1648 = {{4'd0}, _T_1644[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1650 = {_T_1644[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1652 = _T_1650 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1653 = _T_1648 | _T_1652; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_100 = {{2'd0}, _T_1653[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1658 = _GEN_100 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1660 = {_T_1653[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1662 = _T_1660 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1663 = _T_1658 | _T_1662; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_101 = {{1'd0}, _T_1663[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1668 = _GEN_101 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1670 = {_T_1663[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1672 = _T_1670 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1673 = _T_1668 | _T_1672; // @[Bitwise.scala 103:39] + wire _T_1676 = ~store_byteen_ext_r[5]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1677 = _T_1637 & _T_1676; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1681 = _T_1677 ? io_stbuf_data_any[15:8] : io_store_data_hi_r[15:8]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1685 = {{4'd0}, _T_1681[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1687 = {_T_1681[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1689 = _T_1687 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1690 = _T_1685 | _T_1689; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_102 = {{2'd0}, _T_1690[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1695 = _GEN_102 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1697 = {_T_1690[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1699 = _T_1697 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1700 = _T_1695 | _T_1699; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_103 = {{1'd0}, _T_1700[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1705 = _GEN_103 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1707 = {_T_1700[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1709 = _T_1707 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1710 = _T_1705 | _T_1709; // @[Bitwise.scala 103:39] + wire _T_1713 = ~store_byteen_ext_r[6]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1714 = _T_1637 & _T_1713; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1718 = _T_1714 ? io_stbuf_data_any[23:16] : io_store_data_hi_r[23:16]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1722 = {{4'd0}, _T_1718[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1724 = {_T_1718[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1726 = _T_1724 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1727 = _T_1722 | _T_1726; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_104 = {{2'd0}, _T_1727[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1732 = _GEN_104 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1734 = {_T_1727[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1736 = _T_1734 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1737 = _T_1732 | _T_1736; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_105 = {{1'd0}, _T_1737[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1742 = _GEN_105 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1744 = {_T_1737[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1746 = _T_1744 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1747 = _T_1742 | _T_1746; // @[Bitwise.scala 103:39] + wire _T_1750 = ~store_byteen_ext_r[7]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1751 = _T_1637 & _T_1750; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1755 = _T_1751 ? io_stbuf_data_any[31:24] : io_store_data_hi_r[31:24]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1759 = {{4'd0}, _T_1755[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1761 = {_T_1755[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1763 = _T_1761 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1764 = _T_1759 | _T_1763; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_106 = {{2'd0}, _T_1764[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1769 = _GEN_106 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1771 = {_T_1764[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1773 = _T_1771 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1774 = _T_1769 | _T_1773; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_107 = {{1'd0}, _T_1774[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1779 = _GEN_107 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1781 = {_T_1774[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1783 = _T_1781 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1784 = _T_1779 | _T_1783; // @[Bitwise.scala 103:39] + wire [31:0] _T_1788 = {_T_1673,_T_1710,_T_1747,_T_1784}; // @[Cat.scala 29:58] + wire [31:0] _T_1792 = {{16'd0}, _T_1788[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1794 = {_T_1788[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1796 = _T_1794 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1797 = _T_1792 | _T_1796; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_108 = {{8'd0}, _T_1797[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1802 = _GEN_108 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1804 = {_T_1797[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1806 = _T_1804 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1807 = _T_1802 | _T_1806; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_109 = {{4'd0}, _T_1807[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1812 = _GEN_109 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1814 = {_T_1807[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1816 = _T_1814 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1817 = _T_1812 | _T_1816; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_110 = {{2'd0}, _T_1817[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1822 = _GEN_110 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1824 = {_T_1817[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1826 = _T_1824 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1827 = _T_1822 | _T_1826; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_111 = {{1'd0}, _T_1827[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1832 = _GEN_111 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1834 = {_T_1827[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1836 = _T_1834 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] _T_1840 = {io_store_data_hi_r,io_store_data_lo_r}; // @[Cat.scala 29:58] + wire [3:0] _GEN_112 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[lsu_dccm_ctl.scala 265:94] + wire [5:0] _T_1842 = 4'h8 * _GEN_112; // @[lsu_dccm_ctl.scala 265:94] + wire [63:0] _T_1843 = _T_1840 >> _T_1842; // @[lsu_dccm_ctl.scala 265:88] + wire [7:0] _T_1846 = store_byteen_r[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1849 = store_byteen_r[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1852 = store_byteen_r[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1855 = store_byteen_r[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1859 = {_T_1846,_T_1849,_T_1852,_T_1855}; // @[Cat.scala 29:58] + wire [31:0] _T_1863 = {{16'd0}, _T_1859[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1865 = {_T_1859[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1867 = _T_1865 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1868 = _T_1863 | _T_1867; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_113 = {{8'd0}, _T_1868[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1873 = _GEN_113 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1875 = {_T_1868[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1877 = _T_1875 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1878 = _T_1873 | _T_1877; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_114 = {{4'd0}, _T_1878[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1883 = _GEN_114 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1885 = {_T_1878[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1887 = _T_1885 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1888 = _T_1883 | _T_1887; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_115 = {{2'd0}, _T_1888[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1893 = _GEN_115 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1895 = {_T_1888[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1897 = _T_1895 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1898 = _T_1893 | _T_1897; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_116 = {{1'd0}, _T_1898[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1903 = _GEN_116 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1905 = {_T_1898[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1907 = _T_1905 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [31:0] _T_1908 = _T_1903 | _T_1907; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_117 = {{32'd0}, _T_1908}; // @[lsu_dccm_ctl.scala 265:115] + wire [63:0] _T_1909 = _T_1843 & _GEN_117; // @[lsu_dccm_ctl.scala 265:115] + wire _T_1914 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_dccm_ctl.scala 272:58] + wire _T_1915 = _T_1914 & io_addr_in_pic_r; // @[lsu_dccm_ctl.scala 272:84] + wire _T_1916 = _T_1915 & io_lsu_commit_r; // @[lsu_dccm_ctl.scala 272:103] + wire _T_1918 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_load; // @[lsu_dccm_ctl.scala 273:58] + wire _T_1920 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 274:58] + wire [31:0] _T_1924 = {17'h0,io_lsu_addr_d[14:0]}; // @[Cat.scala 29:58] + wire [14:0] _T_1930 = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_addr[14:0] : io_lsu_addr_r[14:0]; // @[lsu_dccm_ctl.scala 276:93] + wire [31:0] _T_1931 = {17'h0,_T_1930}; // @[Cat.scala 29:58] + reg _T_1938; // @[lsu_dccm_ctl.scala 280:61] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_lsu_ld_data_corr_r = _T_817[31:0]; // @[lsu_dccm_ctl.scala 157:28] + assign io_dccm_rdata_hi_m = io_dccm_rd_data_hi[31:0]; // @[lsu_dccm_ctl.scala 268:27] + assign io_dccm_rdata_lo_m = io_dccm_rd_data_lo[31:0]; // @[lsu_dccm_ctl.scala 267:27] + assign io_dccm_data_ecc_hi_m = io_dccm_rd_data_hi[38:32]; // @[lsu_dccm_ctl.scala 270:27] + assign io_dccm_data_ecc_lo_m = io_dccm_rd_data_lo[38:32]; // @[lsu_dccm_ctl.scala 269:27] + assign io_lsu_ld_data_m = _T_820[31:0]; // @[lsu_dccm_ctl.scala 158:28] + assign io_store_data_hi_r = _T_1435; // @[lsu_dccm_ctl.scala 262:29] + assign io_store_data_lo_r = _T_1224; // @[lsu_dccm_ctl.scala 261:29] + assign io_store_datafn_hi_r = _T_1832 | _T_1836; // @[lsu_dccm_ctl.scala 264:29] + assign io_store_datafn_lo_r = _T_1631 | _T_1635; // @[lsu_dccm_ctl.scala 263:29] + assign io_store_data_r = _T_1909[31:0]; // @[lsu_dccm_ctl.scala 265:29] + assign io_ld_single_ecc_error_r = _T_872 & _T_873; // @[lsu_dccm_ctl.scala 171:34] + assign io_ld_single_ecc_error_r_ff = _T_889 & _T_890; // @[lsu_dccm_ctl.scala 178:31] + assign io_picm_mask_data_m = picm_rd_data_m[31:0]; // @[lsu_dccm_ctl.scala 277:27] + assign io_lsu_stbuf_commit_any = io_stbuf_reqvld_any & _T_904; // @[lsu_dccm_ctl.scala 179:31] + assign io_lsu_dccm_rden_m = _T_1938; // @[lsu_dccm_ctl.scala 280:24] + assign io_dma_dccm_ctl_dccm_dma_rvalid = _T & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 145:41] + assign io_dma_dccm_ctl_dccm_dma_ecc_error = io_lsu_double_ecc_error_m; // @[lsu_dccm_ctl.scala 146:41] + assign io_dma_dccm_ctl_dccm_dma_rtag = io_dma_mem_tag_m; // @[lsu_dccm_ctl.scala 148:41] + assign io_dma_dccm_ctl_dccm_dma_rdata = _T_4[63:0]; // @[lsu_dccm_ctl.scala 147:41] + assign io_dccm_wren = _T_906 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 185:22] + assign io_dccm_rden = lsu_dccm_rden_d & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 186:22] + assign io_dccm_wr_addr_lo = io_ld_single_ecc_error_r_ff ? _T_913 : _T_917; // @[lsu_dccm_ctl.scala 188:22] + assign io_dccm_wr_addr_hi = io_ld_single_ecc_error_r_ff ? _T_923 : _T_927; // @[lsu_dccm_ctl.scala 192:22] + assign io_dccm_rd_addr_lo = io_lsu_addr_d[15:0]; // @[lsu_dccm_ctl.scala 196:22] + assign io_dccm_rd_addr_hi = io_end_addr_d; // @[lsu_dccm_ctl.scala 197:22] + assign io_dccm_wr_data_lo = io_ld_single_ecc_error_r_ff ? _T_939 : _T_947; // @[lsu_dccm_ctl.scala 199:22] + assign io_dccm_wr_data_hi = io_ld_single_ecc_error_r_ff ? _T_957 : _T_965; // @[lsu_dccm_ctl.scala 205:22] + assign io_lsu_pic_picm_wren = _T_1916 | io_dma_pic_wen; // @[lsu_dccm_ctl.scala 272:35] + assign io_lsu_pic_picm_rden = _T_1918 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 273:35] + assign io_lsu_pic_picm_mken = _T_1920 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 274:35] + assign io_lsu_pic_picm_rdaddr = 32'hf00c0000 | _T_1924; // @[lsu_dccm_ctl.scala 275:35] + assign io_lsu_pic_picm_wraddr = 32'hf00c0000 | _T_1931; // @[lsu_dccm_ctl.scala 276:35] + assign io_lsu_pic_picm_wr_data = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_wdata[31:0] : io_store_datafn_lo_r; // @[lsu_dccm_ctl.scala 278:35] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_813 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_1431 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {2{`RANDOM}}; + _T_817 = _RAND_0[63:0]; + _RAND_1 = {1{`RANDOM}}; + ld_single_ecc_error_lo_r_ff = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + ld_single_ecc_error_hi_r_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + lsu_double_ecc_error_r_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ld_sec_addr_lo_r_ff = _RAND_4[15:0]; + _RAND_5 = {1{`RANDOM}}; + ld_sec_addr_hi_r_ff = _RAND_5[15:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1224 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1435 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + _T_1938 = _RAND_8[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_817 = 64'h0; + end + if (reset) begin + ld_single_ecc_error_lo_r_ff = 1'h0; + end + if (reset) begin + ld_single_ecc_error_hi_r_ff = 1'h0; + end + if (reset) begin + lsu_double_ecc_error_r_ff = 1'h0; + end + if (reset) begin + ld_sec_addr_lo_r_ff = 16'h0; + end + if (reset) begin + ld_sec_addr_hi_r_ff = 16'h0; + end + if (reset) begin + _T_1224 = 32'h0; + end + if (reset) begin + _T_1435 = 32'h0; + end + if (reset) begin + _T_1938 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_817 <= 64'h0; + end else begin + _T_817 <= lsu_rdata_corr_m >> _T_819; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_lo_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_lo_r_ff <= _T_876 & _T_877; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_hi_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_hi_r_ff <= _T_879 & _T_880; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_double_ecc_error_r_ff <= 1'h0; + end else begin + lsu_double_ecc_error_r_ff <= io_lsu_double_ecc_error_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_lo_r_ff <= 16'h0; + end else begin + ld_sec_addr_lo_r_ff <= io_lsu_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_hi_r_ff <= 16'h0; + end else begin + ld_sec_addr_hi_r_ff <= io_end_addr_r; + end + end + always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin + if (reset) begin + _T_1224 <= 32'h0; + end else begin + _T_1224 <= _T_1218 | _T_1222; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_1435 <= 32'h0; + end else begin + _T_1435 <= _T_1424 | _T_1428; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_1938 <= 1'h0; + end else begin + _T_1938 <= _T_888 & io_addr_in_dccm_d; + end + end +endmodule +module lsu_stbuf( + input clock, + input reset, + input io_lsu_stbuf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_dma, + input io_store_stbuf_reqvld_r, + input io_lsu_commit_r, + input io_dec_lsu_valid_raw_d, + input [31:0] io_store_data_hi_r, + input [31:0] io_store_data_lo_r, + input [31:0] io_store_datafn_hi_r, + input [31:0] io_store_datafn_lo_r, + input io_lsu_stbuf_commit_any, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_scan_mode, + output io_stbuf_reqvld_any, + output io_stbuf_reqvld_flushed_any, + output [15:0] io_stbuf_addr_any, + output [31:0] io_stbuf_data_any, + output io_lsu_stbuf_full_any, + output io_lsu_stbuf_empty_any, + output io_ldst_stbuf_reqvld_r, + output [31:0] io_stbuf_fwddata_hi_m, + output [31:0] io_stbuf_fwddata_lo_m, + output [3:0] io_stbuf_fwdbyteen_hi_m, + output [3:0] io_stbuf_fwdbyteen_lo_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire [1:0] _T_5 = io_lsu_pkt_r_bits_half ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [7:0] _T_7 = io_lsu_pkt_r_bits_dword ? 8'hff : 8'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_10 = {{1'd0}, io_lsu_pkt_r_bits_by}; // @[Mux.scala 27:72] + wire [1:0] _T_8 = _GEN_10 | _T_5; // @[Mux.scala 27:72] + wire [3:0] _GEN_11 = {{2'd0}, _T_8}; // @[Mux.scala 27:72] + wire [3:0] _T_9 = _GEN_11 | _T_6; // @[Mux.scala 27:72] + wire [7:0] _GEN_12 = {{4'd0}, _T_9}; // @[Mux.scala 27:72] + wire [7:0] ldst_byteen_r = _GEN_12 | _T_7; // @[Mux.scala 27:72] + wire dual_stbuf_write_r = io_ldst_dual_r & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 115:43] + wire [10:0] _GEN_13 = {{3'd0}, ldst_byteen_r}; // @[lsu_stbuf.scala 117:39] + wire [10:0] _T_12 = _GEN_13 << io_lsu_addr_r[1:0]; // @[lsu_stbuf.scala 117:39] + wire [7:0] store_byteen_ext_r = _T_12[7:0]; // @[lsu_stbuf.scala 117:22] + wire [3:0] _T_15 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] store_byteen_hi_r = store_byteen_ext_r[7:4] & _T_15; // @[lsu_stbuf.scala 118:52] + wire [3:0] store_byteen_lo_r = store_byteen_ext_r[3:0] & _T_15; // @[lsu_stbuf.scala 119:52] + reg [1:0] RdPtr; // @[Reg.scala 27:20] + wire [1:0] RdPtrPlus1 = RdPtr + 2'h1; // @[lsu_stbuf.scala 121:26] + reg [1:0] WrPtr; // @[Reg.scala 27:20] + wire [1:0] WrPtrPlus1 = WrPtr + 2'h1; // @[lsu_stbuf.scala 122:26] + wire [1:0] WrPtrPlus2 = WrPtr + 2'h2; // @[lsu_stbuf.scala 123:26] + wire _T_22 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_stbuf.scala 125:46] + reg [15:0] stbuf_addr_0; // @[lib.scala 374:16] + wire _T_26 = stbuf_addr_0[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + reg _T_587; // @[lsu_stbuf.scala 160:14] + reg _T_579; // @[lsu_stbuf.scala 160:14] + reg _T_571; // @[lsu_stbuf.scala 160:14] + reg _T_563; // @[lsu_stbuf.scala 160:14] + wire [3:0] stbuf_vld = {_T_587,_T_579,_T_571,_T_563}; // @[Cat.scala 29:58] + wire _T_28 = _T_26 & stbuf_vld[0]; // @[lsu_stbuf.scala 127:179] + reg _T_622; // @[lsu_stbuf.scala 163:14] + reg _T_614; // @[lsu_stbuf.scala 163:14] + reg _T_606; // @[lsu_stbuf.scala 163:14] + reg _T_598; // @[lsu_stbuf.scala 163:14] + wire [3:0] stbuf_dma_kill = {_T_622,_T_614,_T_606,_T_598}; // @[Cat.scala 29:58] + wire _T_30 = ~stbuf_dma_kill[0]; // @[lsu_stbuf.scala 127:197] + wire _T_31 = _T_28 & _T_30; // @[lsu_stbuf.scala 127:195] + wire _T_211 = io_lsu_stbuf_commit_any | io_stbuf_reqvld_flushed_any; // @[lsu_stbuf.scala 138:81] + wire _T_212 = 2'h3 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_214 = _T_211 & _T_212; // @[lsu_stbuf.scala 138:112] + wire _T_208 = 2'h2 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_210 = _T_211 & _T_208; // @[lsu_stbuf.scala 138:112] + wire _T_204 = 2'h1 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_206 = _T_211 & _T_204; // @[lsu_stbuf.scala 138:112] + wire _T_200 = 2'h0 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_202 = _T_211 & _T_200; // @[lsu_stbuf.scala 138:112] + wire [3:0] stbuf_reset = {_T_214,_T_210,_T_206,_T_202}; // @[Cat.scala 29:58] + wire _T_33 = ~stbuf_reset[0]; // @[lsu_stbuf.scala 127:218] + wire _T_34 = _T_31 & _T_33; // @[lsu_stbuf.scala 127:216] + reg [15:0] stbuf_addr_1; // @[lib.scala 374:16] + wire _T_37 = stbuf_addr_1[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + wire _T_39 = _T_37 & stbuf_vld[1]; // @[lsu_stbuf.scala 127:179] + wire _T_41 = ~stbuf_dma_kill[1]; // @[lsu_stbuf.scala 127:197] + wire _T_42 = _T_39 & _T_41; // @[lsu_stbuf.scala 127:195] + wire _T_44 = ~stbuf_reset[1]; // @[lsu_stbuf.scala 127:218] + wire _T_45 = _T_42 & _T_44; // @[lsu_stbuf.scala 127:216] + reg [15:0] stbuf_addr_2; // @[lib.scala 374:16] + wire _T_48 = stbuf_addr_2[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + wire _T_50 = _T_48 & stbuf_vld[2]; // @[lsu_stbuf.scala 127:179] + wire _T_52 = ~stbuf_dma_kill[2]; // @[lsu_stbuf.scala 127:197] + wire _T_53 = _T_50 & _T_52; // @[lsu_stbuf.scala 127:195] + wire _T_55 = ~stbuf_reset[2]; // @[lsu_stbuf.scala 127:218] + wire _T_56 = _T_53 & _T_55; // @[lsu_stbuf.scala 127:216] + reg [15:0] stbuf_addr_3; // @[lib.scala 374:16] + wire _T_59 = stbuf_addr_3[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + wire _T_61 = _T_59 & stbuf_vld[3]; // @[lsu_stbuf.scala 127:179] + wire _T_63 = ~stbuf_dma_kill[3]; // @[lsu_stbuf.scala 127:197] + wire _T_64 = _T_61 & _T_63; // @[lsu_stbuf.scala 127:195] + wire _T_66 = ~stbuf_reset[3]; // @[lsu_stbuf.scala 127:218] + wire _T_67 = _T_64 & _T_66; // @[lsu_stbuf.scala 127:216] + wire [3:0] store_matchvec_lo_r = {_T_67,_T_56,_T_45,_T_34}; // @[Cat.scala 29:58] + wire _T_72 = stbuf_addr_0[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_74 = _T_72 & stbuf_vld[0]; // @[lsu_stbuf.scala 128:179] + wire _T_77 = _T_74 & _T_30; // @[lsu_stbuf.scala 128:194] + wire _T_78 = _T_77 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_81 = _T_78 & _T_33; // @[lsu_stbuf.scala 128:236] + wire _T_84 = stbuf_addr_1[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_86 = _T_84 & stbuf_vld[1]; // @[lsu_stbuf.scala 128:179] + wire _T_89 = _T_86 & _T_41; // @[lsu_stbuf.scala 128:194] + wire _T_90 = _T_89 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_93 = _T_90 & _T_44; // @[lsu_stbuf.scala 128:236] + wire _T_96 = stbuf_addr_2[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_98 = _T_96 & stbuf_vld[2]; // @[lsu_stbuf.scala 128:179] + wire _T_101 = _T_98 & _T_52; // @[lsu_stbuf.scala 128:194] + wire _T_102 = _T_101 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_105 = _T_102 & _T_55; // @[lsu_stbuf.scala 128:236] + wire _T_108 = stbuf_addr_3[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_110 = _T_108 & stbuf_vld[3]; // @[lsu_stbuf.scala 128:179] + wire _T_113 = _T_110 & _T_63; // @[lsu_stbuf.scala 128:194] + wire _T_114 = _T_113 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_117 = _T_114 & _T_66; // @[lsu_stbuf.scala 128:236] + wire [3:0] store_matchvec_hi_r = {_T_117,_T_105,_T_93,_T_81}; // @[Cat.scala 29:58] + wire store_coalesce_lo_r = |store_matchvec_lo_r; // @[lsu_stbuf.scala 130:49] + wire store_coalesce_hi_r = |store_matchvec_hi_r; // @[lsu_stbuf.scala 131:49] + wire _T_120 = 2'h0 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_121 = ~store_coalesce_lo_r; // @[lsu_stbuf.scala 134:31] + wire _T_122 = _T_120 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_124 = _T_120 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_125 = ~store_coalesce_hi_r; // @[lsu_stbuf.scala 135:54] + wire _T_126 = _T_124 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_127 = _T_122 | _T_126; // @[lsu_stbuf.scala 134:53] + wire _T_128 = 2'h0 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_129 = _T_128 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_130 = store_coalesce_lo_r | store_coalesce_hi_r; // @[lsu_stbuf.scala 136:81] + wire _T_131 = ~_T_130; // @[lsu_stbuf.scala 136:59] + wire _T_132 = _T_129 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_133 = _T_127 | _T_132; // @[lsu_stbuf.scala 135:76] + wire _T_135 = _T_133 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 136:105] + wire _T_137 = _T_135 | store_matchvec_hi_r[0]; // @[lsu_stbuf.scala 137:32] + wire _T_138 = io_ldst_stbuf_reqvld_r & _T_137; // @[lsu_stbuf.scala 133:79] + wire _T_139 = 2'h1 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_141 = _T_139 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_143 = _T_139 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_145 = _T_143 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_146 = _T_141 | _T_145; // @[lsu_stbuf.scala 134:53] + wire _T_147 = 2'h1 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_148 = _T_147 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_151 = _T_148 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_152 = _T_146 | _T_151; // @[lsu_stbuf.scala 135:76] + wire _T_154 = _T_152 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 136:105] + wire _T_156 = _T_154 | store_matchvec_hi_r[1]; // @[lsu_stbuf.scala 137:32] + wire _T_157 = io_ldst_stbuf_reqvld_r & _T_156; // @[lsu_stbuf.scala 133:79] + wire _T_158 = 2'h2 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_160 = _T_158 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_162 = _T_158 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_164 = _T_162 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_165 = _T_160 | _T_164; // @[lsu_stbuf.scala 134:53] + wire _T_166 = 2'h2 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_167 = _T_166 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_170 = _T_167 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_171 = _T_165 | _T_170; // @[lsu_stbuf.scala 135:76] + wire _T_173 = _T_171 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 136:105] + wire _T_175 = _T_173 | store_matchvec_hi_r[2]; // @[lsu_stbuf.scala 137:32] + wire _T_176 = io_ldst_stbuf_reqvld_r & _T_175; // @[lsu_stbuf.scala 133:79] + wire _T_177 = 2'h3 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_179 = _T_177 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_181 = _T_177 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_183 = _T_181 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_184 = _T_179 | _T_183; // @[lsu_stbuf.scala 134:53] + wire _T_185 = 2'h3 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_186 = _T_185 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_189 = _T_186 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_190 = _T_184 | _T_189; // @[lsu_stbuf.scala 135:76] + wire _T_192 = _T_190 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 136:105] + wire _T_194 = _T_192 | store_matchvec_hi_r[3]; // @[lsu_stbuf.scala 137:32] + wire _T_195 = io_ldst_stbuf_reqvld_r & _T_194; // @[lsu_stbuf.scala 133:79] + wire [3:0] stbuf_wr_en = {_T_195,_T_176,_T_157,_T_138}; // @[Cat.scala 29:58] + wire _T_218 = ~io_ldst_dual_r; // @[lsu_stbuf.scala 139:56] + wire _T_219 = _T_218 | io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 139:72] + wire _T_222 = _T_219 & _T_120; // @[lsu_stbuf.scala 139:99] + wire _T_224 = _T_222 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_226 = _T_224 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 139:153] + wire _T_231 = _T_219 & _T_139; // @[lsu_stbuf.scala 139:99] + wire _T_233 = _T_231 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_235 = _T_233 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 139:153] + wire _T_240 = _T_219 & _T_158; // @[lsu_stbuf.scala 139:99] + wire _T_242 = _T_240 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_244 = _T_242 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 139:153] + wire _T_249 = _T_219 & _T_177; // @[lsu_stbuf.scala 139:99] + wire _T_251 = _T_249 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_253 = _T_251 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 139:153] + wire [3:0] sel_lo = {_T_253,_T_244,_T_235,_T_226}; // @[Cat.scala 29:58] + reg [3:0] stbuf_byteen_0; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_273 = stbuf_byteen_0 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_274 = stbuf_byteen_0 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_0 = sel_lo[0] ? _T_273 : _T_274; // @[lsu_stbuf.scala 142:61] + reg [3:0] stbuf_byteen_1; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_277 = stbuf_byteen_1 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_278 = stbuf_byteen_1 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_1 = sel_lo[1] ? _T_277 : _T_278; // @[lsu_stbuf.scala 142:61] + reg [3:0] stbuf_byteen_2; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_281 = stbuf_byteen_2 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_282 = stbuf_byteen_2 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_2 = sel_lo[2] ? _T_281 : _T_282; // @[lsu_stbuf.scala 142:61] + reg [3:0] stbuf_byteen_3; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_285 = stbuf_byteen_3 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_286 = stbuf_byteen_3 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_3 = sel_lo[3] ? _T_285 : _T_286; // @[lsu_stbuf.scala 142:61] + wire _T_290 = ~stbuf_byteen_0[0]; // @[lsu_stbuf.scala 144:70] + wire _T_292 = _T_290 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_295 = _T_292 ? io_store_datafn_lo_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_299 = _T_290 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_302 = _T_299 ? io_store_datafn_hi_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_0 = sel_lo[0] ? _T_295 : _T_302; // @[lsu_stbuf.scala 144:54] + wire _T_306 = ~stbuf_byteen_1[0]; // @[lsu_stbuf.scala 144:70] + wire _T_308 = _T_306 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_311 = _T_308 ? io_store_datafn_lo_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_315 = _T_306 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_318 = _T_315 ? io_store_datafn_hi_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_1 = sel_lo[1] ? _T_311 : _T_318; // @[lsu_stbuf.scala 144:54] + wire _T_322 = ~stbuf_byteen_2[0]; // @[lsu_stbuf.scala 144:70] + wire _T_324 = _T_322 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_327 = _T_324 ? io_store_datafn_lo_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_331 = _T_322 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_334 = _T_331 ? io_store_datafn_hi_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_2 = sel_lo[2] ? _T_327 : _T_334; // @[lsu_stbuf.scala 144:54] + wire _T_338 = ~stbuf_byteen_3[0]; // @[lsu_stbuf.scala 144:70] + wire _T_340 = _T_338 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_343 = _T_340 ? io_store_datafn_lo_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_347 = _T_338 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_350 = _T_347 ? io_store_datafn_hi_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_3 = sel_lo[3] ? _T_343 : _T_350; // @[lsu_stbuf.scala 144:54] + wire _T_354 = ~stbuf_byteen_0[1]; // @[lsu_stbuf.scala 147:70] + wire _T_356 = _T_354 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_359 = _T_356 ? io_store_datafn_lo_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_363 = _T_354 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_366 = _T_363 ? io_store_datafn_hi_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_0 = sel_lo[0] ? _T_359 : _T_366; // @[lsu_stbuf.scala 147:54] + wire _T_370 = ~stbuf_byteen_1[1]; // @[lsu_stbuf.scala 147:70] + wire _T_372 = _T_370 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_375 = _T_372 ? io_store_datafn_lo_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_379 = _T_370 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_382 = _T_379 ? io_store_datafn_hi_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_1 = sel_lo[1] ? _T_375 : _T_382; // @[lsu_stbuf.scala 147:54] + wire _T_386 = ~stbuf_byteen_2[1]; // @[lsu_stbuf.scala 147:70] + wire _T_388 = _T_386 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_391 = _T_388 ? io_store_datafn_lo_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_395 = _T_386 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_398 = _T_395 ? io_store_datafn_hi_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_2 = sel_lo[2] ? _T_391 : _T_398; // @[lsu_stbuf.scala 147:54] + wire _T_402 = ~stbuf_byteen_3[1]; // @[lsu_stbuf.scala 147:70] + wire _T_404 = _T_402 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_407 = _T_404 ? io_store_datafn_lo_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_411 = _T_402 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_414 = _T_411 ? io_store_datafn_hi_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_3 = sel_lo[3] ? _T_407 : _T_414; // @[lsu_stbuf.scala 147:54] + wire _T_418 = ~stbuf_byteen_0[2]; // @[lsu_stbuf.scala 150:70] + wire _T_420 = _T_418 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_423 = _T_420 ? io_store_datafn_lo_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_427 = _T_418 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_430 = _T_427 ? io_store_datafn_hi_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_0 = sel_lo[0] ? _T_423 : _T_430; // @[lsu_stbuf.scala 150:54] + wire _T_434 = ~stbuf_byteen_1[2]; // @[lsu_stbuf.scala 150:70] + wire _T_436 = _T_434 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_439 = _T_436 ? io_store_datafn_lo_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_443 = _T_434 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_446 = _T_443 ? io_store_datafn_hi_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_1 = sel_lo[1] ? _T_439 : _T_446; // @[lsu_stbuf.scala 150:54] + wire _T_450 = ~stbuf_byteen_2[2]; // @[lsu_stbuf.scala 150:70] + wire _T_452 = _T_450 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_455 = _T_452 ? io_store_datafn_lo_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_459 = _T_450 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_462 = _T_459 ? io_store_datafn_hi_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_2 = sel_lo[2] ? _T_455 : _T_462; // @[lsu_stbuf.scala 150:54] + wire _T_466 = ~stbuf_byteen_3[2]; // @[lsu_stbuf.scala 150:70] + wire _T_468 = _T_466 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_471 = _T_468 ? io_store_datafn_lo_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_475 = _T_466 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_478 = _T_475 ? io_store_datafn_hi_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_3 = sel_lo[3] ? _T_471 : _T_478; // @[lsu_stbuf.scala 150:54] + wire _T_482 = ~stbuf_byteen_0[3]; // @[lsu_stbuf.scala 153:70] + wire _T_484 = _T_482 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_487 = _T_484 ? io_store_datafn_lo_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_491 = _T_482 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_494 = _T_491 ? io_store_datafn_hi_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_0 = sel_lo[0] ? _T_487 : _T_494; // @[lsu_stbuf.scala 153:54] + wire _T_498 = ~stbuf_byteen_1[3]; // @[lsu_stbuf.scala 153:70] + wire _T_500 = _T_498 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_503 = _T_500 ? io_store_datafn_lo_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_507 = _T_498 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_510 = _T_507 ? io_store_datafn_hi_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_1 = sel_lo[1] ? _T_503 : _T_510; // @[lsu_stbuf.scala 153:54] + wire _T_514 = ~stbuf_byteen_2[3]; // @[lsu_stbuf.scala 153:70] + wire _T_516 = _T_514 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_519 = _T_516 ? io_store_datafn_lo_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_523 = _T_514 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_526 = _T_523 ? io_store_datafn_hi_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_2 = sel_lo[2] ? _T_519 : _T_526; // @[lsu_stbuf.scala 153:54] + wire _T_530 = ~stbuf_byteen_3[3]; // @[lsu_stbuf.scala 153:70] + wire _T_532 = _T_530 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_535 = _T_532 ? io_store_datafn_lo_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_539 = _T_530 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_542 = _T_539 ? io_store_datafn_hi_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_3 = sel_lo[3] ? _T_535 : _T_542; // @[lsu_stbuf.scala 153:54] + wire [15:0] _T_544 = {datain2_0,datain1_0}; // @[Cat.scala 29:58] + wire [15:0] _T_545 = {datain4_0,datain3_0}; // @[Cat.scala 29:58] + wire [15:0] _T_547 = {datain2_1,datain1_1}; // @[Cat.scala 29:58] + wire [15:0] _T_548 = {datain4_1,datain3_1}; // @[Cat.scala 29:58] + wire [15:0] _T_550 = {datain2_2,datain1_2}; // @[Cat.scala 29:58] + wire [15:0] _T_551 = {datain4_2,datain3_2}; // @[Cat.scala 29:58] + wire [15:0] _T_553 = {datain2_3,datain1_3}; // @[Cat.scala 29:58] + wire [15:0] _T_554 = {datain4_3,datain3_3}; // @[Cat.scala 29:58] + wire _T_559 = stbuf_wr_en[0] | stbuf_vld[0]; // @[lsu_stbuf.scala 160:18] + wire _T_567 = stbuf_wr_en[1] | stbuf_vld[1]; // @[lsu_stbuf.scala 160:18] + wire _T_575 = stbuf_wr_en[2] | stbuf_vld[2]; // @[lsu_stbuf.scala 160:18] + wire _T_583 = stbuf_wr_en[3] | stbuf_vld[3]; // @[lsu_stbuf.scala 160:18] + wire [15:0] cmpaddr_hi_m = {{2'd0}, io_end_addr_m[15:2]}; // @[lsu_stbuf.scala 208:16] + wire _T_786 = stbuf_addr_3[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_788 = _T_786 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:139] + wire _T_791 = _T_788 & _T_63; // @[lsu_stbuf.scala 212:154] + wire _T_792 = _T_791 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire _T_777 = stbuf_addr_2[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_779 = _T_777 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:139] + wire _T_782 = _T_779 & _T_52; // @[lsu_stbuf.scala 212:154] + wire _T_783 = _T_782 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire _T_768 = stbuf_addr_1[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_770 = _T_768 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:139] + wire _T_773 = _T_770 & _T_41; // @[lsu_stbuf.scala 212:154] + wire _T_774 = _T_773 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire _T_759 = stbuf_addr_0[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_761 = _T_759 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:139] + wire _T_764 = _T_761 & _T_30; // @[lsu_stbuf.scala 212:154] + wire _T_765 = _T_764 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire [3:0] stbuf_match_hi = {_T_792,_T_783,_T_774,_T_765}; // @[Cat.scala 29:58] + wire [15:0] cmpaddr_lo_m = {{2'd0}, io_lsu_addr_m[15:2]}; // @[lsu_stbuf.scala 209:17] + wire _T_824 = stbuf_addr_3[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_826 = _T_824 & stbuf_vld[3]; // @[lsu_stbuf.scala 213:139] + wire _T_829 = _T_826 & _T_63; // @[lsu_stbuf.scala 213:154] + wire _T_830 = _T_829 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire _T_815 = stbuf_addr_2[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_817 = _T_815 & stbuf_vld[2]; // @[lsu_stbuf.scala 213:139] + wire _T_820 = _T_817 & _T_52; // @[lsu_stbuf.scala 213:154] + wire _T_821 = _T_820 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire _T_806 = stbuf_addr_1[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_808 = _T_806 & stbuf_vld[1]; // @[lsu_stbuf.scala 213:139] + wire _T_811 = _T_808 & _T_41; // @[lsu_stbuf.scala 213:154] + wire _T_812 = _T_811 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire _T_797 = stbuf_addr_0[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_799 = _T_797 & stbuf_vld[0]; // @[lsu_stbuf.scala 213:139] + wire _T_802 = _T_799 & _T_30; // @[lsu_stbuf.scala 213:154] + wire _T_803 = _T_802 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire [3:0] stbuf_match_lo = {_T_830,_T_821,_T_812,_T_803}; // @[Cat.scala 29:58] + wire _T_853 = stbuf_match_hi[3] | stbuf_match_lo[3]; // @[lsu_stbuf.scala 214:78] + wire _T_854 = _T_853 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_855 = _T_854 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_856 = _T_855 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire _T_847 = stbuf_match_hi[2] | stbuf_match_lo[2]; // @[lsu_stbuf.scala 214:78] + wire _T_848 = _T_847 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_849 = _T_848 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_850 = _T_849 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire _T_841 = stbuf_match_hi[1] | stbuf_match_lo[1]; // @[lsu_stbuf.scala 214:78] + wire _T_842 = _T_841 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_843 = _T_842 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_844 = _T_843 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire _T_835 = stbuf_match_hi[0] | stbuf_match_lo[0]; // @[lsu_stbuf.scala 214:78] + wire _T_836 = _T_835 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_837 = _T_836 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_838 = _T_837 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire [3:0] stbuf_dma_kill_en = {_T_856,_T_850,_T_844,_T_838}; // @[Cat.scala 29:58] + wire _T_594 = stbuf_dma_kill_en[0] | stbuf_dma_kill[0]; // @[lsu_stbuf.scala 163:18] + wire _T_602 = stbuf_dma_kill_en[1] | stbuf_dma_kill[1]; // @[lsu_stbuf.scala 163:18] + wire _T_610 = stbuf_dma_kill_en[2] | stbuf_dma_kill[2]; // @[lsu_stbuf.scala 163:18] + wire _T_618 = stbuf_dma_kill_en[3] | stbuf_dma_kill[3]; // @[lsu_stbuf.scala 163:18] + wire [3:0] _T_628 = stbuf_wr_en[0] ? stbuf_byteenin_0 : stbuf_byteen_0; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_632 = _T_33 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_637 = stbuf_wr_en[1] ? stbuf_byteenin_1 : stbuf_byteen_1; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_641 = _T_44 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_646 = stbuf_wr_en[2] ? stbuf_byteenin_2 : stbuf_byteen_2; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_650 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_655 = stbuf_wr_en[3] ? stbuf_byteenin_3 : stbuf_byteen_3; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_659 = _T_66 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_686 = stbuf_vld >> RdPtr; // @[lsu_stbuf.scala 183:43] + wire [3:0] _T_688 = stbuf_dma_kill >> RdPtr; // @[lsu_stbuf.scala 183:67] + wire _T_695 = ~_T_688[0]; // @[lsu_stbuf.scala 184:46] + wire _T_696 = _T_686[0] & _T_695; // @[lsu_stbuf.scala 184:44] + wire _T_697 = |stbuf_dma_kill_en; // @[lsu_stbuf.scala 184:91] + wire _T_698 = ~_T_697; // @[lsu_stbuf.scala 184:71] + wire [15:0] _GEN_1 = 2'h1 == RdPtr ? stbuf_addr_1 : stbuf_addr_0; // @[lsu_stbuf.scala 185:22] + wire [15:0] _GEN_2 = 2'h2 == RdPtr ? stbuf_addr_2 : _GEN_1; // @[lsu_stbuf.scala 185:22] + wire [31:0] _GEN_5 = 2'h1 == RdPtr ? stbuf_data_1 : stbuf_data_0; // @[lsu_stbuf.scala 186:22] + wire [31:0] _GEN_6 = 2'h2 == RdPtr ? stbuf_data_2 : _GEN_5; // @[lsu_stbuf.scala 186:22] + wire _T_700 = ~dual_stbuf_write_r; // @[lsu_stbuf.scala 188:44] + wire _T_701 = io_ldst_stbuf_reqvld_r & _T_700; // @[lsu_stbuf.scala 188:42] + wire _T_702 = store_coalesce_hi_r | store_coalesce_lo_r; // @[lsu_stbuf.scala 188:88] + wire _T_703 = ~_T_702; // @[lsu_stbuf.scala 188:66] + wire _T_704 = _T_701 & _T_703; // @[lsu_stbuf.scala 188:64] + wire _T_705 = io_ldst_stbuf_reqvld_r & dual_stbuf_write_r; // @[lsu_stbuf.scala 189:30] + wire _T_706 = store_coalesce_hi_r & store_coalesce_lo_r; // @[lsu_stbuf.scala 189:76] + wire _T_707 = ~_T_706; // @[lsu_stbuf.scala 189:54] + wire _T_708 = _T_705 & _T_707; // @[lsu_stbuf.scala 189:52] + wire WrPtrEn = _T_704 | _T_708; // @[lsu_stbuf.scala 188:113] + wire _T_713 = _T_705 & _T_703; // @[lsu_stbuf.scala 190:67] + wire [3:0] _T_718 = {3'h0,stbuf_vld[0]}; // @[Cat.scala 29:58] + wire [3:0] _T_720 = {3'h0,stbuf_vld[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_722 = {3'h0,stbuf_vld[2]}; // @[Cat.scala 29:58] + wire [3:0] _T_724 = {3'h0,stbuf_vld[3]}; // @[Cat.scala 29:58] + wire [3:0] _T_727 = _T_718 + _T_720; // @[lsu_stbuf.scala 197:101] + wire [3:0] _T_729 = _T_727 + _T_722; // @[lsu_stbuf.scala 197:101] + wire [3:0] stbuf_numvld_any = _T_729 + _T_724; // @[lsu_stbuf.scala 197:101] + wire _T_731 = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 198:39] + wire _T_732 = _T_731 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 198:65] + wire _T_733 = ~io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 198:87] + wire isdccmst_m = _T_732 & _T_733; // @[lsu_stbuf.scala 198:85] + wire _T_734 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 199:39] + wire _T_735 = _T_734 & io_addr_in_dccm_r; // @[lsu_stbuf.scala 199:65] + wire _T_736 = ~io_lsu_pkt_r_bits_dma; // @[lsu_stbuf.scala 199:87] + wire isdccmst_r = _T_735 & _T_736; // @[lsu_stbuf.scala 199:85] + wire [1:0] _T_737 = {1'h0,isdccmst_m}; // @[Cat.scala 29:58] + wire _T_738 = isdccmst_m & io_ldst_dual_m; // @[lsu_stbuf.scala 201:62] + wire [2:0] _GEN_14 = {{1'd0}, _T_737}; // @[lsu_stbuf.scala 201:47] + wire [2:0] _T_739 = _GEN_14 << _T_738; // @[lsu_stbuf.scala 201:47] + wire [1:0] _T_740 = {1'h0,isdccmst_r}; // @[Cat.scala 29:58] + wire _T_741 = isdccmst_r & io_ldst_dual_r; // @[lsu_stbuf.scala 202:62] + wire [2:0] _GEN_15 = {{1'd0}, _T_740}; // @[lsu_stbuf.scala 202:47] + wire [2:0] _T_742 = _GEN_15 << _T_741; // @[lsu_stbuf.scala 202:47] + wire [1:0] stbuf_specvld_m = _T_739[1:0]; // @[lsu_stbuf.scala 201:19] + wire [3:0] _T_743 = {2'h0,stbuf_specvld_m}; // @[Cat.scala 29:58] + wire [3:0] _T_745 = stbuf_numvld_any + _T_743; // @[lsu_stbuf.scala 203:44] + wire [1:0] stbuf_specvld_r = _T_742[1:0]; // @[lsu_stbuf.scala 202:19] + wire [3:0] _T_746 = {2'h0,stbuf_specvld_r}; // @[Cat.scala 29:58] + wire [3:0] stbuf_specvld_any = _T_745 + _T_746; // @[lsu_stbuf.scala 203:78] + wire _T_748 = ~io_ldst_dual_d; // @[lsu_stbuf.scala 205:34] + wire _T_749 = _T_748 & io_dec_lsu_valid_raw_d; // @[lsu_stbuf.scala 205:50] + wire _T_751 = stbuf_specvld_any >= 4'h4; // @[lsu_stbuf.scala 205:102] + wire _T_752 = stbuf_specvld_any >= 4'h3; // @[lsu_stbuf.scala 205:143] + wire _T_862 = stbuf_match_hi[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_0 = _T_862 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_866 = stbuf_match_hi[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_1 = _T_866 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_870 = stbuf_match_hi[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_2 = _T_870 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_874 = stbuf_match_hi[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_3 = _T_874 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_878 = stbuf_match_hi[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_0 = _T_878 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_882 = stbuf_match_hi[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_1 = _T_882 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_886 = stbuf_match_hi[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_2 = _T_886 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_890 = stbuf_match_hi[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_3 = _T_890 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_894 = stbuf_match_hi[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_0 = _T_894 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_898 = stbuf_match_hi[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_1 = _T_898 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_902 = stbuf_match_hi[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_2 = _T_902 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_906 = stbuf_match_hi[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_3 = _T_906 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_910 = stbuf_match_hi[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_0 = _T_910 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_914 = stbuf_match_hi[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_1 = _T_914 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_918 = stbuf_match_hi[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_2 = _T_918 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_922 = stbuf_match_hi[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_3 = _T_922 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_926 = stbuf_match_lo[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_0 = _T_926 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_930 = stbuf_match_lo[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_1 = _T_930 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_934 = stbuf_match_lo[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_2 = _T_934 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_938 = stbuf_match_lo[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_3 = _T_938 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_942 = stbuf_match_lo[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_0 = _T_942 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_946 = stbuf_match_lo[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_1 = _T_946 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_950 = stbuf_match_lo[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_2 = _T_950 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_954 = stbuf_match_lo[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_3 = _T_954 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_958 = stbuf_match_lo[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_0 = _T_958 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_962 = stbuf_match_lo[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_1 = _T_962 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_966 = stbuf_match_lo[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_2 = _T_966 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_970 = stbuf_match_lo[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_3 = _T_970 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_974 = stbuf_match_lo[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_0 = _T_974 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_978 = stbuf_match_lo[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_1 = _T_978 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_982 = stbuf_match_lo[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_2 = _T_982 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_986 = stbuf_match_lo[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_3 = _T_986 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_988 = stbuf_fwdbyteenvec_hi_0_0 | stbuf_fwdbyteenvec_hi_1_0; // @[lsu_stbuf.scala 219:147] + wire _T_989 = _T_988 | stbuf_fwdbyteenvec_hi_2_0; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_0 = _T_989 | stbuf_fwdbyteenvec_hi_3_0; // @[lsu_stbuf.scala 219:147] + wire _T_990 = stbuf_fwdbyteenvec_hi_0_1 | stbuf_fwdbyteenvec_hi_1_1; // @[lsu_stbuf.scala 219:147] + wire _T_991 = _T_990 | stbuf_fwdbyteenvec_hi_2_1; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_1 = _T_991 | stbuf_fwdbyteenvec_hi_3_1; // @[lsu_stbuf.scala 219:147] + wire _T_992 = stbuf_fwdbyteenvec_hi_0_2 | stbuf_fwdbyteenvec_hi_1_2; // @[lsu_stbuf.scala 219:147] + wire _T_993 = _T_992 | stbuf_fwdbyteenvec_hi_2_2; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_2 = _T_993 | stbuf_fwdbyteenvec_hi_3_2; // @[lsu_stbuf.scala 219:147] + wire _T_994 = stbuf_fwdbyteenvec_hi_0_3 | stbuf_fwdbyteenvec_hi_1_3; // @[lsu_stbuf.scala 219:147] + wire _T_995 = _T_994 | stbuf_fwdbyteenvec_hi_2_3; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_3 = _T_995 | stbuf_fwdbyteenvec_hi_3_3; // @[lsu_stbuf.scala 219:147] + wire _T_996 = stbuf_fwdbyteenvec_lo_0_0 | stbuf_fwdbyteenvec_lo_1_0; // @[lsu_stbuf.scala 220:147] + wire _T_997 = _T_996 | stbuf_fwdbyteenvec_lo_2_0; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_0 = _T_997 | stbuf_fwdbyteenvec_lo_3_0; // @[lsu_stbuf.scala 220:147] + wire _T_998 = stbuf_fwdbyteenvec_lo_0_1 | stbuf_fwdbyteenvec_lo_1_1; // @[lsu_stbuf.scala 220:147] + wire _T_999 = _T_998 | stbuf_fwdbyteenvec_lo_2_1; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_1 = _T_999 | stbuf_fwdbyteenvec_lo_3_1; // @[lsu_stbuf.scala 220:147] + wire _T_1000 = stbuf_fwdbyteenvec_lo_0_2 | stbuf_fwdbyteenvec_lo_1_2; // @[lsu_stbuf.scala 220:147] + wire _T_1001 = _T_1000 | stbuf_fwdbyteenvec_lo_2_2; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_2 = _T_1001 | stbuf_fwdbyteenvec_lo_3_2; // @[lsu_stbuf.scala 220:147] + wire _T_1002 = stbuf_fwdbyteenvec_lo_0_3 | stbuf_fwdbyteenvec_lo_1_3; // @[lsu_stbuf.scala 220:147] + wire _T_1003 = _T_1002 | stbuf_fwdbyteenvec_lo_2_3; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_3 = _T_1003 | stbuf_fwdbyteenvec_lo_3_3; // @[lsu_stbuf.scala 220:147] + wire [31:0] _T_1006 = stbuf_match_hi[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1007 = _T_1006 & stbuf_data_0; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1010 = stbuf_match_hi[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1011 = _T_1010 & stbuf_data_1; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1014 = stbuf_match_hi[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1015 = _T_1014 & stbuf_data_2; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1018 = stbuf_match_hi[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1019 = _T_1018 & stbuf_data_3; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1021 = _T_1019 | _T_1015; // @[lsu_stbuf.scala 222:130] + wire [31:0] _T_1022 = _T_1021 | _T_1011; // @[lsu_stbuf.scala 222:130] + wire [31:0] stbuf_fwddata_hi_pre_m = _T_1022 | _T_1007; // @[lsu_stbuf.scala 222:130] + wire [31:0] _T_1025 = stbuf_match_lo[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1026 = _T_1025 & stbuf_data_0; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1029 = stbuf_match_lo[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1030 = _T_1029 & stbuf_data_1; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1033 = stbuf_match_lo[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1034 = _T_1033 & stbuf_data_2; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1037 = stbuf_match_lo[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1038 = _T_1037 & stbuf_data_3; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1040 = _T_1038 | _T_1034; // @[lsu_stbuf.scala 223:130] + wire [31:0] _T_1041 = _T_1040 | _T_1030; // @[lsu_stbuf.scala 223:130] + wire [31:0] stbuf_fwddata_lo_pre_m = _T_1041 | _T_1026; // @[lsu_stbuf.scala 223:130] + wire _T_1046 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 230:49] + wire _T_1047 = _T_1046 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 230:74] + wire _T_1048 = _T_1047 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 230:95] + wire ld_addr_rhit_lo_lo = _T_1048 & _T_736; // @[lsu_stbuf.scala 230:121] + wire _T_1052 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 231:49] + wire _T_1053 = _T_1052 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 231:74] + wire _T_1054 = _T_1053 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 231:95] + wire ld_addr_rhit_lo_hi = _T_1054 & _T_736; // @[lsu_stbuf.scala 231:121] + wire _T_1058 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 232:49] + wire _T_1059 = _T_1058 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 232:74] + wire _T_1060 = _T_1059 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 232:95] + wire _T_1062 = _T_1060 & _T_736; // @[lsu_stbuf.scala 232:121] + wire ld_addr_rhit_hi_lo = _T_1062 & dual_stbuf_write_r; // @[lsu_stbuf.scala 232:146] + wire _T_1065 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 233:49] + wire _T_1066 = _T_1065 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 233:74] + wire _T_1067 = _T_1066 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 233:95] + wire _T_1069 = _T_1067 & _T_736; // @[lsu_stbuf.scala 233:121] + wire ld_addr_rhit_hi_hi = _T_1069 & dual_stbuf_write_r; // @[lsu_stbuf.scala 233:146] + wire _T_1071 = ld_addr_rhit_lo_lo & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 235:79] + wire _T_1073 = ld_addr_rhit_lo_lo & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 235:79] + wire _T_1075 = ld_addr_rhit_lo_lo & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 235:79] + wire _T_1077 = ld_addr_rhit_lo_lo & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 235:79] + wire [3:0] ld_byte_rhit_lo_lo = {_T_1077,_T_1075,_T_1073,_T_1071}; // @[Cat.scala 29:58] + wire _T_1082 = ld_addr_rhit_lo_hi & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 236:79] + wire _T_1084 = ld_addr_rhit_lo_hi & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 236:79] + wire _T_1086 = ld_addr_rhit_lo_hi & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 236:79] + wire _T_1088 = ld_addr_rhit_lo_hi & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 236:79] + wire [3:0] ld_byte_rhit_lo_hi = {_T_1088,_T_1086,_T_1084,_T_1082}; // @[Cat.scala 29:58] + wire _T_1093 = ld_addr_rhit_hi_lo & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 237:79] + wire _T_1095 = ld_addr_rhit_hi_lo & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 237:79] + wire _T_1097 = ld_addr_rhit_hi_lo & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 237:79] + wire _T_1099 = ld_addr_rhit_hi_lo & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 237:79] + wire [3:0] ld_byte_rhit_hi_lo = {_T_1099,_T_1097,_T_1095,_T_1093}; // @[Cat.scala 29:58] + wire _T_1104 = ld_addr_rhit_hi_hi & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 238:79] + wire _T_1106 = ld_addr_rhit_hi_hi & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 238:79] + wire _T_1108 = ld_addr_rhit_hi_hi & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 238:79] + wire _T_1110 = ld_addr_rhit_hi_hi & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 238:79] + wire [3:0] ld_byte_rhit_hi_hi = {_T_1110,_T_1108,_T_1106,_T_1104}; // @[Cat.scala 29:58] + wire _T_1116 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_stbuf.scala 240:79] + wire _T_1119 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_stbuf.scala 240:79] + wire _T_1122 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_stbuf.scala 240:79] + wire _T_1125 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_stbuf.scala 240:79] + wire [3:0] ld_byte_rhit_lo = {_T_1125,_T_1122,_T_1119,_T_1116}; // @[Cat.scala 29:58] + wire _T_1131 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_stbuf.scala 241:79] + wire _T_1134 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_stbuf.scala 241:79] + wire _T_1137 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_stbuf.scala 241:79] + wire _T_1140 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_stbuf.scala 241:79] + wire [3:0] ld_byte_rhit_hi = {_T_1140,_T_1137,_T_1134,_T_1131}; // @[Cat.scala 29:58] + wire [7:0] _T_1146 = ld_byte_rhit_lo_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1148 = _T_1146 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 243:53] + wire [7:0] _T_1151 = ld_byte_rhit_hi_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1153 = _T_1151 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 243:114] + wire [7:0] fwdpipe1_lo = _T_1148 | _T_1153; // @[lsu_stbuf.scala 243:80] + wire [7:0] _T_1156 = ld_byte_rhit_lo_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1158 = _T_1156 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 244:53] + wire [7:0] _T_1161 = ld_byte_rhit_hi_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1163 = _T_1161 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 244:115] + wire [7:0] fwdpipe2_lo = _T_1158 | _T_1163; // @[lsu_stbuf.scala 244:81] + wire [7:0] _T_1166 = ld_byte_rhit_lo_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1168 = _T_1166 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 245:53] + wire [7:0] _T_1171 = ld_byte_rhit_hi_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1173 = _T_1171 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 245:116] + wire [7:0] fwdpipe3_lo = _T_1168 | _T_1173; // @[lsu_stbuf.scala 245:82] + wire [7:0] _T_1176 = ld_byte_rhit_lo_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1178 = _T_1176 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 246:53] + wire [7:0] _T_1181 = ld_byte_rhit_hi_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1183 = _T_1181 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 246:116] + wire [7:0] fwdpipe4_lo = _T_1178 | _T_1183; // @[lsu_stbuf.scala 246:82] + wire [31:0] ld_fwddata_rpipe_lo = {fwdpipe4_lo,fwdpipe3_lo,fwdpipe2_lo,fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [7:0] _T_1189 = ld_byte_rhit_lo_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1191 = _T_1189 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 249:53] + wire [7:0] _T_1194 = ld_byte_rhit_hi_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1196 = _T_1194 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 249:114] + wire [7:0] fwdpipe1_hi = _T_1191 | _T_1196; // @[lsu_stbuf.scala 249:80] + wire [7:0] _T_1199 = ld_byte_rhit_lo_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1201 = _T_1199 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 250:53] + wire [7:0] _T_1204 = ld_byte_rhit_hi_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1206 = _T_1204 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 250:115] + wire [7:0] fwdpipe2_hi = _T_1201 | _T_1206; // @[lsu_stbuf.scala 250:81] + wire [7:0] _T_1209 = ld_byte_rhit_lo_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1211 = _T_1209 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 251:53] + wire [7:0] _T_1214 = ld_byte_rhit_hi_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1216 = _T_1214 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 251:116] + wire [7:0] fwdpipe3_hi = _T_1211 | _T_1216; // @[lsu_stbuf.scala 251:82] + wire [7:0] _T_1219 = ld_byte_rhit_lo_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1221 = _T_1219 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 252:53] + wire [7:0] _T_1224 = ld_byte_rhit_hi_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1226 = _T_1224 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 252:116] + wire [7:0] fwdpipe4_hi = _T_1221 | _T_1226; // @[lsu_stbuf.scala 252:82] + wire [31:0] ld_fwddata_rpipe_hi = {fwdpipe4_hi,fwdpipe3_hi,fwdpipe2_hi,fwdpipe1_hi}; // @[Cat.scala 29:58] + wire _T_1261 = ld_byte_rhit_hi[0] | stbuf_fwdbyteen_hi_pre_m_0; // @[lsu_stbuf.scala 258:83] + wire _T_1263 = ld_byte_rhit_hi[1] | stbuf_fwdbyteen_hi_pre_m_1; // @[lsu_stbuf.scala 258:83] + wire _T_1265 = ld_byte_rhit_hi[2] | stbuf_fwdbyteen_hi_pre_m_2; // @[lsu_stbuf.scala 258:83] + wire _T_1267 = ld_byte_rhit_hi[3] | stbuf_fwdbyteen_hi_pre_m_3; // @[lsu_stbuf.scala 258:83] + wire [2:0] _T_1269 = {_T_1267,_T_1265,_T_1263}; // @[Cat.scala 29:58] + wire _T_1272 = ld_byte_rhit_lo[0] | stbuf_fwdbyteen_lo_pre_m_0; // @[lsu_stbuf.scala 259:83] + wire _T_1274 = ld_byte_rhit_lo[1] | stbuf_fwdbyteen_lo_pre_m_1; // @[lsu_stbuf.scala 259:83] + wire _T_1276 = ld_byte_rhit_lo[2] | stbuf_fwdbyteen_lo_pre_m_2; // @[lsu_stbuf.scala 259:83] + wire _T_1278 = ld_byte_rhit_lo[3] | stbuf_fwdbyteen_lo_pre_m_3; // @[lsu_stbuf.scala 259:83] + wire [2:0] _T_1280 = {_T_1278,_T_1276,_T_1274}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_lo = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : stbuf_fwddata_lo_pre_m[7:0]; // @[lsu_stbuf.scala 262:30] + wire [7:0] stbuf_fwdpipe2_lo = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : stbuf_fwddata_lo_pre_m[15:8]; // @[lsu_stbuf.scala 263:30] + wire [7:0] stbuf_fwdpipe3_lo = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : stbuf_fwddata_lo_pre_m[23:16]; // @[lsu_stbuf.scala 264:30] + wire [7:0] stbuf_fwdpipe4_lo = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : stbuf_fwddata_lo_pre_m[31:24]; // @[lsu_stbuf.scala 265:30] + wire [15:0] _T_1294 = {stbuf_fwdpipe2_lo,stbuf_fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [15:0] _T_1295 = {stbuf_fwdpipe4_lo,stbuf_fwdpipe3_lo}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_hi = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : stbuf_fwddata_hi_pre_m[7:0]; // @[lsu_stbuf.scala 268:30] + wire [7:0] stbuf_fwdpipe2_hi = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : stbuf_fwddata_hi_pre_m[15:8]; // @[lsu_stbuf.scala 269:30] + wire [7:0] stbuf_fwdpipe3_hi = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : stbuf_fwddata_hi_pre_m[23:16]; // @[lsu_stbuf.scala 270:30] + wire [7:0] stbuf_fwdpipe4_hi = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : stbuf_fwddata_hi_pre_m[31:24]; // @[lsu_stbuf.scala 271:30] + wire [15:0] _T_1309 = {stbuf_fwdpipe2_hi,stbuf_fwdpipe1_hi}; // @[Cat.scala 29:58] + wire [15:0] _T_1310 = {stbuf_fwdpipe4_hi,stbuf_fwdpipe3_hi}; // @[Cat.scala 29:58] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_stbuf_reqvld_any = _T_696 & _T_698; // @[lsu_stbuf.scala 51:47 lsu_stbuf.scala 184:24] + assign io_stbuf_reqvld_flushed_any = _T_686[0] & _T_688[0]; // @[lsu_stbuf.scala 52:35 lsu_stbuf.scala 183:31] + assign io_stbuf_addr_any = 2'h3 == RdPtr ? stbuf_addr_3 : _GEN_2; // @[lsu_stbuf.scala 53:35 lsu_stbuf.scala 185:22] + assign io_stbuf_data_any = 2'h3 == RdPtr ? stbuf_data_3 : _GEN_6; // @[lsu_stbuf.scala 54:35 lsu_stbuf.scala 186:22] + assign io_lsu_stbuf_full_any = _T_749 ? _T_751 : _T_752; // @[lsu_stbuf.scala 55:43 lsu_stbuf.scala 205:26] + assign io_lsu_stbuf_empty_any = stbuf_numvld_any == 4'h0; // @[lsu_stbuf.scala 56:43 lsu_stbuf.scala 206:26] + assign io_ldst_stbuf_reqvld_r = _T_22 & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 57:43 lsu_stbuf.scala 125:26] + assign io_stbuf_fwddata_hi_m = {_T_1310,_T_1309}; // @[lsu_stbuf.scala 58:43 lsu_stbuf.scala 272:25] + assign io_stbuf_fwddata_lo_m = {_T_1295,_T_1294}; // @[lsu_stbuf.scala 59:43 lsu_stbuf.scala 266:25] + assign io_stbuf_fwdbyteen_hi_m = {_T_1269,_T_1261}; // @[lsu_stbuf.scala 60:37 lsu_stbuf.scala 258:27] + assign io_stbuf_fwdbyteen_lo_m = {_T_1280,_T_1272}; // @[lsu_stbuf.scala 61:37 lsu_stbuf.scala 259:27] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + RdPtr = _RAND_0[1:0]; + _RAND_1 = {1{`RANDOM}}; + WrPtr = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + stbuf_addr_0 = _RAND_2[15:0]; + _RAND_3 = {1{`RANDOM}}; + _T_587 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_579 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_571 = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_563 = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_622 = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + _T_614 = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_606 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + _T_598 = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + stbuf_addr_1 = _RAND_11[15:0]; + _RAND_12 = {1{`RANDOM}}; + stbuf_addr_2 = _RAND_12[15:0]; + _RAND_13 = {1{`RANDOM}}; + stbuf_addr_3 = _RAND_13[15:0]; + _RAND_14 = {1{`RANDOM}}; + stbuf_byteen_0 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + stbuf_byteen_1 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + stbuf_byteen_2 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + stbuf_byteen_3 = _RAND_17[3:0]; + _RAND_18 = {1{`RANDOM}}; + stbuf_data_0 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + stbuf_data_1 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + stbuf_data_2 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + stbuf_data_3 = _RAND_21[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + RdPtr = 2'h0; + end + if (reset) begin + WrPtr = 2'h0; + end + if (reset) begin + stbuf_addr_0 = 16'h0; + end + if (reset) begin + _T_587 = 1'h0; + end + if (reset) begin + _T_579 = 1'h0; + end + if (reset) begin + _T_571 = 1'h0; + end + if (reset) begin + _T_563 = 1'h0; + end + if (reset) begin + _T_622 = 1'h0; + end + if (reset) begin + _T_614 = 1'h0; + end + if (reset) begin + _T_606 = 1'h0; + end + if (reset) begin + _T_598 = 1'h0; + end + if (reset) begin + stbuf_addr_1 = 16'h0; + end + if (reset) begin + stbuf_addr_2 = 16'h0; + end + if (reset) begin + stbuf_addr_3 = 16'h0; + end + if (reset) begin + stbuf_byteen_0 = 4'h0; + end + if (reset) begin + stbuf_byteen_1 = 4'h0; + end + if (reset) begin + stbuf_byteen_2 = 4'h0; + end + if (reset) begin + stbuf_byteen_3 = 4'h0; + end + if (reset) begin + stbuf_data_0 = 32'h0; + end + if (reset) begin + stbuf_data_1 = 32'h0; + end + if (reset) begin + stbuf_data_2 = 32'h0; + end + if (reset) begin + stbuf_data_3 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + RdPtr <= 2'h0; + end else if (_T_211) begin + RdPtr <= RdPtrPlus1; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + WrPtr <= 2'h0; + end else if (WrPtrEn) begin + if (_T_713) begin + WrPtr <= WrPtrPlus2; + end else begin + WrPtr <= WrPtrPlus1; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_0 <= 16'h0; + end else if (sel_lo[0]) begin + stbuf_addr_0 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_0 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_587 <= 1'h0; + end else begin + _T_587 <= _T_583 & _T_66; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_579 <= 1'h0; + end else begin + _T_579 <= _T_575 & _T_55; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_571 <= 1'h0; + end else begin + _T_571 <= _T_567 & _T_44; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_563 <= 1'h0; + end else begin + _T_563 <= _T_559 & _T_33; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_622 <= 1'h0; + end else begin + _T_622 <= _T_618 & _T_66; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_614 <= 1'h0; + end else begin + _T_614 <= _T_610 & _T_55; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_606 <= 1'h0; + end else begin + _T_606 <= _T_602 & _T_44; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_598 <= 1'h0; + end else begin + _T_598 <= _T_594 & _T_33; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_1 <= 16'h0; + end else if (sel_lo[1]) begin + stbuf_addr_1 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_1 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_2 <= 16'h0; + end else if (sel_lo[2]) begin + stbuf_addr_2 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_2 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_3 <= 16'h0; + end else if (sel_lo[3]) begin + stbuf_addr_3 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_3 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_0 <= 4'h0; + end else begin + stbuf_byteen_0 <= _T_628 & _T_632; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_1 <= 4'h0; + end else begin + stbuf_byteen_1 <= _T_637 & _T_641; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_2 <= 4'h0; + end else begin + stbuf_byteen_2 <= _T_646 & _T_650; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_3 <= 4'h0; + end else begin + stbuf_byteen_3 <= _T_655 & _T_659; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_0 <= 32'h0; + end else begin + stbuf_data_0 <= {_T_545,_T_544}; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_1 <= 32'h0; + end else begin + stbuf_data_1 <= {_T_548,_T_547}; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_2 <= 32'h0; + end else begin + stbuf_data_2 <= {_T_551,_T_550}; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_3 <= 32'h0; + end else begin + stbuf_data_3 <= {_T_554,_T_553}; + end + end +endmodule +module lsu_ecc( + input clock, + input reset, + input io_lsu_c2_r_clk, + input io_clk_override, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input [31:0] io_stbuf_data_any, + input io_dec_tlu_core_ecc_disable, + input [15:0] io_lsu_addr_m, + input [15:0] io_end_addr_m, + input [31:0] io_dccm_rdata_hi_m, + input [31:0] io_dccm_rdata_lo_m, + input [6:0] io_dccm_data_ecc_hi_m, + input [6:0] io_dccm_data_ecc_lo_m, + input io_ld_single_ecc_error_r, + input io_ld_single_ecc_error_r_ff, + input io_lsu_dccm_rden_m, + input io_addr_in_dccm_m, + input io_dma_dccm_wen, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input io_scan_mode, + output [31:0] io_sec_data_hi_r, + output [31:0] io_sec_data_lo_r, + output [31:0] io_sec_data_hi_m, + output [31:0] io_sec_data_lo_m, + output [31:0] io_sec_data_hi_r_ff, + output [31:0] io_sec_data_lo_r_ff, + output [6:0] io_dma_dccm_wdata_ecc_hi, + output [6:0] io_dma_dccm_wdata_ecc_lo, + output [6:0] io_stbuf_ecc_any, + output [6:0] io_sec_data_ecc_hi_r_ff, + output [6:0] io_sec_data_ecc_lo_r_ff, + output io_single_ecc_error_hi_r, + output io_single_ecc_error_lo_r, + output io_lsu_single_ecc_error_r, + output io_lsu_double_ecc_error_r, + output io_lsu_single_ecc_error_m, + output io_lsu_double_ecc_error_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire _T_96 = ^io_dccm_rdata_hi_m; // @[lib.scala 193:30] + wire _T_97 = ^io_dccm_data_ecc_hi_m; // @[lib.scala 193:44] + wire _T_98 = _T_96 ^ _T_97; // @[lib.scala 193:35] + wire [5:0] _T_106 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[26]}; // @[lib.scala 193:76] + wire _T_107 = ^_T_106; // @[lib.scala 193:83] + wire _T_108 = io_dccm_data_ecc_hi_m[5] ^ _T_107; // @[lib.scala 193:71] + wire [6:0] _T_115 = {io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_123 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_115}; // @[lib.scala 193:103] + wire _T_124 = ^_T_123; // @[lib.scala 193:110] + wire _T_125 = io_dccm_data_ecc_hi_m[4] ^ _T_124; // @[lib.scala 193:98] + wire [6:0] _T_132 = {io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_140 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_132}; // @[lib.scala 193:130] + wire _T_141 = ^_T_140; // @[lib.scala 193:137] + wire _T_142 = io_dccm_data_ecc_hi_m[3] ^ _T_141; // @[lib.scala 193:125] + wire [8:0] _T_151 = {io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_160 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_151}; // @[lib.scala 193:157] + wire _T_161 = ^_T_160; // @[lib.scala 193:164] + wire _T_162 = io_dccm_data_ecc_hi_m[2] ^ _T_161; // @[lib.scala 193:152] + wire [8:0] _T_171 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_180 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_171}; // @[lib.scala 193:184] + wire _T_181 = ^_T_180; // @[lib.scala 193:191] + wire _T_182 = io_dccm_data_ecc_hi_m[1] ^ _T_181; // @[lib.scala 193:179] + wire [8:0] _T_191 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[11],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[4],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[1],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_200 = {io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[26],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[15],_T_191}; // @[lib.scala 193:211] + wire _T_201 = ^_T_200; // @[lib.scala 193:218] + wire _T_202 = io_dccm_data_ecc_hi_m[0] ^ _T_201; // @[lib.scala 193:206] + wire [6:0] _T_208 = {_T_98,_T_108,_T_125,_T_142,_T_162,_T_182,_T_202}; // @[Cat.scala 29:58] + wire _T_209 = _T_208 != 7'h0; // @[lib.scala 194:44] + wire _T_1130 = ~io_dec_tlu_core_ecc_disable; // @[lsu_ecc.scala 106:48] + wire _T_1137 = io_lsu_pkt_m_bits_load | io_lsu_pkt_m_bits_store; // @[lsu_ecc.scala 124:65] + wire _T_1138 = io_lsu_pkt_m_valid & _T_1137; // @[lsu_ecc.scala 124:39] + wire _T_1139 = _T_1138 & io_addr_in_dccm_m; // @[lsu_ecc.scala 124:92] + wire is_ldst_m = _T_1139 & io_lsu_dccm_rden_m; // @[lsu_ecc.scala 124:112] + wire ldst_dual_m = io_lsu_addr_m[2] != io_end_addr_m[2]; // @[lsu_ecc.scala 123:39] + wire _T_1143 = ldst_dual_m | io_lsu_pkt_m_bits_dma; // @[lsu_ecc.scala 126:48] + wire _T_1144 = is_ldst_m & _T_1143; // @[lsu_ecc.scala 126:33] + wire is_ldst_hi_m = _T_1144 & _T_1130; // @[lsu_ecc.scala 126:73] + wire _T_210 = is_ldst_hi_m & _T_209; // @[lib.scala 194:32] + wire single_ecc_error_hi_any = _T_210 & _T_208[6]; // @[lib.scala 194:53] + wire _T_215 = ~_T_208[6]; // @[lib.scala 195:55] + wire double_ecc_error_hi_any = _T_210 & _T_215; // @[lib.scala 195:53] + wire _T_218 = _T_208[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_220 = _T_208[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_222 = _T_208[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_224 = _T_208[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_226 = _T_208[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_228 = _T_208[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_230 = _T_208[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_232 = _T_208[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_234 = _T_208[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_236 = _T_208[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_238 = _T_208[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_240 = _T_208[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_242 = _T_208[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_244 = _T_208[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_246 = _T_208[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_248 = _T_208[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_250 = _T_208[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_252 = _T_208[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_254 = _T_208[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_256 = _T_208[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_258 = _T_208[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_260 = _T_208[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_262 = _T_208[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_264 = _T_208[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_266 = _T_208[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_268 = _T_208[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_270 = _T_208[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_272 = _T_208[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_274 = _T_208[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_276 = _T_208[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_278 = _T_208[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_280 = _T_208[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_282 = _T_208[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_284 = _T_208[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_286 = _T_208[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_288 = _T_208[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_290 = _T_208[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_292 = _T_208[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_294 = _T_208[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_309 = {io_dccm_data_ecc_hi_m[3],io_dccm_rdata_hi_m[3:1],io_dccm_data_ecc_hi_m[2],io_dccm_rdata_hi_m[0],io_dccm_data_ecc_hi_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_315 = {io_dccm_data_ecc_hi_m[6],io_dccm_rdata_hi_m[31:26],io_dccm_data_ecc_hi_m[5],io_dccm_rdata_hi_m[25:11],io_dccm_data_ecc_hi_m[4],io_dccm_rdata_hi_m[10:4],_T_309}; // @[Cat.scala 29:58] + wire [9:0] _T_333 = {_T_254,_T_252,_T_250,_T_248,_T_246,_T_244,_T_242,_T_240,_T_238,_T_236}; // @[lib.scala 202:69] + wire [18:0] _T_334 = {_T_333,_T_234,_T_232,_T_230,_T_228,_T_226,_T_224,_T_222,_T_220,_T_218}; // @[lib.scala 202:69] + wire [9:0] _T_343 = {_T_274,_T_272,_T_270,_T_268,_T_266,_T_264,_T_262,_T_260,_T_258,_T_256}; // @[lib.scala 202:69] + wire [9:0] _T_352 = {_T_294,_T_292,_T_290,_T_288,_T_286,_T_284,_T_282,_T_280,_T_278,_T_276}; // @[lib.scala 202:69] + wire [38:0] _T_354 = {_T_352,_T_343,_T_334}; // @[lib.scala 202:69] + wire [38:0] _T_355 = _T_354 ^ _T_315; // @[lib.scala 202:76] + wire [38:0] _T_356 = single_ecc_error_hi_any ? _T_355 : _T_315; // @[lib.scala 202:31] + wire [3:0] _T_362 = {_T_356[6:4],_T_356[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_364 = {_T_356[37:32],_T_356[30:16],_T_356[14:8]}; // @[Cat.scala 29:58] + wire _T_474 = ^io_dccm_rdata_lo_m; // @[lib.scala 193:30] + wire _T_475 = ^io_dccm_data_ecc_lo_m; // @[lib.scala 193:44] + wire _T_476 = _T_474 ^ _T_475; // @[lib.scala 193:35] + wire [5:0] _T_484 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[26]}; // @[lib.scala 193:76] + wire _T_485 = ^_T_484; // @[lib.scala 193:83] + wire _T_486 = io_dccm_data_ecc_lo_m[5] ^ _T_485; // @[lib.scala 193:71] + wire [6:0] _T_493 = {io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_501 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_493}; // @[lib.scala 193:103] + wire _T_502 = ^_T_501; // @[lib.scala 193:110] + wire _T_503 = io_dccm_data_ecc_lo_m[4] ^ _T_502; // @[lib.scala 193:98] + wire [6:0] _T_510 = {io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_518 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_510}; // @[lib.scala 193:130] + wire _T_519 = ^_T_518; // @[lib.scala 193:137] + wire _T_520 = io_dccm_data_ecc_lo_m[3] ^ _T_519; // @[lib.scala 193:125] + wire [8:0] _T_529 = {io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_538 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_529}; // @[lib.scala 193:157] + wire _T_539 = ^_T_538; // @[lib.scala 193:164] + wire _T_540 = io_dccm_data_ecc_lo_m[2] ^ _T_539; // @[lib.scala 193:152] + wire [8:0] _T_549 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_558 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_549}; // @[lib.scala 193:184] + wire _T_559 = ^_T_558; // @[lib.scala 193:191] + wire _T_560 = io_dccm_data_ecc_lo_m[1] ^ _T_559; // @[lib.scala 193:179] + wire [8:0] _T_569 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[11],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[4],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[1],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_578 = {io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[26],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[15],_T_569}; // @[lib.scala 193:211] + wire _T_579 = ^_T_578; // @[lib.scala 193:218] + wire _T_580 = io_dccm_data_ecc_lo_m[0] ^ _T_579; // @[lib.scala 193:206] + wire [6:0] _T_586 = {_T_476,_T_486,_T_503,_T_520,_T_540,_T_560,_T_580}; // @[Cat.scala 29:58] + wire _T_587 = _T_586 != 7'h0; // @[lib.scala 194:44] + wire is_ldst_lo_m = is_ldst_m & _T_1130; // @[lsu_ecc.scala 125:33] + wire _T_588 = is_ldst_lo_m & _T_587; // @[lib.scala 194:32] + wire single_ecc_error_lo_any = _T_588 & _T_586[6]; // @[lib.scala 194:53] + wire _T_593 = ~_T_586[6]; // @[lib.scala 195:55] + wire double_ecc_error_lo_any = _T_588 & _T_593; // @[lib.scala 195:53] + wire _T_596 = _T_586[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_598 = _T_586[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_600 = _T_586[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_602 = _T_586[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_604 = _T_586[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_606 = _T_586[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_608 = _T_586[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_610 = _T_586[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_612 = _T_586[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_614 = _T_586[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_616 = _T_586[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_618 = _T_586[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_620 = _T_586[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_622 = _T_586[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_624 = _T_586[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_626 = _T_586[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_628 = _T_586[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_630 = _T_586[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_632 = _T_586[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_634 = _T_586[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_636 = _T_586[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_638 = _T_586[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_640 = _T_586[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_642 = _T_586[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_644 = _T_586[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_646 = _T_586[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_648 = _T_586[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_650 = _T_586[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_652 = _T_586[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_654 = _T_586[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_656 = _T_586[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_658 = _T_586[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_660 = _T_586[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_662 = _T_586[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_664 = _T_586[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_666 = _T_586[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_668 = _T_586[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_670 = _T_586[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_672 = _T_586[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_687 = {io_dccm_data_ecc_lo_m[3],io_dccm_rdata_lo_m[3:1],io_dccm_data_ecc_lo_m[2],io_dccm_rdata_lo_m[0],io_dccm_data_ecc_lo_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_693 = {io_dccm_data_ecc_lo_m[6],io_dccm_rdata_lo_m[31:26],io_dccm_data_ecc_lo_m[5],io_dccm_rdata_lo_m[25:11],io_dccm_data_ecc_lo_m[4],io_dccm_rdata_lo_m[10:4],_T_687}; // @[Cat.scala 29:58] + wire [9:0] _T_711 = {_T_632,_T_630,_T_628,_T_626,_T_624,_T_622,_T_620,_T_618,_T_616,_T_614}; // @[lib.scala 202:69] + wire [18:0] _T_712 = {_T_711,_T_612,_T_610,_T_608,_T_606,_T_604,_T_602,_T_600,_T_598,_T_596}; // @[lib.scala 202:69] + wire [9:0] _T_721 = {_T_652,_T_650,_T_648,_T_646,_T_644,_T_642,_T_640,_T_638,_T_636,_T_634}; // @[lib.scala 202:69] + wire [9:0] _T_730 = {_T_672,_T_670,_T_668,_T_666,_T_664,_T_662,_T_660,_T_658,_T_656,_T_654}; // @[lib.scala 202:69] + wire [38:0] _T_732 = {_T_730,_T_721,_T_712}; // @[lib.scala 202:69] + wire [38:0] _T_733 = _T_732 ^ _T_693; // @[lib.scala 202:76] + wire [38:0] _T_734 = single_ecc_error_lo_any ? _T_733 : _T_693; // @[lib.scala 202:31] + wire [3:0] _T_740 = {_T_734[6:4],_T_734[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_742 = {_T_734[37:32],_T_734[30:16],_T_734[14:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_1159 = io_dma_dccm_wen ? io_dma_dccm_wdata_lo : io_stbuf_data_any; // @[lsu_ecc.scala 148:87] + wire [31:0] dccm_wdata_lo_any = io_ld_single_ecc_error_r_ff ? io_sec_data_lo_r_ff : _T_1159; // @[lsu_ecc.scala 148:27] + wire _T_774 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[1]; // @[lib.scala 119:74] + wire _T_775 = _T_774 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_776 = _T_775 ^ dccm_wdata_lo_any[4]; // @[lib.scala 119:74] + wire _T_777 = _T_776 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_778 = _T_777 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_779 = _T_778 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_780 = _T_779 ^ dccm_wdata_lo_any[11]; // @[lib.scala 119:74] + wire _T_781 = _T_780 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_782 = _T_781 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_783 = _T_782 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_784 = _T_783 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_785 = _T_784 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_786 = _T_785 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_787 = _T_786 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_788 = _T_787 ^ dccm_wdata_lo_any[26]; // @[lib.scala 119:74] + wire _T_789 = _T_788 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_790 = _T_789 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_809 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_810 = _T_809 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_811 = _T_810 ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_812 = _T_811 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_813 = _T_812 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_814 = _T_813 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_815 = _T_814 ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_816 = _T_815 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_817 = _T_816 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_818 = _T_817 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_819 = _T_818 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_820 = _T_819 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_821 = _T_820 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_822 = _T_821 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_823 = _T_822 ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_824 = _T_823 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_825 = _T_824 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_844 = dccm_wdata_lo_any[1] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_845 = _T_844 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_846 = _T_845 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_847 = _T_846 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_848 = _T_847 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_849 = _T_848 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_850 = _T_849 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_851 = _T_850 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_852 = _T_851 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_853 = _T_852 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_854 = _T_853 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_855 = _T_854 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_856 = _T_855 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_857 = _T_856 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_858 = _T_857 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_859 = _T_858 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_860 = _T_859 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_876 = dccm_wdata_lo_any[4] ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_877 = _T_876 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_878 = _T_877 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_879 = _T_878 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_880 = _T_879 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_881 = _T_880 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_882 = _T_881 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_883 = _T_882 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_884 = _T_883 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_885 = _T_884 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_886 = _T_885 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_887 = _T_886 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_888 = _T_887 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_889 = _T_888 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_905 = dccm_wdata_lo_any[11] ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_906 = _T_905 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_907 = _T_906 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_908 = _T_907 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_909 = _T_908 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_910 = _T_909 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_911 = _T_910 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_912 = _T_911 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_913 = _T_912 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_914 = _T_913 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_915 = _T_914 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_916 = _T_915 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_917 = _T_916 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_918 = _T_917 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_925 = dccm_wdata_lo_any[26] ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_926 = _T_925 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_927 = _T_926 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_928 = _T_927 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_929 = _T_928 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_934 = {_T_929,_T_918,_T_889,_T_860,_T_825,_T_790}; // @[Cat.scala 29:58] + wire _T_935 = ^dccm_wdata_lo_any; // @[lib.scala 127:13] + wire _T_936 = ^_T_934; // @[lib.scala 127:23] + wire _T_937 = _T_935 ^ _T_936; // @[lib.scala 127:18] + wire [31:0] _T_1163 = io_dma_dccm_wen ? io_dma_dccm_wdata_hi : 32'h0; // @[lsu_ecc.scala 149:87] + wire [31:0] dccm_wdata_hi_any = io_ld_single_ecc_error_r_ff ? io_sec_data_hi_r_ff : _T_1163; // @[lsu_ecc.scala 149:27] + wire _T_956 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[1]; // @[lib.scala 119:74] + wire _T_957 = _T_956 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_958 = _T_957 ^ dccm_wdata_hi_any[4]; // @[lib.scala 119:74] + wire _T_959 = _T_958 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_960 = _T_959 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_961 = _T_960 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_962 = _T_961 ^ dccm_wdata_hi_any[11]; // @[lib.scala 119:74] + wire _T_963 = _T_962 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_964 = _T_963 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_965 = _T_964 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_966 = _T_965 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_967 = _T_966 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_968 = _T_967 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_969 = _T_968 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_970 = _T_969 ^ dccm_wdata_hi_any[26]; // @[lib.scala 119:74] + wire _T_971 = _T_970 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_972 = _T_971 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_991 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_992 = _T_991 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_993 = _T_992 ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_994 = _T_993 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_995 = _T_994 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_996 = _T_995 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_997 = _T_996 ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_998 = _T_997 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_999 = _T_998 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1000 = _T_999 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1001 = _T_1000 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1002 = _T_1001 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1003 = _T_1002 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1004 = _T_1003 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1005 = _T_1004 ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1006 = _T_1005 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1007 = _T_1006 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1026 = dccm_wdata_hi_any[1] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_1027 = _T_1026 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_1028 = _T_1027 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1029 = _T_1028 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1030 = _T_1029 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1031 = _T_1030 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1032 = _T_1031 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1033 = _T_1032 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1034 = _T_1033 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1035 = _T_1034 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1036 = _T_1035 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1037 = _T_1036 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1038 = _T_1037 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1039 = _T_1038 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1040 = _T_1039 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1041 = _T_1040 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1042 = _T_1041 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1058 = dccm_wdata_hi_any[4] ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_1059 = _T_1058 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_1060 = _T_1059 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1061 = _T_1060 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1062 = _T_1061 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1063 = _T_1062 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1064 = _T_1063 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1065 = _T_1064 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1066 = _T_1065 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1067 = _T_1066 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1068 = _T_1067 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1069 = _T_1068 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1070 = _T_1069 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1071 = _T_1070 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1087 = dccm_wdata_hi_any[11] ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_1088 = _T_1087 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_1089 = _T_1088 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1090 = _T_1089 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1091 = _T_1090 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1092 = _T_1091 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1093 = _T_1092 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1094 = _T_1093 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1095 = _T_1094 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1096 = _T_1095 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1097 = _T_1096 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1098 = _T_1097 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1099 = _T_1098 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1100 = _T_1099 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1107 = dccm_wdata_hi_any[26] ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1108 = _T_1107 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1109 = _T_1108 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1110 = _T_1109 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1111 = _T_1110 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_1116 = {_T_1111,_T_1100,_T_1071,_T_1042,_T_1007,_T_972}; // @[Cat.scala 29:58] + wire _T_1117 = ^dccm_wdata_hi_any; // @[lib.scala 127:13] + wire _T_1118 = ^_T_1116; // @[lib.scala 127:23] + wire _T_1119 = _T_1117 ^ _T_1118; // @[lib.scala 127:18] + reg _T_1149; // @[lsu_ecc.scala 140:72] + reg _T_1150; // @[lsu_ecc.scala 141:72] + reg _T_1151; // @[lsu_ecc.scala 142:72] + reg _T_1152; // @[lsu_ecc.scala 143:72] + reg [31:0] _T_1154; // @[lib.scala 374:16] + reg [31:0] _T_1156; // @[lib.scala 374:16] + reg [31:0] _T_1166; // @[lib.scala 374:16] + reg [31:0] _T_1168; // @[lib.scala 374:16] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_sec_data_hi_r = _T_1154; // @[lsu_ecc.scala 113:22 lsu_ecc.scala 144:34] + assign io_sec_data_lo_r = _T_1156; // @[lsu_ecc.scala 116:25 lsu_ecc.scala 145:34] + assign io_sec_data_hi_m = {_T_364,_T_362}; // @[lsu_ecc.scala 89:32 lsu_ecc.scala 133:27] + assign io_sec_data_lo_m = {_T_742,_T_740}; // @[lsu_ecc.scala 90:32 lsu_ecc.scala 135:27] + assign io_sec_data_hi_r_ff = _T_1166; // @[lsu_ecc.scala 156:23] + assign io_sec_data_lo_r_ff = _T_1168; // @[lsu_ecc.scala 157:23] + assign io_dma_dccm_wdata_ecc_hi = {_T_1119,_T_1116}; // @[lsu_ecc.scala 153:28] + assign io_dma_dccm_wdata_ecc_lo = {_T_937,_T_934}; // @[lsu_ecc.scala 154:28] + assign io_stbuf_ecc_any = {_T_937,_T_934}; // @[lsu_ecc.scala 152:28] + assign io_sec_data_ecc_hi_r_ff = {_T_1119,_T_1116}; // @[lsu_ecc.scala 150:28] + assign io_sec_data_ecc_lo_r_ff = {_T_937,_T_934}; // @[lsu_ecc.scala 151:28] + assign io_single_ecc_error_hi_r = _T_1152; // @[lsu_ecc.scala 114:31 lsu_ecc.scala 143:62] + assign io_single_ecc_error_lo_r = _T_1151; // @[lsu_ecc.scala 117:31 lsu_ecc.scala 142:62] + assign io_lsu_single_ecc_error_r = _T_1149; // @[lsu_ecc.scala 119:31 lsu_ecc.scala 140:62] + assign io_lsu_double_ecc_error_r = _T_1150; // @[lsu_ecc.scala 120:31 lsu_ecc.scala 141:62] + assign io_lsu_single_ecc_error_m = single_ecc_error_hi_any | single_ecc_error_lo_any; // @[lsu_ecc.scala 91:30 lsu_ecc.scala 137:33] + assign io_lsu_double_ecc_error_m = double_ecc_error_hi_any | double_ecc_error_lo_any; // @[lsu_ecc.scala 92:30 lsu_ecc.scala 138:33] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_lsu_single_ecc_error_m | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_lsu_single_ecc_error_m | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_1149 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_1150 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_1151 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_1152 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_1154 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + _T_1156 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1166 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1168 = _RAND_7[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_1149 = 1'h0; + end + if (reset) begin + _T_1150 = 1'h0; + end + if (reset) begin + _T_1151 = 1'h0; + end + if (reset) begin + _T_1152 = 1'h0; + end + if (reset) begin + _T_1154 = 32'h0; + end + if (reset) begin + _T_1156 = 32'h0; + end + if (reset) begin + _T_1166 = 32'h0; + end + if (reset) begin + _T_1168 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1149 <= 1'h0; + end else begin + _T_1149 <= io_lsu_single_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1150 <= 1'h0; + end else begin + _T_1150 <= io_lsu_double_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1151 <= 1'h0; + end else begin + _T_1151 <= _T_588 & _T_586[6]; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1152 <= 1'h0; + end else begin + _T_1152 <= _T_210 & _T_208[6]; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_1154 <= 32'h0; + end else begin + _T_1154 <= io_sec_data_hi_m; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_1156 <= 32'h0; + end else begin + _T_1156 <= io_sec_data_lo_m; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + _T_1166 <= 32'h0; + end else begin + _T_1166 <= io_sec_data_hi_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + _T_1168 <= 32'h0; + end else begin + _T_1168 <= io_sec_data_lo_r; + end + end +endmodule +module lsu_trigger( + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input [31:0] io_lsu_addr_m, + input [31:0] io_store_data_m, + output [3:0] io_lsu_trigger_match_m +); + wire _T = io_trigger_pkt_any_0_m | io_trigger_pkt_any_1_m; // @[lsu_trigger.scala 16:73] + wire _T_1 = _T | io_trigger_pkt_any_2_m; // @[lsu_trigger.scala 16:73] + wire trigger_enable = _T_1 | io_trigger_pkt_any_3_m; // @[lsu_trigger.scala 16:73] + wire [15:0] _T_4 = io_lsu_pkt_m_bits_word ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_6 = _T_4 & io_store_data_m[31:16]; // @[lsu_trigger.scala 17:66] + wire _T_7 = io_lsu_pkt_m_bits_half | io_lsu_pkt_m_bits_word; // @[lsu_trigger.scala 17:124] + wire [7:0] _T_9 = _T_7 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_11 = _T_9 & io_store_data_m[15:8]; // @[lsu_trigger.scala 17:151] + wire [31:0] store_data_trigger_m = {_T_6,_T_11,io_store_data_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_15 = trigger_enable ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] ldst_addr_trigger_m = io_lsu_addr_m & _T_15; // @[lsu_trigger.scala 18:43] + wire _T_17 = ~io_trigger_pkt_any_0_select; // @[lsu_trigger.scala 19:53] + wire _T_18 = io_trigger_pkt_any_0_select & io_trigger_pkt_any_0_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_20 = _T_17 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_21 = _T_18 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_0 = _T_20 | _T_21; // @[Mux.scala 27:72] + wire _T_24 = ~io_trigger_pkt_any_1_select; // @[lsu_trigger.scala 19:53] + wire _T_25 = io_trigger_pkt_any_1_select & io_trigger_pkt_any_1_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_27 = _T_24 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_28 = _T_25 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_1 = _T_27 | _T_28; // @[Mux.scala 27:72] + wire _T_31 = ~io_trigger_pkt_any_2_select; // @[lsu_trigger.scala 19:53] + wire _T_32 = io_trigger_pkt_any_2_select & io_trigger_pkt_any_2_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_34 = _T_31 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_35 = _T_32 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_2 = _T_34 | _T_35; // @[Mux.scala 27:72] + wire _T_38 = ~io_trigger_pkt_any_3_select; // @[lsu_trigger.scala 19:53] + wire _T_39 = io_trigger_pkt_any_3_select & io_trigger_pkt_any_3_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_41 = _T_38 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_42 = _T_39 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_3 = _T_41 | _T_42; // @[Mux.scala 27:72] + wire _T_44 = ~io_lsu_pkt_m_bits_dma; // @[lsu_trigger.scala 20:70] + wire _T_45 = io_lsu_pkt_m_valid & _T_44; // @[lsu_trigger.scala 20:68] + wire _T_46 = _T_45 & trigger_enable; // @[lsu_trigger.scala 20:93] + wire _T_47 = io_trigger_pkt_any_0_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_48 = io_trigger_pkt_any_0_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_50 = _T_48 & _T_17; // @[lsu_trigger.scala 21:58] + wire _T_51 = _T_47 | _T_50; // @[lsu_trigger.scala 20:168] + wire _T_52 = _T_46 & _T_51; // @[lsu_trigger.scala 20:110] + wire _T_55 = &io_trigger_pkt_any_0_tdata2; // @[lib.scala 101:45] + wire _T_56 = ~_T_55; // @[lib.scala 101:39] + wire _T_57 = io_trigger_pkt_any_0_match_pkt & _T_56; // @[lib.scala 101:37] + wire _T_60 = io_trigger_pkt_any_0_tdata2[0] == lsu_match_data_0[0]; // @[lib.scala 102:52] + wire _T_61 = _T_57 | _T_60; // @[lib.scala 102:41] + wire _T_63 = &io_trigger_pkt_any_0_tdata2[0]; // @[lib.scala 104:36] + wire _T_64 = _T_63 & _T_57; // @[lib.scala 104:41] + wire _T_67 = io_trigger_pkt_any_0_tdata2[1] == lsu_match_data_0[1]; // @[lib.scala 104:78] + wire _T_68 = _T_64 | _T_67; // @[lib.scala 104:23] + wire _T_70 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_71 = _T_70 & _T_57; // @[lib.scala 104:41] + wire _T_74 = io_trigger_pkt_any_0_tdata2[2] == lsu_match_data_0[2]; // @[lib.scala 104:78] + wire _T_75 = _T_71 | _T_74; // @[lib.scala 104:23] + wire _T_77 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_78 = _T_77 & _T_57; // @[lib.scala 104:41] + wire _T_81 = io_trigger_pkt_any_0_tdata2[3] == lsu_match_data_0[3]; // @[lib.scala 104:78] + wire _T_82 = _T_78 | _T_81; // @[lib.scala 104:23] + wire _T_84 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_85 = _T_84 & _T_57; // @[lib.scala 104:41] + wire _T_88 = io_trigger_pkt_any_0_tdata2[4] == lsu_match_data_0[4]; // @[lib.scala 104:78] + wire _T_89 = _T_85 | _T_88; // @[lib.scala 104:23] + wire _T_91 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_92 = _T_91 & _T_57; // @[lib.scala 104:41] + wire _T_95 = io_trigger_pkt_any_0_tdata2[5] == lsu_match_data_0[5]; // @[lib.scala 104:78] + wire _T_96 = _T_92 | _T_95; // @[lib.scala 104:23] + wire _T_98 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_99 = _T_98 & _T_57; // @[lib.scala 104:41] + wire _T_102 = io_trigger_pkt_any_0_tdata2[6] == lsu_match_data_0[6]; // @[lib.scala 104:78] + wire _T_103 = _T_99 | _T_102; // @[lib.scala 104:23] + wire _T_105 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_106 = _T_105 & _T_57; // @[lib.scala 104:41] + wire _T_109 = io_trigger_pkt_any_0_tdata2[7] == lsu_match_data_0[7]; // @[lib.scala 104:78] + wire _T_110 = _T_106 | _T_109; // @[lib.scala 104:23] + wire _T_112 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_113 = _T_112 & _T_57; // @[lib.scala 104:41] + wire _T_116 = io_trigger_pkt_any_0_tdata2[8] == lsu_match_data_0[8]; // @[lib.scala 104:78] + wire _T_117 = _T_113 | _T_116; // @[lib.scala 104:23] + wire _T_119 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_120 = _T_119 & _T_57; // @[lib.scala 104:41] + wire _T_123 = io_trigger_pkt_any_0_tdata2[9] == lsu_match_data_0[9]; // @[lib.scala 104:78] + wire _T_124 = _T_120 | _T_123; // @[lib.scala 104:23] + wire _T_126 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_127 = _T_126 & _T_57; // @[lib.scala 104:41] + wire _T_130 = io_trigger_pkt_any_0_tdata2[10] == lsu_match_data_0[10]; // @[lib.scala 104:78] + wire _T_131 = _T_127 | _T_130; // @[lib.scala 104:23] + wire _T_133 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_134 = _T_133 & _T_57; // @[lib.scala 104:41] + wire _T_137 = io_trigger_pkt_any_0_tdata2[11] == lsu_match_data_0[11]; // @[lib.scala 104:78] + wire _T_138 = _T_134 | _T_137; // @[lib.scala 104:23] + wire _T_140 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_141 = _T_140 & _T_57; // @[lib.scala 104:41] + wire _T_144 = io_trigger_pkt_any_0_tdata2[12] == lsu_match_data_0[12]; // @[lib.scala 104:78] + wire _T_145 = _T_141 | _T_144; // @[lib.scala 104:23] + wire _T_147 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_148 = _T_147 & _T_57; // @[lib.scala 104:41] + wire _T_151 = io_trigger_pkt_any_0_tdata2[13] == lsu_match_data_0[13]; // @[lib.scala 104:78] + wire _T_152 = _T_148 | _T_151; // @[lib.scala 104:23] + wire _T_154 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_155 = _T_154 & _T_57; // @[lib.scala 104:41] + wire _T_158 = io_trigger_pkt_any_0_tdata2[14] == lsu_match_data_0[14]; // @[lib.scala 104:78] + wire _T_159 = _T_155 | _T_158; // @[lib.scala 104:23] + wire _T_161 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_162 = _T_161 & _T_57; // @[lib.scala 104:41] + wire _T_165 = io_trigger_pkt_any_0_tdata2[15] == lsu_match_data_0[15]; // @[lib.scala 104:78] + wire _T_166 = _T_162 | _T_165; // @[lib.scala 104:23] + wire _T_168 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_169 = _T_168 & _T_57; // @[lib.scala 104:41] + wire _T_172 = io_trigger_pkt_any_0_tdata2[16] == lsu_match_data_0[16]; // @[lib.scala 104:78] + wire _T_173 = _T_169 | _T_172; // @[lib.scala 104:23] + wire _T_175 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_176 = _T_175 & _T_57; // @[lib.scala 104:41] + wire _T_179 = io_trigger_pkt_any_0_tdata2[17] == lsu_match_data_0[17]; // @[lib.scala 104:78] + wire _T_180 = _T_176 | _T_179; // @[lib.scala 104:23] + wire _T_182 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_183 = _T_182 & _T_57; // @[lib.scala 104:41] + wire _T_186 = io_trigger_pkt_any_0_tdata2[18] == lsu_match_data_0[18]; // @[lib.scala 104:78] + wire _T_187 = _T_183 | _T_186; // @[lib.scala 104:23] + wire _T_189 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_190 = _T_189 & _T_57; // @[lib.scala 104:41] + wire _T_193 = io_trigger_pkt_any_0_tdata2[19] == lsu_match_data_0[19]; // @[lib.scala 104:78] + wire _T_194 = _T_190 | _T_193; // @[lib.scala 104:23] + wire _T_196 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_197 = _T_196 & _T_57; // @[lib.scala 104:41] + wire _T_200 = io_trigger_pkt_any_0_tdata2[20] == lsu_match_data_0[20]; // @[lib.scala 104:78] + wire _T_201 = _T_197 | _T_200; // @[lib.scala 104:23] + wire _T_203 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_204 = _T_203 & _T_57; // @[lib.scala 104:41] + wire _T_207 = io_trigger_pkt_any_0_tdata2[21] == lsu_match_data_0[21]; // @[lib.scala 104:78] + wire _T_208 = _T_204 | _T_207; // @[lib.scala 104:23] + wire _T_210 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_211 = _T_210 & _T_57; // @[lib.scala 104:41] + wire _T_214 = io_trigger_pkt_any_0_tdata2[22] == lsu_match_data_0[22]; // @[lib.scala 104:78] + wire _T_215 = _T_211 | _T_214; // @[lib.scala 104:23] + wire _T_217 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_218 = _T_217 & _T_57; // @[lib.scala 104:41] + wire _T_221 = io_trigger_pkt_any_0_tdata2[23] == lsu_match_data_0[23]; // @[lib.scala 104:78] + wire _T_222 = _T_218 | _T_221; // @[lib.scala 104:23] + wire _T_224 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_225 = _T_224 & _T_57; // @[lib.scala 104:41] + wire _T_228 = io_trigger_pkt_any_0_tdata2[24] == lsu_match_data_0[24]; // @[lib.scala 104:78] + wire _T_229 = _T_225 | _T_228; // @[lib.scala 104:23] + wire _T_231 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_232 = _T_231 & _T_57; // @[lib.scala 104:41] + wire _T_235 = io_trigger_pkt_any_0_tdata2[25] == lsu_match_data_0[25]; // @[lib.scala 104:78] + wire _T_236 = _T_232 | _T_235; // @[lib.scala 104:23] + wire _T_238 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_239 = _T_238 & _T_57; // @[lib.scala 104:41] + wire _T_242 = io_trigger_pkt_any_0_tdata2[26] == lsu_match_data_0[26]; // @[lib.scala 104:78] + wire _T_243 = _T_239 | _T_242; // @[lib.scala 104:23] + wire _T_245 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_246 = _T_245 & _T_57; // @[lib.scala 104:41] + wire _T_249 = io_trigger_pkt_any_0_tdata2[27] == lsu_match_data_0[27]; // @[lib.scala 104:78] + wire _T_250 = _T_246 | _T_249; // @[lib.scala 104:23] + wire _T_252 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_253 = _T_252 & _T_57; // @[lib.scala 104:41] + wire _T_256 = io_trigger_pkt_any_0_tdata2[28] == lsu_match_data_0[28]; // @[lib.scala 104:78] + wire _T_257 = _T_253 | _T_256; // @[lib.scala 104:23] + wire _T_259 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_260 = _T_259 & _T_57; // @[lib.scala 104:41] + wire _T_263 = io_trigger_pkt_any_0_tdata2[29] == lsu_match_data_0[29]; // @[lib.scala 104:78] + wire _T_264 = _T_260 | _T_263; // @[lib.scala 104:23] + wire _T_266 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_267 = _T_266 & _T_57; // @[lib.scala 104:41] + wire _T_270 = io_trigger_pkt_any_0_tdata2[30] == lsu_match_data_0[30]; // @[lib.scala 104:78] + wire _T_271 = _T_267 | _T_270; // @[lib.scala 104:23] + wire _T_273 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_274 = _T_273 & _T_57; // @[lib.scala 104:41] + wire _T_277 = io_trigger_pkt_any_0_tdata2[31] == lsu_match_data_0[31]; // @[lib.scala 104:78] + wire _T_278 = _T_274 | _T_277; // @[lib.scala 104:23] + wire [7:0] _T_285 = {_T_110,_T_103,_T_96,_T_89,_T_82,_T_75,_T_68,_T_61}; // @[lib.scala 105:14] + wire [15:0] _T_293 = {_T_166,_T_159,_T_152,_T_145,_T_138,_T_131,_T_124,_T_117,_T_285}; // @[lib.scala 105:14] + wire [7:0] _T_300 = {_T_222,_T_215,_T_208,_T_201,_T_194,_T_187,_T_180,_T_173}; // @[lib.scala 105:14] + wire [31:0] _T_309 = {_T_278,_T_271,_T_264,_T_257,_T_250,_T_243,_T_236,_T_229,_T_300,_T_293}; // @[lib.scala 105:14] + wire _T_310 = &_T_309; // @[lib.scala 105:25] + wire _T_311 = _T_52 & _T_310; // @[lsu_trigger.scala 21:92] + wire _T_315 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_316 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_318 = _T_316 & _T_24; // @[lsu_trigger.scala 21:58] + wire _T_319 = _T_315 | _T_318; // @[lsu_trigger.scala 20:168] + wire _T_320 = _T_46 & _T_319; // @[lsu_trigger.scala 20:110] + wire _T_323 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 101:45] + wire _T_324 = ~_T_323; // @[lib.scala 101:39] + wire _T_325 = io_trigger_pkt_any_1_match_pkt & _T_324; // @[lib.scala 101:37] + wire _T_328 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 102:52] + wire _T_329 = _T_325 | _T_328; // @[lib.scala 102:41] + wire _T_331 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 104:36] + wire _T_332 = _T_331 & _T_325; // @[lib.scala 104:41] + wire _T_335 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 104:78] + wire _T_336 = _T_332 | _T_335; // @[lib.scala 104:23] + wire _T_338 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_339 = _T_338 & _T_325; // @[lib.scala 104:41] + wire _T_342 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 104:78] + wire _T_343 = _T_339 | _T_342; // @[lib.scala 104:23] + wire _T_345 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_346 = _T_345 & _T_325; // @[lib.scala 104:41] + wire _T_349 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 104:78] + wire _T_350 = _T_346 | _T_349; // @[lib.scala 104:23] + wire _T_352 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_353 = _T_352 & _T_325; // @[lib.scala 104:41] + wire _T_356 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 104:78] + wire _T_357 = _T_353 | _T_356; // @[lib.scala 104:23] + wire _T_359 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_360 = _T_359 & _T_325; // @[lib.scala 104:41] + wire _T_363 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 104:78] + wire _T_364 = _T_360 | _T_363; // @[lib.scala 104:23] + wire _T_366 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_367 = _T_366 & _T_325; // @[lib.scala 104:41] + wire _T_370 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 104:78] + wire _T_371 = _T_367 | _T_370; // @[lib.scala 104:23] + wire _T_373 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_374 = _T_373 & _T_325; // @[lib.scala 104:41] + wire _T_377 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 104:78] + wire _T_378 = _T_374 | _T_377; // @[lib.scala 104:23] + wire _T_380 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_381 = _T_380 & _T_325; // @[lib.scala 104:41] + wire _T_384 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 104:78] + wire _T_385 = _T_381 | _T_384; // @[lib.scala 104:23] + wire _T_387 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_388 = _T_387 & _T_325; // @[lib.scala 104:41] + wire _T_391 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 104:78] + wire _T_392 = _T_388 | _T_391; // @[lib.scala 104:23] + wire _T_394 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_395 = _T_394 & _T_325; // @[lib.scala 104:41] + wire _T_398 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 104:78] + wire _T_399 = _T_395 | _T_398; // @[lib.scala 104:23] + wire _T_401 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_402 = _T_401 & _T_325; // @[lib.scala 104:41] + wire _T_405 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 104:78] + wire _T_406 = _T_402 | _T_405; // @[lib.scala 104:23] + wire _T_408 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_409 = _T_408 & _T_325; // @[lib.scala 104:41] + wire _T_412 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 104:78] + wire _T_413 = _T_409 | _T_412; // @[lib.scala 104:23] + wire _T_415 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_416 = _T_415 & _T_325; // @[lib.scala 104:41] + wire _T_419 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 104:78] + wire _T_420 = _T_416 | _T_419; // @[lib.scala 104:23] + wire _T_422 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_423 = _T_422 & _T_325; // @[lib.scala 104:41] + wire _T_426 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 104:78] + wire _T_427 = _T_423 | _T_426; // @[lib.scala 104:23] + wire _T_429 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_430 = _T_429 & _T_325; // @[lib.scala 104:41] + wire _T_433 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 104:78] + wire _T_434 = _T_430 | _T_433; // @[lib.scala 104:23] + wire _T_436 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_437 = _T_436 & _T_325; // @[lib.scala 104:41] + wire _T_440 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 104:78] + wire _T_441 = _T_437 | _T_440; // @[lib.scala 104:23] + wire _T_443 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_444 = _T_443 & _T_325; // @[lib.scala 104:41] + wire _T_447 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 104:78] + wire _T_448 = _T_444 | _T_447; // @[lib.scala 104:23] + wire _T_450 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_451 = _T_450 & _T_325; // @[lib.scala 104:41] + wire _T_454 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 104:78] + wire _T_455 = _T_451 | _T_454; // @[lib.scala 104:23] + wire _T_457 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_458 = _T_457 & _T_325; // @[lib.scala 104:41] + wire _T_461 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 104:78] + wire _T_462 = _T_458 | _T_461; // @[lib.scala 104:23] + wire _T_464 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_465 = _T_464 & _T_325; // @[lib.scala 104:41] + wire _T_468 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 104:78] + wire _T_469 = _T_465 | _T_468; // @[lib.scala 104:23] + wire _T_471 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_472 = _T_471 & _T_325; // @[lib.scala 104:41] + wire _T_475 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 104:78] + wire _T_476 = _T_472 | _T_475; // @[lib.scala 104:23] + wire _T_478 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_479 = _T_478 & _T_325; // @[lib.scala 104:41] + wire _T_482 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 104:78] + wire _T_483 = _T_479 | _T_482; // @[lib.scala 104:23] + wire _T_485 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_486 = _T_485 & _T_325; // @[lib.scala 104:41] + wire _T_489 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 104:78] + wire _T_490 = _T_486 | _T_489; // @[lib.scala 104:23] + wire _T_492 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_493 = _T_492 & _T_325; // @[lib.scala 104:41] + wire _T_496 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 104:78] + wire _T_497 = _T_493 | _T_496; // @[lib.scala 104:23] + wire _T_499 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_500 = _T_499 & _T_325; // @[lib.scala 104:41] + wire _T_503 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 104:78] + wire _T_504 = _T_500 | _T_503; // @[lib.scala 104:23] + wire _T_506 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_507 = _T_506 & _T_325; // @[lib.scala 104:41] + wire _T_510 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 104:78] + wire _T_511 = _T_507 | _T_510; // @[lib.scala 104:23] + wire _T_513 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_514 = _T_513 & _T_325; // @[lib.scala 104:41] + wire _T_517 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 104:78] + wire _T_518 = _T_514 | _T_517; // @[lib.scala 104:23] + wire _T_520 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_521 = _T_520 & _T_325; // @[lib.scala 104:41] + wire _T_524 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 104:78] + wire _T_525 = _T_521 | _T_524; // @[lib.scala 104:23] + wire _T_527 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_528 = _T_527 & _T_325; // @[lib.scala 104:41] + wire _T_531 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 104:78] + wire _T_532 = _T_528 | _T_531; // @[lib.scala 104:23] + wire _T_534 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_535 = _T_534 & _T_325; // @[lib.scala 104:41] + wire _T_538 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 104:78] + wire _T_539 = _T_535 | _T_538; // @[lib.scala 104:23] + wire _T_541 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_542 = _T_541 & _T_325; // @[lib.scala 104:41] + wire _T_545 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 104:78] + wire _T_546 = _T_542 | _T_545; // @[lib.scala 104:23] + wire [7:0] _T_553 = {_T_378,_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329}; // @[lib.scala 105:14] + wire [15:0] _T_561 = {_T_434,_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_553}; // @[lib.scala 105:14] + wire [7:0] _T_568 = {_T_490,_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441}; // @[lib.scala 105:14] + wire [31:0] _T_577 = {_T_546,_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_568,_T_561}; // @[lib.scala 105:14] + wire _T_578 = &_T_577; // @[lib.scala 105:25] + wire _T_579 = _T_320 & _T_578; // @[lsu_trigger.scala 21:92] + wire _T_583 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_584 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_586 = _T_584 & _T_31; // @[lsu_trigger.scala 21:58] + wire _T_587 = _T_583 | _T_586; // @[lsu_trigger.scala 20:168] + wire _T_588 = _T_46 & _T_587; // @[lsu_trigger.scala 20:110] + wire _T_591 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 101:45] + wire _T_592 = ~_T_591; // @[lib.scala 101:39] + wire _T_593 = io_trigger_pkt_any_2_match_pkt & _T_592; // @[lib.scala 101:37] + wire _T_596 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 102:52] + wire _T_597 = _T_593 | _T_596; // @[lib.scala 102:41] + wire _T_599 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 104:36] + wire _T_600 = _T_599 & _T_593; // @[lib.scala 104:41] + wire _T_603 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 104:78] + wire _T_604 = _T_600 | _T_603; // @[lib.scala 104:23] + wire _T_606 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_607 = _T_606 & _T_593; // @[lib.scala 104:41] + wire _T_610 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 104:78] + wire _T_611 = _T_607 | _T_610; // @[lib.scala 104:23] + wire _T_613 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_614 = _T_613 & _T_593; // @[lib.scala 104:41] + wire _T_617 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 104:78] + wire _T_618 = _T_614 | _T_617; // @[lib.scala 104:23] + wire _T_620 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_621 = _T_620 & _T_593; // @[lib.scala 104:41] + wire _T_624 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 104:78] + wire _T_625 = _T_621 | _T_624; // @[lib.scala 104:23] + wire _T_627 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_628 = _T_627 & _T_593; // @[lib.scala 104:41] + wire _T_631 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 104:78] + wire _T_632 = _T_628 | _T_631; // @[lib.scala 104:23] + wire _T_634 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_635 = _T_634 & _T_593; // @[lib.scala 104:41] + wire _T_638 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 104:78] + wire _T_639 = _T_635 | _T_638; // @[lib.scala 104:23] + wire _T_641 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_642 = _T_641 & _T_593; // @[lib.scala 104:41] + wire _T_645 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 104:78] + wire _T_646 = _T_642 | _T_645; // @[lib.scala 104:23] + wire _T_648 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_649 = _T_648 & _T_593; // @[lib.scala 104:41] + wire _T_652 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 104:78] + wire _T_653 = _T_649 | _T_652; // @[lib.scala 104:23] + wire _T_655 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_656 = _T_655 & _T_593; // @[lib.scala 104:41] + wire _T_659 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 104:78] + wire _T_660 = _T_656 | _T_659; // @[lib.scala 104:23] + wire _T_662 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_663 = _T_662 & _T_593; // @[lib.scala 104:41] + wire _T_666 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 104:78] + wire _T_667 = _T_663 | _T_666; // @[lib.scala 104:23] + wire _T_669 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_670 = _T_669 & _T_593; // @[lib.scala 104:41] + wire _T_673 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 104:78] + wire _T_674 = _T_670 | _T_673; // @[lib.scala 104:23] + wire _T_676 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_677 = _T_676 & _T_593; // @[lib.scala 104:41] + wire _T_680 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 104:78] + wire _T_681 = _T_677 | _T_680; // @[lib.scala 104:23] + wire _T_683 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_684 = _T_683 & _T_593; // @[lib.scala 104:41] + wire _T_687 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 104:78] + wire _T_688 = _T_684 | _T_687; // @[lib.scala 104:23] + wire _T_690 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_691 = _T_690 & _T_593; // @[lib.scala 104:41] + wire _T_694 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 104:78] + wire _T_695 = _T_691 | _T_694; // @[lib.scala 104:23] + wire _T_697 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_698 = _T_697 & _T_593; // @[lib.scala 104:41] + wire _T_701 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 104:78] + wire _T_702 = _T_698 | _T_701; // @[lib.scala 104:23] + wire _T_704 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_705 = _T_704 & _T_593; // @[lib.scala 104:41] + wire _T_708 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 104:78] + wire _T_709 = _T_705 | _T_708; // @[lib.scala 104:23] + wire _T_711 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_712 = _T_711 & _T_593; // @[lib.scala 104:41] + wire _T_715 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 104:78] + wire _T_716 = _T_712 | _T_715; // @[lib.scala 104:23] + wire _T_718 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_719 = _T_718 & _T_593; // @[lib.scala 104:41] + wire _T_722 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 104:78] + wire _T_723 = _T_719 | _T_722; // @[lib.scala 104:23] + wire _T_725 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_726 = _T_725 & _T_593; // @[lib.scala 104:41] + wire _T_729 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 104:78] + wire _T_730 = _T_726 | _T_729; // @[lib.scala 104:23] + wire _T_732 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_733 = _T_732 & _T_593; // @[lib.scala 104:41] + wire _T_736 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 104:78] + wire _T_737 = _T_733 | _T_736; // @[lib.scala 104:23] + wire _T_739 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_740 = _T_739 & _T_593; // @[lib.scala 104:41] + wire _T_743 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 104:78] + wire _T_744 = _T_740 | _T_743; // @[lib.scala 104:23] + wire _T_746 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_747 = _T_746 & _T_593; // @[lib.scala 104:41] + wire _T_750 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 104:78] + wire _T_751 = _T_747 | _T_750; // @[lib.scala 104:23] + wire _T_753 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_754 = _T_753 & _T_593; // @[lib.scala 104:41] + wire _T_757 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 104:78] + wire _T_758 = _T_754 | _T_757; // @[lib.scala 104:23] + wire _T_760 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_761 = _T_760 & _T_593; // @[lib.scala 104:41] + wire _T_764 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 104:78] + wire _T_765 = _T_761 | _T_764; // @[lib.scala 104:23] + wire _T_767 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_768 = _T_767 & _T_593; // @[lib.scala 104:41] + wire _T_771 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 104:78] + wire _T_772 = _T_768 | _T_771; // @[lib.scala 104:23] + wire _T_774 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_775 = _T_774 & _T_593; // @[lib.scala 104:41] + wire _T_778 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 104:78] + wire _T_779 = _T_775 | _T_778; // @[lib.scala 104:23] + wire _T_781 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_782 = _T_781 & _T_593; // @[lib.scala 104:41] + wire _T_785 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 104:78] + wire _T_786 = _T_782 | _T_785; // @[lib.scala 104:23] + wire _T_788 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_789 = _T_788 & _T_593; // @[lib.scala 104:41] + wire _T_792 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 104:78] + wire _T_793 = _T_789 | _T_792; // @[lib.scala 104:23] + wire _T_795 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_796 = _T_795 & _T_593; // @[lib.scala 104:41] + wire _T_799 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 104:78] + wire _T_800 = _T_796 | _T_799; // @[lib.scala 104:23] + wire _T_802 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_803 = _T_802 & _T_593; // @[lib.scala 104:41] + wire _T_806 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 104:78] + wire _T_807 = _T_803 | _T_806; // @[lib.scala 104:23] + wire _T_809 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_810 = _T_809 & _T_593; // @[lib.scala 104:41] + wire _T_813 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 104:78] + wire _T_814 = _T_810 | _T_813; // @[lib.scala 104:23] + wire [7:0] _T_821 = {_T_646,_T_639,_T_632,_T_625,_T_618,_T_611,_T_604,_T_597}; // @[lib.scala 105:14] + wire [15:0] _T_829 = {_T_702,_T_695,_T_688,_T_681,_T_674,_T_667,_T_660,_T_653,_T_821}; // @[lib.scala 105:14] + wire [7:0] _T_836 = {_T_758,_T_751,_T_744,_T_737,_T_730,_T_723,_T_716,_T_709}; // @[lib.scala 105:14] + wire [31:0] _T_845 = {_T_814,_T_807,_T_800,_T_793,_T_786,_T_779,_T_772,_T_765,_T_836,_T_829}; // @[lib.scala 105:14] + wire _T_846 = &_T_845; // @[lib.scala 105:25] + wire _T_847 = _T_588 & _T_846; // @[lsu_trigger.scala 21:92] + wire _T_851 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_852 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_854 = _T_852 & _T_38; // @[lsu_trigger.scala 21:58] + wire _T_855 = _T_851 | _T_854; // @[lsu_trigger.scala 20:168] + wire _T_856 = _T_46 & _T_855; // @[lsu_trigger.scala 20:110] + wire _T_859 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 101:45] + wire _T_860 = ~_T_859; // @[lib.scala 101:39] + wire _T_861 = io_trigger_pkt_any_3_match_pkt & _T_860; // @[lib.scala 101:37] + wire _T_864 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 102:52] + wire _T_865 = _T_861 | _T_864; // @[lib.scala 102:41] + wire _T_867 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 104:36] + wire _T_868 = _T_867 & _T_861; // @[lib.scala 104:41] + wire _T_871 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 104:78] + wire _T_872 = _T_868 | _T_871; // @[lib.scala 104:23] + wire _T_874 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_875 = _T_874 & _T_861; // @[lib.scala 104:41] + wire _T_878 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 104:78] + wire _T_879 = _T_875 | _T_878; // @[lib.scala 104:23] + wire _T_881 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_882 = _T_881 & _T_861; // @[lib.scala 104:41] + wire _T_885 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 104:78] + wire _T_886 = _T_882 | _T_885; // @[lib.scala 104:23] + wire _T_888 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_889 = _T_888 & _T_861; // @[lib.scala 104:41] + wire _T_892 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 104:78] + wire _T_893 = _T_889 | _T_892; // @[lib.scala 104:23] + wire _T_895 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_896 = _T_895 & _T_861; // @[lib.scala 104:41] + wire _T_899 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 104:78] + wire _T_900 = _T_896 | _T_899; // @[lib.scala 104:23] + wire _T_902 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_903 = _T_902 & _T_861; // @[lib.scala 104:41] + wire _T_906 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 104:78] + wire _T_907 = _T_903 | _T_906; // @[lib.scala 104:23] + wire _T_909 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_910 = _T_909 & _T_861; // @[lib.scala 104:41] + wire _T_913 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 104:78] + wire _T_914 = _T_910 | _T_913; // @[lib.scala 104:23] + wire _T_916 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_917 = _T_916 & _T_861; // @[lib.scala 104:41] + wire _T_920 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 104:78] + wire _T_921 = _T_917 | _T_920; // @[lib.scala 104:23] + wire _T_923 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_924 = _T_923 & _T_861; // @[lib.scala 104:41] + wire _T_927 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 104:78] + wire _T_928 = _T_924 | _T_927; // @[lib.scala 104:23] + wire _T_930 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_931 = _T_930 & _T_861; // @[lib.scala 104:41] + wire _T_934 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 104:78] + wire _T_935 = _T_931 | _T_934; // @[lib.scala 104:23] + wire _T_937 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_938 = _T_937 & _T_861; // @[lib.scala 104:41] + wire _T_941 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 104:78] + wire _T_942 = _T_938 | _T_941; // @[lib.scala 104:23] + wire _T_944 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_945 = _T_944 & _T_861; // @[lib.scala 104:41] + wire _T_948 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 104:78] + wire _T_949 = _T_945 | _T_948; // @[lib.scala 104:23] + wire _T_951 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_952 = _T_951 & _T_861; // @[lib.scala 104:41] + wire _T_955 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 104:78] + wire _T_956 = _T_952 | _T_955; // @[lib.scala 104:23] + wire _T_958 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_959 = _T_958 & _T_861; // @[lib.scala 104:41] + wire _T_962 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 104:78] + wire _T_963 = _T_959 | _T_962; // @[lib.scala 104:23] + wire _T_965 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_966 = _T_965 & _T_861; // @[lib.scala 104:41] + wire _T_969 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 104:78] + wire _T_970 = _T_966 | _T_969; // @[lib.scala 104:23] + wire _T_972 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_973 = _T_972 & _T_861; // @[lib.scala 104:41] + wire _T_976 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 104:78] + wire _T_977 = _T_973 | _T_976; // @[lib.scala 104:23] + wire _T_979 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_980 = _T_979 & _T_861; // @[lib.scala 104:41] + wire _T_983 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 104:78] + wire _T_984 = _T_980 | _T_983; // @[lib.scala 104:23] + wire _T_986 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_987 = _T_986 & _T_861; // @[lib.scala 104:41] + wire _T_990 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 104:78] + wire _T_991 = _T_987 | _T_990; // @[lib.scala 104:23] + wire _T_993 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_994 = _T_993 & _T_861; // @[lib.scala 104:41] + wire _T_997 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 104:78] + wire _T_998 = _T_994 | _T_997; // @[lib.scala 104:23] + wire _T_1000 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_1001 = _T_1000 & _T_861; // @[lib.scala 104:41] + wire _T_1004 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 104:78] + wire _T_1005 = _T_1001 | _T_1004; // @[lib.scala 104:23] + wire _T_1007 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_1008 = _T_1007 & _T_861; // @[lib.scala 104:41] + wire _T_1011 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 104:78] + wire _T_1012 = _T_1008 | _T_1011; // @[lib.scala 104:23] + wire _T_1014 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_1015 = _T_1014 & _T_861; // @[lib.scala 104:41] + wire _T_1018 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 104:78] + wire _T_1019 = _T_1015 | _T_1018; // @[lib.scala 104:23] + wire _T_1021 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_1022 = _T_1021 & _T_861; // @[lib.scala 104:41] + wire _T_1025 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 104:78] + wire _T_1026 = _T_1022 | _T_1025; // @[lib.scala 104:23] + wire _T_1028 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_1029 = _T_1028 & _T_861; // @[lib.scala 104:41] + wire _T_1032 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 104:78] + wire _T_1033 = _T_1029 | _T_1032; // @[lib.scala 104:23] + wire _T_1035 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_1036 = _T_1035 & _T_861; // @[lib.scala 104:41] + wire _T_1039 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 104:78] + wire _T_1040 = _T_1036 | _T_1039; // @[lib.scala 104:23] + wire _T_1042 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_1043 = _T_1042 & _T_861; // @[lib.scala 104:41] + wire _T_1046 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 104:78] + wire _T_1047 = _T_1043 | _T_1046; // @[lib.scala 104:23] + wire _T_1049 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_1050 = _T_1049 & _T_861; // @[lib.scala 104:41] + wire _T_1053 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 104:78] + wire _T_1054 = _T_1050 | _T_1053; // @[lib.scala 104:23] + wire _T_1056 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_1057 = _T_1056 & _T_861; // @[lib.scala 104:41] + wire _T_1060 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 104:78] + wire _T_1061 = _T_1057 | _T_1060; // @[lib.scala 104:23] + wire _T_1063 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_1064 = _T_1063 & _T_861; // @[lib.scala 104:41] + wire _T_1067 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 104:78] + wire _T_1068 = _T_1064 | _T_1067; // @[lib.scala 104:23] + wire _T_1070 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_1071 = _T_1070 & _T_861; // @[lib.scala 104:41] + wire _T_1074 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 104:78] + wire _T_1075 = _T_1071 | _T_1074; // @[lib.scala 104:23] + wire _T_1077 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_1078 = _T_1077 & _T_861; // @[lib.scala 104:41] + wire _T_1081 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 104:78] + wire _T_1082 = _T_1078 | _T_1081; // @[lib.scala 104:23] + wire [7:0] _T_1089 = {_T_914,_T_907,_T_900,_T_893,_T_886,_T_879,_T_872,_T_865}; // @[lib.scala 105:14] + wire [15:0] _T_1097 = {_T_970,_T_963,_T_956,_T_949,_T_942,_T_935,_T_928,_T_921,_T_1089}; // @[lib.scala 105:14] + wire [7:0] _T_1104 = {_T_1026,_T_1019,_T_1012,_T_1005,_T_998,_T_991,_T_984,_T_977}; // @[lib.scala 105:14] + wire [31:0] _T_1113 = {_T_1082,_T_1075,_T_1068,_T_1061,_T_1054,_T_1047,_T_1040,_T_1033,_T_1104,_T_1097}; // @[lib.scala 105:14] + wire _T_1114 = &_T_1113; // @[lib.scala 105:25] + wire _T_1115 = _T_856 & _T_1114; // @[lsu_trigger.scala 21:92] + wire [2:0] _T_1117 = {_T_1115,_T_847,_T_579}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = {_T_1117,_T_311}; // @[lsu_trigger.scala 20:25] +endmodule +module lsu_clkdomain( + input clock, + input reset, + input io_active_clk, + input io_clk_override, + input io_dec_tlu_force_halt, + input io_dma_dccm_req, + input io_ldst_stbuf_reqvld_r, + input io_stbuf_reqvld_any, + input io_stbuf_reqvld_flushed_any, + input io_lsu_busreq_r, + input io_lsu_bus_buffer_pend_any, + input io_lsu_bus_buffer_empty_any, + input io_lsu_stbuf_empty_any, + input io_lsu_bus_clk_en, + input io_lsu_p_valid, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_r_valid, + output io_lsu_bus_obuf_c1_clken, + output io_lsu_busm_clken, + output io_lsu_c1_m_clk, + output io_lsu_c1_r_clk, + output io_lsu_c2_m_clk, + output io_lsu_c2_r_clk, + output io_lsu_store_c1_m_clk, + output io_lsu_store_c1_r_clk, + output io_lsu_stbuf_c1_clk, + output io_lsu_bus_obuf_c1_clk, + output io_lsu_bus_ibuf_c1_clk, + output io_lsu_bus_buf_c1_clk, + output io_lsu_busm_clk, + output io_lsu_free_c2_clk, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_en; // @[lib.scala 343:22] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_en; // @[lib.scala 343:22] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_en; // @[lib.scala 343:22] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 343:22] + wire _T = io_lsu_p_valid | io_dma_dccm_req; // @[lsu_clkdomain.scala 64:47] + wire lsu_c1_m_clken = _T | io_clk_override; // @[lsu_clkdomain.scala 64:65] + reg lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 84:67] + wire _T_1 = io_lsu_pkt_m_valid | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 65:51] + wire lsu_c1_r_clken = _T_1 | io_clk_override; // @[lsu_clkdomain.scala 65:70] + wire _T_2 = lsu_c1_m_clken | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 67:47] + reg lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 85:67] + wire _T_3 = lsu_c1_r_clken | lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 68:47] + wire _T_4 = lsu_c1_m_clken & io_lsu_pkt_d_bits_store; // @[lsu_clkdomain.scala 70:49] + wire _T_5 = lsu_c1_r_clken & io_lsu_pkt_m_bits_store; // @[lsu_clkdomain.scala 71:49] + wire _T_6 = io_ldst_stbuf_reqvld_r | io_stbuf_reqvld_any; // @[lsu_clkdomain.scala 72:55] + wire _T_7 = _T_6 | io_stbuf_reqvld_flushed_any; // @[lsu_clkdomain.scala 72:77] + wire _T_8 = io_lsu_bus_buffer_pend_any | io_lsu_busreq_r; // @[lsu_clkdomain.scala 74:62] + wire _T_9 = _T_8 | io_clk_override; // @[lsu_clkdomain.scala 74:80] + wire _T_11 = ~io_lsu_bus_buffer_empty_any; // @[lsu_clkdomain.scala 75:32] + wire _T_12 = _T_11 | io_lsu_busreq_r; // @[lsu_clkdomain.scala 75:61] + wire _T_13 = _T_12 | io_dec_tlu_force_halt; // @[lsu_clkdomain.scala 75:79] + wire _T_14 = io_lsu_p_valid | io_lsu_pkt_d_valid; // @[lsu_clkdomain.scala 77:48] + wire _T_15 = _T_14 | io_lsu_pkt_m_valid; // @[lsu_clkdomain.scala 77:69] + wire _T_16 = _T_15 | io_lsu_pkt_r_valid; // @[lsu_clkdomain.scala 77:90] + wire _T_18 = _T_16 | _T_11; // @[lsu_clkdomain.scala 77:112] + wire _T_19 = ~io_lsu_stbuf_empty_any; // @[lsu_clkdomain.scala 77:145] + wire _T_20 = _T_18 | _T_19; // @[lsu_clkdomain.scala 77:143] + wire lsu_free_c1_clken = _T_20 | io_clk_override; // @[lsu_clkdomain.scala 77:169] + reg lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 82:62] + wire _T_21 = lsu_free_c1_clken | lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 78:50] + wire _T_24 = _T_12 | io_clk_override; // @[lsu_clkdomain.scala 79:72] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_lsu_bus_obuf_c1_clken = _T_9 & io_lsu_bus_clk_en; // @[lsu_clkdomain.scala 74:30] + assign io_lsu_busm_clken = _T_24 & io_lsu_bus_clk_en; // @[lsu_clkdomain.scala 79:21] + assign io_lsu_c1_m_clk = rvclkhdr_io_l1clk; // @[lsu_clkdomain.scala 87:26] + assign io_lsu_c1_r_clk = rvclkhdr_1_io_l1clk; // @[lsu_clkdomain.scala 88:26] + assign io_lsu_c2_m_clk = rvclkhdr_2_io_l1clk; // @[lsu_clkdomain.scala 89:26] + assign io_lsu_c2_r_clk = rvclkhdr_3_io_l1clk; // @[lsu_clkdomain.scala 90:26] + assign io_lsu_store_c1_m_clk = rvclkhdr_4_io_l1clk; // @[lsu_clkdomain.scala 91:26] + assign io_lsu_store_c1_r_clk = rvclkhdr_5_io_l1clk; // @[lsu_clkdomain.scala 92:26] + assign io_lsu_stbuf_c1_clk = rvclkhdr_6_io_l1clk; // @[lsu_clkdomain.scala 93:26] + assign io_lsu_bus_obuf_c1_clk = rvclkhdr_8_io_l1clk; // @[lsu_clkdomain.scala 95:26] + assign io_lsu_bus_ibuf_c1_clk = rvclkhdr_7_io_l1clk; // @[lsu_clkdomain.scala 94:26] + assign io_lsu_bus_buf_c1_clk = rvclkhdr_9_io_l1clk; // @[lsu_clkdomain.scala 96:26] + assign io_lsu_busm_clk = rvclkhdr_10_io_l1clk; // @[lsu_clkdomain.scala 97:26] + assign io_lsu_free_c2_clk = rvclkhdr_11_io_l1clk; // @[lsu_clkdomain.scala 98:26] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_1 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = _T_2 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_4_io_en = _T_4 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = _T_5 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = _T_7 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_lsu_busreq_r | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = io_lsu_bus_obuf_c1_clken; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = _T_13 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_10_io_en = io_lsu_busm_clken; // @[lib.scala 345:16] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_11_io_en = _T_21 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + lsu_c1_m_clken_q = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_c1_r_clken_q = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_free_c1_clken_q = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + lsu_c1_m_clken_q = 1'h0; + end + if (reset) begin + lsu_c1_r_clken_q = 1'h0; + end + if (reset) begin + lsu_free_c1_clken_q = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_m_clken_q <= 1'h0; + end else begin + lsu_c1_m_clken_q <= _T | io_clk_override; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_r_clken_q <= 1'h0; + end else begin + lsu_c1_r_clken_q <= _T_1 | io_clk_override; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + lsu_free_c1_clken_q <= 1'h0; + end else begin + lsu_free_c1_clken_q <= _T_20 | io_clk_override; + end + end +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [2:0] io_lsu_axi_aw_bits_size, + output [3:0] io_lsu_axi_aw_bits_cache, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [2:0] io_lsu_axi_ar_bits_size, + output [3:0] io_lsu_axi_ar_bits_cache, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 76:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 77:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 79:74] + reg _T_4344; // @[Reg.scala 27:20] + reg _T_4341; // @[Reg.scala 27:20] + reg _T_4338; // @[Reg.scala 27:20] + reg _T_4335; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4344,_T_4341,_T_4338,_T_4335}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 80:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 80:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 80:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 80:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 508:60] + wire _T_2583 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_4094 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4117 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4121 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1780; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 354:13] + wire _T_4128 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 459:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_362 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 459:104] + wire _T_4129 = _GEN_362 == 3'h3; // @[lsu_bus_buffer.scala 459:104] + wire _T_4130 = obuf_merge & _T_4129; // @[lsu_bus_buffer.scala 459:91] + wire _T_4131 = _T_4128 | _T_4130; // @[lsu_bus_buffer.scala 459:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] + wire _T_4132 = _T_4131 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 347:55] + wire _T_4133 = _T_4132 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_4155 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4239 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4257 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4265 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_284 = _T_4121 & _T_4133; // @[Conditional.scala 39:67] + wire _GEN_297 = _T_4117 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_297; // @[Conditional.scala 40:58] + wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 414:103] + wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 414:78] + wire _T_2586 = buf_ageQ_3[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2587 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 414:140] + wire _T_2588 = _T_2586 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2576 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3904 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3927 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3931 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3938 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 459:48] + wire _T_3939 = _GEN_362 == 3'h2; // @[lsu_bus_buffer.scala 459:104] + wire _T_3940 = obuf_merge & _T_3939; // @[lsu_bus_buffer.scala 459:91] + wire _T_3941 = _T_3938 | _T_3940; // @[lsu_bus_buffer.scala 459:77] + wire _T_3942 = _T_3941 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3943 = _T_3942 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3965 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4049 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4067 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4075 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_207 = _T_3931 & _T_3943; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3927 ? 1'h0 : _GEN_207; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 414:103] + wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 414:78] + wire _T_2579 = buf_ageQ_3[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2581 = _T_2579 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2569 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3714 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3737 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3741 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 459:48] + wire _T_3749 = _GEN_362 == 3'h1; // @[lsu_bus_buffer.scala 459:104] + wire _T_3750 = obuf_merge & _T_3749; // @[lsu_bus_buffer.scala 459:91] + wire _T_3751 = _T_3748 | _T_3750; // @[lsu_bus_buffer.scala 459:77] + wire _T_3752 = _T_3751 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3753 = _T_3752 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3775 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3859 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3877 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3885 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_130 = _T_3741 & _T_3753; // @[Conditional.scala 39:67] + wire _GEN_143 = _T_3737 ? 1'h0 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_143; // @[Conditional.scala 40:58] + wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 414:103] + wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 414:78] + wire _T_2572 = buf_ageQ_3[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2574 = _T_2572 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2562 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3558 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 459:48] + wire _T_3559 = _GEN_362 == 3'h0; // @[lsu_bus_buffer.scala 459:104] + wire _T_3560 = obuf_merge & _T_3559; // @[lsu_bus_buffer.scala 459:91] + wire _T_3561 = _T_3558 | _T_3560; // @[lsu_bus_buffer.scala 459:77] + wire _T_3562 = _T_3561 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3669 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3687 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3695 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_53 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_2563 = _T_2562 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 414:103] + wire _T_2564 = ~_T_2563; // @[lsu_bus_buffer.scala 414:78] + wire _T_2565 = buf_ageQ_3[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2567 = _T_2565 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_3 = {_T_2588,_T_2581,_T_2574,_T_2567}; // @[Cat.scala 29:58] + wire _T_2687 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2689 = _T_2687 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2681 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2683 = _T_2681 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2675 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2677 = _T_2675 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2689,_T_2683,_T_2677}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 149:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 149:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 149:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 155:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 155:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 243:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 155:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 155:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 160:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 508:60] + wire _T_2555 = buf_ageQ_2[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2557 = _T_2555 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2548 = buf_ageQ_2[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2550 = _T_2548 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2541 = buf_ageQ_2[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2543 = _T_2541 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2534 = buf_ageQ_2[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2536 = _T_2534 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_2 = {_T_2557,_T_2550,_T_2543,_T_2536}; // @[Cat.scala 29:58] + wire _T_2666 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2668 = _T_2666 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2654 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2656 = _T_2654 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2648 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2650 = _T_2648 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_2 = {_T_2668,1'h0,_T_2656,_T_2650}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 149:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 508:60] + wire _T_2524 = buf_ageQ_1[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2526 = _T_2524 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2517 = buf_ageQ_1[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2519 = _T_2517 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2510 = buf_ageQ_1[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2512 = _T_2510 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2503 = buf_ageQ_1[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2505 = _T_2503 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_1 = {_T_2526,_T_2519,_T_2512,_T_2505}; // @[Cat.scala 29:58] + wire _T_2639 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2641 = _T_2639 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2633 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2635 = _T_2633 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2621 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2623 = _T_2621 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_1 = {_T_2641,_T_2635,1'h0,_T_2623}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 149:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 508:60] + wire _T_2493 = buf_ageQ_0[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2495 = _T_2493 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2486 = buf_ageQ_0[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2488 = _T_2486 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2479 = buf_ageQ_0[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2481 = _T_2479 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2472 = buf_ageQ_0[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2474 = _T_2472 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_0 = {_T_2495,_T_2488,_T_2481,_T_2474}; // @[Cat.scala 29:58] + wire _T_2612 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2614 = _T_2612 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2606 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2608 = _T_2606 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2600 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2602 = _T_2600 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_0 = {_T_2614,_T_2608,_T_2602,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 149:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 149:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 149:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 141:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 141:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 149:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 149:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 149:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 149:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 149:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 149:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 149:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 149:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 149:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 149:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 149:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 149:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 149:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 141:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 141:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 149:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 149:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 149:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 149:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 149:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 149:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 149:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 149:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 149:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 149:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 149:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 149:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 149:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 141:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 141:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 149:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 149:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 149:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 149:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 149:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 149:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 149:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 149:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 149:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 149:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 149:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 149:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 149:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 141:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 141:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 150:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 150:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 150:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 156:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 156:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 156:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 156:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 161:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 161:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 150:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 150:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 150:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 150:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 150:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 150:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 150:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 150:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 150:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 150:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 142:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 142:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 150:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 150:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 150:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 150:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 150:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 150:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 150:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 150:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 150:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 150:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 150:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 150:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 150:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 142:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 142:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 150:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 150:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 150:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 150:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 150:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 150:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 150:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 150:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 150:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 150:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 150:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 150:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 150:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 142:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 142:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 150:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 150:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 150:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 150:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 150:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 150:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 150:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 150:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 150:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 150:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 150:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 150:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 150:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 142:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 142:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 171:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 172:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 177:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 178:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 185:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 186:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 187:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 188:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 206:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 208:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 210:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 210:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 210:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 210:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 211:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 211:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 211:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 213:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 256:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 219:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 219:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 238:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 238:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 238:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 238:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 238:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 238:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 238:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 238:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 238:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 239:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 219:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 219:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 219:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 220:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 214:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 214:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 214:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 214:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 214:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 214:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 220:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 220:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 220:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 220:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 220:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 623:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 622:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 233:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 236:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 236:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 240:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 240:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 240:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 240:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 240:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 240:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 240:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 240:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 240:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 240:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 241:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 243:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 243:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4430 = buf_write[3] & _T_2583; // @[lsu_bus_buffer.scala 529:64] + wire _T_4431 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 529:91] + wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 529:89] + wire _T_4425 = buf_write[2] & _T_2576; // @[lsu_bus_buffer.scala 529:64] + wire _T_4426 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 529:91] + wire _T_4427 = _T_4425 & _T_4426; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _T_4433 = _T_4432 + _T_4427; // @[lsu_bus_buffer.scala 529:142] + wire _T_4420 = buf_write[1] & _T_2569; // @[lsu_bus_buffer.scala 529:64] + wire _T_4421 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 529:91] + wire _T_4422 = _T_4420 & _T_4421; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _GEN_366 = {{1'd0}, _T_4422}; // @[lsu_bus_buffer.scala 529:142] + wire [2:0] _T_4434 = _T_4433 + _GEN_366; // @[lsu_bus_buffer.scala 529:142] + wire _T_4415 = buf_write[0] & _T_2562; // @[lsu_bus_buffer.scala 529:64] + wire _T_4416 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 529:91] + wire _T_4417 = _T_4415 & _T_4416; // @[lsu_bus_buffer.scala 529:89] + wire [2:0] _GEN_367 = {{2'd0}, _T_4417}; // @[lsu_bus_buffer.scala 529:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4434 + _GEN_367; // @[lsu_bus_buffer.scala 529:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] + wire _T_4447 = _T_2583 & _T_4431; // @[lsu_bus_buffer.scala 530:73] + wire _T_4444 = _T_2576 & _T_4426; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _T_4448 = _T_4447 + _T_4444; // @[lsu_bus_buffer.scala 530:126] + wire _T_4441 = _T_2569 & _T_4421; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _GEN_368 = {{1'd0}, _T_4441}; // @[lsu_bus_buffer.scala 530:126] + wire [2:0] _T_4449 = _T_4448 + _GEN_368; // @[lsu_bus_buffer.scala 530:126] + wire _T_4438 = _T_2562 & _T_4416; // @[lsu_bus_buffer.scala 530:73] + wire [2:0] _GEN_369 = {{2'd0}, _T_4438}; // @[lsu_bus_buffer.scala 530:126] + wire [3:0] buf_numvld_cmd_any = _T_4449 + _GEN_369; // @[lsu_bus_buffer.scala 530:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 363:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 266:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 266:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 266:114] + wire _T_1911 = |buf_age_3; // @[lsu_bus_buffer.scala 380:58] + wire _T_1912 = ~_T_1911; // @[lsu_bus_buffer.scala 380:45] + wire _T_1914 = _T_1912 & _T_2583; // @[lsu_bus_buffer.scala 380:63] + wire _T_1916 = _T_1914 & _T_4431; // @[lsu_bus_buffer.scala 380:88] + wire _T_1905 = |buf_age_2; // @[lsu_bus_buffer.scala 380:58] + wire _T_1906 = ~_T_1905; // @[lsu_bus_buffer.scala 380:45] + wire _T_1908 = _T_1906 & _T_2576; // @[lsu_bus_buffer.scala 380:63] + wire _T_1910 = _T_1908 & _T_4426; // @[lsu_bus_buffer.scala 380:88] + wire _T_1899 = |buf_age_1; // @[lsu_bus_buffer.scala 380:58] + wire _T_1900 = ~_T_1899; // @[lsu_bus_buffer.scala 380:45] + wire _T_1902 = _T_1900 & _T_2569; // @[lsu_bus_buffer.scala 380:63] + wire _T_1904 = _T_1902 & _T_4421; // @[lsu_bus_buffer.scala 380:88] + wire _T_1893 = |buf_age_0; // @[lsu_bus_buffer.scala 380:58] + wire _T_1894 = ~_T_1893; // @[lsu_bus_buffer.scala 380:45] + wire _T_1896 = _T_1894 & _T_2562; // @[lsu_bus_buffer.scala 380:63] + wire _T_1898 = _T_1896 & _T_4416; // @[lsu_bus_buffer.scala 380:88] + wire [3:0] CmdPtr0Dec = {_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] + wire [7:0] _T_1986 = {4'h0,_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] + wire _T_1989 = _T_1986[4] | _T_1986[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_1991 = _T_1989 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_1993 = _T_1991 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_1996 = _T_1986[2] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_1998 = _T_1996 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2000 = _T_1998 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2003 = _T_1986[1] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2005 = _T_2003 | _T_1986[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2007 = _T_2005 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2009 = {_T_1993,_T_2000,_T_2007}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2009[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 267:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 267:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 267:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 267:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 267:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 267:29] + reg _T_4314; // @[Reg.scala 27:20] + reg _T_4311; // @[Reg.scala 27:20] + reg _T_4308; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4314,_T_4311,_T_4308,_T_4305}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 268:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 267:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 270:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 270:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 270:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 269:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 269:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 269:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 269:123] + wire _T_4466 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4470 = _T_4466 | _T_4447; // @[lsu_bus_buffer.scala 531:74] + wire _T_4461 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4465 = _T_4461 | _T_4444; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _T_4471 = _T_4470 + _T_4465; // @[lsu_bus_buffer.scala 531:154] + wire _T_4456 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4460 = _T_4456 | _T_4441; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _GEN_370 = {{1'd0}, _T_4460}; // @[lsu_bus_buffer.scala 531:154] + wire [2:0] _T_4472 = _T_4471 + _GEN_370; // @[lsu_bus_buffer.scala 531:154] + wire _T_4451 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4455 = _T_4451 | _T_4438; // @[lsu_bus_buffer.scala 531:74] + wire [2:0] _GEN_371 = {{2'd0}, _T_4455}; // @[lsu_bus_buffer.scala 531:154] + wire [3:0] buf_numvld_pend_any = _T_4472 + _GEN_371; // @[lsu_bus_buffer.scala 531:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 287:32] + wire _T_4740 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4742 = _T_4740 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4743 = _T_4742 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4744 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4746 = _T_4744 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4747 = _T_4746 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4756 = _T_4743 | _T_4747; // @[lsu_bus_buffer.scala 559:153] + wire _T_4748 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4750 = _T_4748 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4751 = _T_4750 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4757 = _T_4756 | _T_4751; // @[lsu_bus_buffer.scala 559:153] + wire _T_4752 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4754 = _T_4752 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4755 = _T_4754 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4758 = _T_4757 | _T_4755; // @[lsu_bus_buffer.scala 559:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4759 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 559:171] + wire _T_4760 = _T_4759 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:189] + wire bus_sideeffect_pend = _T_4758 | _T_4760; // @[lsu_bus_buffer.scala 559:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 287:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 287:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 287:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 288:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 385:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 288:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 289:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 289:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 289:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 289:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 289:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 290:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 290:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 290:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 290:8] + wire [3:0] _T_1952 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 381:62] + wire [3:0] _T_1953 = buf_age_3 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1954 = |_T_1953; // @[lsu_bus_buffer.scala 381:76] + wire _T_1955 = ~_T_1954; // @[lsu_bus_buffer.scala 381:45] + wire _T_1957 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1958 = _T_1955 & _T_1957; // @[lsu_bus_buffer.scala 381:81] + wire _T_1960 = _T_1958 & _T_2583; // @[lsu_bus_buffer.scala 381:98] + wire _T_1962 = _T_1960 & _T_4431; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1942 = buf_age_2 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1943 = |_T_1942; // @[lsu_bus_buffer.scala 381:76] + wire _T_1944 = ~_T_1943; // @[lsu_bus_buffer.scala 381:45] + wire _T_1946 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1947 = _T_1944 & _T_1946; // @[lsu_bus_buffer.scala 381:81] + wire _T_1949 = _T_1947 & _T_2576; // @[lsu_bus_buffer.scala 381:98] + wire _T_1951 = _T_1949 & _T_4426; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1931 = buf_age_1 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1932 = |_T_1931; // @[lsu_bus_buffer.scala 381:76] + wire _T_1933 = ~_T_1932; // @[lsu_bus_buffer.scala 381:45] + wire _T_1935 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1936 = _T_1933 & _T_1935; // @[lsu_bus_buffer.scala 381:81] + wire _T_1938 = _T_1936 & _T_2569; // @[lsu_bus_buffer.scala 381:98] + wire _T_1940 = _T_1938 & _T_4421; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1920 = buf_age_0 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1921 = |_T_1920; // @[lsu_bus_buffer.scala 381:76] + wire _T_1922 = ~_T_1921; // @[lsu_bus_buffer.scala 381:45] + wire _T_1924 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1925 = _T_1922 & _T_1924; // @[lsu_bus_buffer.scala 381:81] + wire _T_1927 = _T_1925 & _T_2562; // @[lsu_bus_buffer.scala 381:98] + wire _T_1929 = _T_1927 & _T_4416; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] CmdPtr1Dec = {_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 386:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 290:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 290:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 290:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 289:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 287:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 350:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 351:55] + wire _T_4814 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 563:54] + wire _T_4815 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 563:75] + wire _T_4816 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 563:153] + wire _T_4817 = _T_4814 ? _T_4815 : _T_4816; // @[lsu_bus_buffer.scala 563:39] + wire bus_cmd_ready = obuf_write ? _T_4817 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 563:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 291:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 291:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 291:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 291:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 291:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 291:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire _T_4765 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4766 = obuf_valid & _T_4765; // @[lsu_bus_buffer.scala 561:19] + wire _T_4768 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 561:107] + wire _T_4769 = obuf_merge & _T_4768; // @[lsu_bus_buffer.scala 561:95] + wire _T_4770 = _T_3558 | _T_4769; // @[lsu_bus_buffer.scala 561:81] + wire _T_4771 = ~_T_4770; // @[lsu_bus_buffer.scala 561:61] + wire _T_4772 = _T_4766 & _T_4771; // @[lsu_bus_buffer.scala 561:59] + wire _T_4806 = _T_4740 & _T_4772; // @[Mux.scala 27:72] + wire _T_4776 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 561:19] + wire _T_4779 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 561:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 561:95] + wire _T_4781 = _T_3748 | _T_4780; // @[lsu_bus_buffer.scala 561:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 561:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 561:59] + wire _T_4807 = _T_4744 & _T_4783; // @[Mux.scala 27:72] + wire _T_4810 = _T_4806 | _T_4807; // @[Mux.scala 27:72] + wire _T_4787 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 561:19] + wire _T_4790 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 561:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 561:95] + wire _T_4792 = _T_3938 | _T_4791; // @[lsu_bus_buffer.scala 561:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 561:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 561:59] + wire _T_4808 = _T_4748 & _T_4794; // @[Mux.scala 27:72] + wire _T_4811 = _T_4810 | _T_4808; // @[Mux.scala 27:72] + wire _T_4798 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 561:19] + wire _T_4801 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 561:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 561:95] + wire _T_4803 = _T_4128 | _T_4802; // @[lsu_bus_buffer.scala 561:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 561:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 561:59] + wire _T_4809 = _T_4752 & _T_4805; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4811 | _T_4809; // @[Mux.scala 27:72] + wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 291:94] + wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 291:92] + wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 291:118] + wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 293:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 564:40] + wire _T_4821 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 566:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:40] + wire _T_4822 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 566:70] + wire _T_4823 = _T_4821 & _T_4822; // @[lsu_bus_buffer.scala 566:52] + wire _T_4824 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 566:112] + wire bus_cmd_sent = _T_4823 | _T_4824; // @[lsu_bus_buffer.scala 566:89] + wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 293:33] + wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 293:65] + wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 293:63] + wire _T_1244 = _T_1243 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 293:77] + wire obuf_rst = _T_1244 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 293:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 294:26] + wire [31:0] _T_1281 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1282 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1283 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1281 | _T_1282; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1285 | _T_1283; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1286 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1287; // @[lsu_bus_buffer.scala 296:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1294 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1295 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1298 = _T_1294 | _T_1295; // @[Mux.scala 27:72] + wire [1:0] _T_1299 = _T_1298 | _T_1296; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 299:23] + wire [7:0] _T_2011 = {4'h0,_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire _T_2014 = _T_2011[4] | _T_2011[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2016 = _T_2014 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2018 = _T_2016 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2021 = _T_2011[2] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2023 = _T_2021 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2025 = _T_2023 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2028 = _T_2011[1] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2030 = _T_2028 | _T_2011[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2032 = _T_2030 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2034 = {_T_2018,_T_2025,_T_2032}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2034[1:0]; // @[lsu_bus_buffer.scala 395:11] + wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 307:39] + wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 307:26] + wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 311:72] + wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 311:98] + wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 311:96] + wire _T_1314 = _T_1309 | _T_1313; // @[lsu_bus_buffer.scala 311:79] + wire _T_1317 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 311:153] + wire _T_1318 = ~_T_1317; // @[lsu_bus_buffer.scala 311:134] + wire _T_1319 = obuf_sz_in[1] & _T_1318; // @[lsu_bus_buffer.scala 311:132] + wire _T_1320 = _T_1314 | _T_1319; // @[lsu_bus_buffer.scala 311:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1320; // @[lsu_bus_buffer.scala 311:28] + wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 326:40] + wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 326:60] + wire _T_1339 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 326:80] + wire _T_1340 = _T_1338 & _T_1339; // @[lsu_bus_buffer.scala 326:78] + wire _T_1341 = ~obuf_write; // @[lsu_bus_buffer.scala 326:99] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 326:97] + wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 326:113] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 326:111] + wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 326:130] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 326:128] + wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 327:20] + wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 327:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 352:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 567:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 353:55] + wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 327:90] + wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 327:70] + wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 327:55] + wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 327:53] + wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 327:34] + wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 326:177] + wire _T_1321 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 319:45] + wire _T_1322 = obuf_wr_en & _T_1321; // @[lsu_bus_buffer.scala 319:43] + wire _T_1323 = ~_T_1322; // @[lsu_bus_buffer.scala 319:30] + wire _T_1324 = _T_1323 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 319:62] + wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 319:80] + wire _T_1330 = bus_cmd_sent & _T_1341; // @[lsu_bus_buffer.scala 320:19] + wire _T_1331 = _T_1328 | _T_1330; // @[lsu_bus_buffer.scala 319:139] + wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 321:47] + wire [7:0] _T_1356 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1357 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1358 = io_lsu_addr_r[2] ? _T_1356 : _T_1357; // @[lsu_bus_buffer.scala 328:46] + wire [3:0] _T_1377 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1378 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1379 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1377 | _T_1378; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1381 | _T_1379; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1382 | _T_1380; // @[Mux.scala 27:72] + wire [7:0] _T_1385 = {_T_1383,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1398 = {4'h0,_T_1383}; // @[Cat.scala 29:58] + wire [7:0] _T_1399 = _T_1287[2] ? _T_1385 : _T_1398; // @[lsu_bus_buffer.scala 329:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1358 : _T_1399; // @[lsu_bus_buffer.scala 328:28] + wire [7:0] _T_1401 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1402 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 330:46] + wire _T_1404 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_1405 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_1406 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_1407 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_1408 = _T_1404 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1409 = _T_1405 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 | _T_1409; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1412 | _T_1410; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1413 | _T_1411; // @[Mux.scala 27:72] + wire [3:0] _T_1422 = _T_1404 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1423 = _T_1405 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1422 | _T_1423; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1426 | _T_1424; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] + wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] + wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 331:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 330:28] + wire [63:0] _T_1446 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1447 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 333:44] + wire [31:0] _T_1467 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1468 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1469 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1467 | _T_1468; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1471 | _T_1469; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1472 | _T_1470; // @[Mux.scala 27:72] + wire [63:0] _T_1475 = {_T_1473,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1488 = {32'h0,_T_1473}; // @[Cat.scala 29:58] + wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 334:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 333:26] + wire [63:0] _T_1491 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1492 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 335:44] + wire [31:0] _T_1512 = _T_1404 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1513 = _T_1405 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1514 = _T_1406 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1512 | _T_1513; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1516 | _T_1514; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] + wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] + wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 336:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 335:26] + wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 342:30] + wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 342:43] + wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 342:59] + wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 342:75] + wire [2:0] _T_1640 = _T_1404 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1641 = _T_1405 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1640 | _T_1641; // @[Mux.scala 27:72] + wire [2:0] _T_1642 = _T_1406 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1644 | _T_1642; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1645 | _T_1643; // @[Mux.scala 27:72] + wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 342:150] + wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 342:118] + wire _T_1670 = _T_1649 & _T_1128; // @[lsu_bus_buffer.scala 342:161] + wire _T_1688 = _T_1670 & _T_1053; // @[lsu_bus_buffer.scala 343:85] + wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 344:36] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1728 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1737 = _T_1023 & _T_1728[0]; // @[Mux.scala 27:72] + wire _T_1738 = _T_1024 & _T_1728[1]; // @[Mux.scala 27:72] + wire _T_1741 = _T_1737 | _T_1738; // @[Mux.scala 27:72] + wire _T_1739 = _T_1025 & _T_1728[2]; // @[Mux.scala 27:72] + wire _T_1742 = _T_1741 | _T_1739; // @[Mux.scala 27:72] + wire _T_1740 = _T_1026 & _T_1728[3]; // @[Mux.scala 27:72] + wire _T_1743 = _T_1742 | _T_1740; // @[Mux.scala 27:72] + wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 344:107] + wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 344:105] + wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 344:177] + wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 343:122] + wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 345:19] + wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 345:35] + wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 344:250] + wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1538 = obuf_byteen0_in[0] | _T_1537; // @[lsu_bus_buffer.scala 337:63] + wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1542 = obuf_byteen0_in[1] | _T_1541; // @[lsu_bus_buffer.scala 337:63] + wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1546 = obuf_byteen0_in[2] | _T_1545; // @[lsu_bus_buffer.scala 337:63] + wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1550 = obuf_byteen0_in[3] | _T_1549; // @[lsu_bus_buffer.scala 337:63] + wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1554 = obuf_byteen0_in[4] | _T_1553; // @[lsu_bus_buffer.scala 337:63] + wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1558 = obuf_byteen0_in[5] | _T_1557; // @[lsu_bus_buffer.scala 337:63] + wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1562 = obuf_byteen0_in[6] | _T_1561; // @[lsu_bus_buffer.scala 337:63] + wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1566 = obuf_byteen0_in[7] | _T_1565; // @[lsu_bus_buffer.scala 337:63] + wire [7:0] obuf_byteen_in = {_T_1566,_T_1562,_T_1558,_T_1554,_T_1550,_T_1546,_T_1542,_T_1538}; // @[Cat.scala 29:58] + wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 338:44] + wire [55:0] _T_1618 = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582}; // @[Cat.scala 29:58] + wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 348:58] + wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 348:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1785 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1786 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 367:30] + wire _T_1787 = ibuf_valid & _T_1786; // @[lsu_bus_buffer.scala 367:19] + wire _T_1788 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 368:18] + wire _T_1789 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 368:57] + wire _T_1790 = io_ldst_dual_r & _T_1789; // @[lsu_bus_buffer.scala 368:45] + wire _T_1791 = _T_1788 | _T_1790; // @[lsu_bus_buffer.scala 368:27] + wire _T_1792 = io_lsu_busreq_r & _T_1791; // @[lsu_bus_buffer.scala 367:58] + wire _T_1793 = _T_1787 | _T_1792; // @[lsu_bus_buffer.scala 367:39] + wire _T_1794 = ~_T_1793; // @[lsu_bus_buffer.scala 367:5] + wire _T_1795 = _T_1785 & _T_1794; // @[lsu_bus_buffer.scala 366:76] + wire _T_1796 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1797 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 367:30] + wire _T_1798 = ibuf_valid & _T_1797; // @[lsu_bus_buffer.scala 367:19] + wire _T_1799 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 368:18] + wire _T_1800 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 368:57] + wire _T_1801 = io_ldst_dual_r & _T_1800; // @[lsu_bus_buffer.scala 368:45] + wire _T_1802 = _T_1799 | _T_1801; // @[lsu_bus_buffer.scala 368:27] + wire _T_1803 = io_lsu_busreq_r & _T_1802; // @[lsu_bus_buffer.scala 367:58] + wire _T_1804 = _T_1798 | _T_1803; // @[lsu_bus_buffer.scala 367:39] + wire _T_1805 = ~_T_1804; // @[lsu_bus_buffer.scala 367:5] + wire _T_1806 = _T_1796 & _T_1805; // @[lsu_bus_buffer.scala 366:76] + wire _T_1807 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1808 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 367:30] + wire _T_1809 = ibuf_valid & _T_1808; // @[lsu_bus_buffer.scala 367:19] + wire _T_1810 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 368:18] + wire _T_1811 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 368:57] + wire _T_1812 = io_ldst_dual_r & _T_1811; // @[lsu_bus_buffer.scala 368:45] + wire _T_1813 = _T_1810 | _T_1812; // @[lsu_bus_buffer.scala 368:27] + wire _T_1814 = io_lsu_busreq_r & _T_1813; // @[lsu_bus_buffer.scala 367:58] + wire _T_1815 = _T_1809 | _T_1814; // @[lsu_bus_buffer.scala 367:39] + wire _T_1816 = ~_T_1815; // @[lsu_bus_buffer.scala 367:5] + wire _T_1817 = _T_1807 & _T_1816; // @[lsu_bus_buffer.scala 366:76] + wire _T_1818 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1819 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 367:30] + wire _T_1821 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 368:18] + wire _T_1822 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 368:57] + wire [1:0] _T_1830 = _T_1817 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1831 = _T_1806 ? 2'h1 : _T_1830; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1795 ? 2'h0 : _T_1831; // @[Mux.scala 98:16] + wire _T_1836 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 373:33] + wire _T_1837 = io_lsu_busreq_m & _T_1836; // @[lsu_bus_buffer.scala 373:22] + wire _T_1838 = _T_1787 | _T_1837; // @[lsu_bus_buffer.scala 372:112] + wire _T_1844 = _T_1838 | _T_1792; // @[lsu_bus_buffer.scala 373:42] + wire _T_1845 = ~_T_1844; // @[lsu_bus_buffer.scala 372:78] + wire _T_1846 = _T_1785 & _T_1845; // @[lsu_bus_buffer.scala 372:76] + wire _T_1850 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 373:33] + wire _T_1851 = io_lsu_busreq_m & _T_1850; // @[lsu_bus_buffer.scala 373:22] + wire _T_1852 = _T_1798 | _T_1851; // @[lsu_bus_buffer.scala 372:112] + wire _T_1858 = _T_1852 | _T_1803; // @[lsu_bus_buffer.scala 373:42] + wire _T_1859 = ~_T_1858; // @[lsu_bus_buffer.scala 372:78] + wire _T_1860 = _T_1796 & _T_1859; // @[lsu_bus_buffer.scala 372:76] + wire _T_1864 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 373:33] + wire _T_1865 = io_lsu_busreq_m & _T_1864; // @[lsu_bus_buffer.scala 373:22] + wire _T_1866 = _T_1809 | _T_1865; // @[lsu_bus_buffer.scala 372:112] + wire _T_1872 = _T_1866 | _T_1814; // @[lsu_bus_buffer.scala 373:42] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 372:78] + wire _T_1874 = _T_1807 & _T_1873; // @[lsu_bus_buffer.scala 372:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 509:63] + wire _T_2710 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2711 = buf_rspageQ_0[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2707 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2708 = buf_rspageQ_0[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2704 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2705 = buf_rspageQ_0[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2701 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2702 = buf_rspageQ_0[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2711,_T_2708,_T_2705,_T_2702}; // @[Cat.scala 29:58] + wire _T_1965 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 384:65] + wire _T_1966 = ~_T_1965; // @[lsu_bus_buffer.scala 384:44] + wire _T_1968 = _T_1966 & _T_2701; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 509:63] + wire _T_2726 = buf_rspageQ_1[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2723 = buf_rspageQ_1[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2720 = buf_rspageQ_1[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2717 = buf_rspageQ_1[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2726,_T_2723,_T_2720,_T_2717}; // @[Cat.scala 29:58] + wire _T_1969 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 384:65] + wire _T_1970 = ~_T_1969; // @[lsu_bus_buffer.scala 384:44] + wire _T_1972 = _T_1970 & _T_2704; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 509:63] + wire _T_2741 = buf_rspageQ_2[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2738 = buf_rspageQ_2[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2735 = buf_rspageQ_2[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2732 = buf_rspageQ_2[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2741,_T_2738,_T_2735,_T_2732}; // @[Cat.scala 29:58] + wire _T_1973 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 384:65] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 384:44] + wire _T_1976 = _T_1974 & _T_2707; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 509:63] + wire _T_2756 = buf_rspageQ_3[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2753 = buf_rspageQ_3[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2750 = buf_rspageQ_3[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2747 = buf_rspageQ_3[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2756,_T_2753,_T_2750,_T_2747}; // @[Cat.scala 29:58] + wire _T_1977 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 384:65] + wire _T_1978 = ~_T_1977; // @[lsu_bus_buffer.scala 384:44] + wire _T_1980 = _T_1978 & _T_2710; // @[lsu_bus_buffer.scala 384:70] + wire [7:0] _T_2036 = {4'h0,_T_1980,_T_1976,_T_1972,_T_1968}; // @[Cat.scala 29:58] + wire _T_2039 = _T_2036[4] | _T_2036[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2041 = _T_2039 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2043 = _T_2041 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2046 = _T_2036[2] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2048 = _T_2046 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2050 = _T_2048 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2053 = _T_2036[1] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2055 = _T_2053 | _T_2036[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2057 = _T_2055 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2059 = {_T_2043,_T_2050,_T_2057}; // @[Cat.scala 29:58] + wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:77] + wire _T_3529 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 446:97] + wire _T_3530 = _T_3528 & _T_3529; // @[lsu_bus_buffer.scala 446:95] + wire _T_3531 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3532 = _T_3530 & _T_3531; // @[lsu_bus_buffer.scala 446:112] + wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:144] + wire _T_3534 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3535 = _T_3533 & _T_3534; // @[lsu_bus_buffer.scala 446:161] + wire _T_3536 = _T_3532 | _T_3535; // @[lsu_bus_buffer.scala 446:132] + wire _T_3537 = _T_853 & _T_3536; // @[lsu_bus_buffer.scala 446:63] + wire _T_3538 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3539 = ibuf_drain_vld & _T_3538; // @[lsu_bus_buffer.scala 446:201] + wire _T_3540 = _T_3537 | _T_3539; // @[lsu_bus_buffer.scala 446:183] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 568:39] + wire _T_3628 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] + wire _T_3629 = bus_rsp_write & _T_3628; // @[lsu_bus_buffer.scala 473:52] + wire _T_3630 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] + reg _T_4291; // @[Reg.scala 27:20] + reg _T_4289; // @[Reg.scala 27:20] + reg _T_4287; // @[Reg.scala 27:20] + reg _T_4285; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4291,_T_4289,_T_4287,_T_4285}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3632 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 475:47] + wire _T_3633 = buf_ldfwd[0] & _T_3632; // @[lsu_bus_buffer.scala 475:27] + wire _T_3634 = _T_3630 | _T_3633; // @[lsu_bus_buffer.scala 474:77] + wire _T_3635 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] + wire _T_3637 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3638 = _T_3635 & _T_3637; // @[lsu_bus_buffer.scala 476:42] + wire _T_3639 = _T_3638 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 476:94] + wire _T_3641 = _T_3639 & _T_3640; // @[lsu_bus_buffer.scala 476:74] + wire _T_3642 = _T_3634 | _T_3641; // @[lsu_bus_buffer.scala 475:71] + wire _T_3643 = bus_rsp_read & _T_3642; // @[lsu_bus_buffer.scala 474:25] + wire _T_3644 = _T_3629 | _T_3643; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_43 = _T_3585 & _T_3644; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3551 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3547 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [3:0] _T_3679 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 489:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3681 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 489:58] + wire _T_3682 = _T_3679[0] & _T_3681; // @[lsu_bus_buffer.scala 489:38] + wire _T_3683 = _T_3640 | _T_3682; // @[lsu_bus_buffer.scala 488:95] + wire _T_3684 = bus_rsp_read & _T_3683; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_37 = _T_3669 & _T_3684; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_3547 ? 1'h0 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_67; // @[Conditional.scala 40:58] + wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire [1:0] RspPtr = _T_2059[1:0]; // @[lsu_bus_buffer.scala 396:10] + wire _T_3690 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 495:37] + wire _T_3691 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3692 = buf_dual_0 & _T_3691; // @[lsu_bus_buffer.scala 495:80] + wire _T_3693 = _T_3690 | _T_3692; // @[lsu_bus_buffer.scala 495:65] + wire _T_3694 = _T_3693 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_32 = _T_3687 ? _T_3694 : _T_3695; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3669 ? _T_3565 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3585 ? _T_3565 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_3551 ? _T_3565 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3547 ? obuf_rdrsp_pend_en : _GEN_55; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2061 = _T_1785 & buf_state_en_0; // @[lsu_bus_buffer.scala 408:94] + wire _T_2067 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 410:23] + wire _T_2069 = _T_2067 & _T_3528; // @[lsu_bus_buffer.scala 410:41] + wire _T_2071 = _T_2069 & _T_1788; // @[lsu_bus_buffer.scala 410:71] + wire _T_2073 = _T_2071 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2074 = _T_4455 | _T_2073; // @[lsu_bus_buffer.scala 409:86] + wire _T_2075 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 411:17] + wire _T_2076 = _T_2075 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 411:35] + wire _T_2078 = _T_2076 & _T_1789; // @[lsu_bus_buffer.scala 411:52] + wire _T_2080 = _T_2078 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2081 = _T_2074 | _T_2080; // @[lsu_bus_buffer.scala 410:114] + wire _T_2082 = _T_2061 & _T_2081; // @[lsu_bus_buffer.scala 408:113] + wire _T_2084 = _T_2082 | buf_age_0[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2098 = _T_2071 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2099 = _T_4460 | _T_2098; // @[lsu_bus_buffer.scala 409:86] + wire _T_2105 = _T_2078 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2106 = _T_2099 | _T_2105; // @[lsu_bus_buffer.scala 410:114] + wire _T_2107 = _T_2061 & _T_2106; // @[lsu_bus_buffer.scala 408:113] + wire _T_2109 = _T_2107 | buf_age_0[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2123 = _T_2071 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2124 = _T_4465 | _T_2123; // @[lsu_bus_buffer.scala 409:86] + wire _T_2130 = _T_2078 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2131 = _T_2124 | _T_2130; // @[lsu_bus_buffer.scala 410:114] + wire _T_2132 = _T_2061 & _T_2131; // @[lsu_bus_buffer.scala 408:113] + wire _T_2134 = _T_2132 | buf_age_0[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2148 = _T_2071 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2149 = _T_4470 | _T_2148; // @[lsu_bus_buffer.scala 409:86] + wire _T_2155 = _T_2078 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2156 = _T_2149 | _T_2155; // @[lsu_bus_buffer.scala 410:114] + wire _T_2157 = _T_2061 & _T_2156; // @[lsu_bus_buffer.scala 408:113] + wire _T_2159 = _T_2157 | buf_age_0[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2161 = {_T_2159,_T_2134,_T_2109}; // @[Cat.scala 29:58] + wire _T_3721 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3722 = _T_3530 & _T_3721; // @[lsu_bus_buffer.scala 446:112] + wire _T_3724 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3725 = _T_3533 & _T_3724; // @[lsu_bus_buffer.scala 446:161] + wire _T_3726 = _T_3722 | _T_3725; // @[lsu_bus_buffer.scala 446:132] + wire _T_3727 = _T_853 & _T_3726; // @[lsu_bus_buffer.scala 446:63] + wire _T_3728 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3729 = ibuf_drain_vld & _T_3728; // @[lsu_bus_buffer.scala 446:201] + wire _T_3730 = _T_3727 | _T_3729; // @[lsu_bus_buffer.scala 446:183] + wire _T_3818 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] + wire _T_3819 = bus_rsp_write & _T_3818; // @[lsu_bus_buffer.scala 473:52] + wire _T_3820 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3822 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 475:47] + wire _T_3823 = buf_ldfwd[1] & _T_3822; // @[lsu_bus_buffer.scala 475:27] + wire _T_3824 = _T_3820 | _T_3823; // @[lsu_bus_buffer.scala 474:77] + wire _T_3825 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] + wire _T_3827 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3828 = _T_3825 & _T_3827; // @[lsu_bus_buffer.scala 476:42] + wire _T_3829 = _T_3828 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3830 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 476:94] + wire _T_3831 = _T_3829 & _T_3830; // @[lsu_bus_buffer.scala 476:74] + wire _T_3832 = _T_3824 | _T_3831; // @[lsu_bus_buffer.scala 475:71] + wire _T_3833 = bus_rsp_read & _T_3832; // @[lsu_bus_buffer.scala 474:25] + wire _T_3834 = _T_3819 | _T_3833; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_120 = _T_3775 & _T_3834; // @[Conditional.scala 39:67] + wire _GEN_139 = _T_3741 ? 1'h0 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_151 = _T_3737 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_151; // @[Conditional.scala 40:58] + wire [3:0] _T_3869 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_100 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_101 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_100; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_102 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_101; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_102}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3871 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 489:58] + wire _T_3872 = _T_3869[0] & _T_3871; // @[lsu_bus_buffer.scala 489:38] + wire _T_3873 = _T_3830 | _T_3872; // @[lsu_bus_buffer.scala 488:95] + wire _T_3874 = bus_rsp_read & _T_3873; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_114 = _T_3859 & _T_3874; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3775 ? buf_resp_state_bus_en_1 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_131 = _T_3741 ? buf_cmd_state_bus_en_1 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3737 ? 1'h0 : _GEN_131; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire _T_3754 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3755 = _T_3754 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_3880 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 495:37] + wire _T_3881 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3882 = buf_dual_1 & _T_3881; // @[lsu_bus_buffer.scala 495:80] + wire _T_3883 = _T_3880 | _T_3882; // @[lsu_bus_buffer.scala 495:65] + wire _T_3884 = _T_3883 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_109 = _T_3877 ? _T_3884 : _T_3885; // @[Conditional.scala 39:67] + wire _GEN_115 = _T_3859 ? _T_3755 : _GEN_109; // @[Conditional.scala 39:67] + wire _GEN_122 = _T_3775 ? _T_3755 : _GEN_115; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3741 ? _T_3755 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3737 ? obuf_rdrsp_pend_en : _GEN_132; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3714 ? _T_3730 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_2163 = _T_1796 & buf_state_en_1; // @[lsu_bus_buffer.scala 408:94] + wire _T_2173 = _T_2069 & _T_1799; // @[lsu_bus_buffer.scala 410:71] + wire _T_2175 = _T_2173 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2176 = _T_4455 | _T_2175; // @[lsu_bus_buffer.scala 409:86] + wire _T_2180 = _T_2076 & _T_1800; // @[lsu_bus_buffer.scala 411:52] + wire _T_2182 = _T_2180 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2183 = _T_2176 | _T_2182; // @[lsu_bus_buffer.scala 410:114] + wire _T_2184 = _T_2163 & _T_2183; // @[lsu_bus_buffer.scala 408:113] + wire _T_2186 = _T_2184 | buf_age_1[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2200 = _T_2173 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2201 = _T_4460 | _T_2200; // @[lsu_bus_buffer.scala 409:86] + wire _T_2207 = _T_2180 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2208 = _T_2201 | _T_2207; // @[lsu_bus_buffer.scala 410:114] + wire _T_2209 = _T_2163 & _T_2208; // @[lsu_bus_buffer.scala 408:113] + wire _T_2211 = _T_2209 | buf_age_1[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2225 = _T_2173 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2226 = _T_4465 | _T_2225; // @[lsu_bus_buffer.scala 409:86] + wire _T_2232 = _T_2180 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2233 = _T_2226 | _T_2232; // @[lsu_bus_buffer.scala 410:114] + wire _T_2234 = _T_2163 & _T_2233; // @[lsu_bus_buffer.scala 408:113] + wire _T_2236 = _T_2234 | buf_age_1[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2250 = _T_2173 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2251 = _T_4470 | _T_2250; // @[lsu_bus_buffer.scala 409:86] + wire _T_2257 = _T_2180 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2258 = _T_2251 | _T_2257; // @[lsu_bus_buffer.scala 410:114] + wire _T_2259 = _T_2163 & _T_2258; // @[lsu_bus_buffer.scala 408:113] + wire _T_2261 = _T_2259 | buf_age_1[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2263 = {_T_2261,_T_2236,_T_2211}; // @[Cat.scala 29:58] + wire _T_3911 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3912 = _T_3530 & _T_3911; // @[lsu_bus_buffer.scala 446:112] + wire _T_3914 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3915 = _T_3533 & _T_3914; // @[lsu_bus_buffer.scala 446:161] + wire _T_3916 = _T_3912 | _T_3915; // @[lsu_bus_buffer.scala 446:132] + wire _T_3917 = _T_853 & _T_3916; // @[lsu_bus_buffer.scala 446:63] + wire _T_3918 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3919 = ibuf_drain_vld & _T_3918; // @[lsu_bus_buffer.scala 446:201] + wire _T_3920 = _T_3917 | _T_3919; // @[lsu_bus_buffer.scala 446:183] + wire _T_4008 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] + wire _T_4009 = bus_rsp_write & _T_4008; // @[lsu_bus_buffer.scala 473:52] + wire _T_4010 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4012 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 475:47] + wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[lsu_bus_buffer.scala 475:27] + wire _T_4014 = _T_4010 | _T_4013; // @[lsu_bus_buffer.scala 474:77] + wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] + wire _T_4017 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4018 = _T_4015 & _T_4017; // @[lsu_bus_buffer.scala 476:42] + wire _T_4019 = _T_4018 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4020 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 476:94] + wire _T_4021 = _T_4019 & _T_4020; // @[lsu_bus_buffer.scala 476:74] + wire _T_4022 = _T_4014 | _T_4021; // @[lsu_bus_buffer.scala 475:71] + wire _T_4023 = bus_rsp_read & _T_4022; // @[lsu_bus_buffer.scala 474:25] + wire _T_4024 = _T_4009 | _T_4023; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_197 = _T_3965 & _T_4024; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3931 ? 1'h0 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_228 = _T_3927 ? 1'h0 : _GEN_216; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_228; // @[Conditional.scala 40:58] + wire [3:0] _T_4059 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_177 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_178 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_177; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_179 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_178; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_179}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4061 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 489:58] + wire _T_4062 = _T_4059[0] & _T_4061; // @[lsu_bus_buffer.scala 489:38] + wire _T_4063 = _T_4020 | _T_4062; // @[lsu_bus_buffer.scala 488:95] + wire _T_4064 = bus_rsp_read & _T_4063; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_191 = _T_4049 & _T_4064; // @[Conditional.scala 39:67] + wire _GEN_198 = _T_3965 ? buf_resp_state_bus_en_2 : _GEN_191; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3931 ? buf_cmd_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_221 = _T_3927 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_221; // @[Conditional.scala 40:58] + wire _T_3944 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3945 = _T_3944 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4070 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 495:37] + wire _T_4071 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4072 = buf_dual_2 & _T_4071; // @[lsu_bus_buffer.scala 495:80] + wire _T_4073 = _T_4070 | _T_4072; // @[lsu_bus_buffer.scala 495:65] + wire _T_4074 = _T_4073 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_186 = _T_4067 ? _T_4074 : _T_4075; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4049 ? _T_3945 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_199 = _T_3965 ? _T_3945 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_209 = _T_3931 ? _T_3945 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_219 = _T_3927 ? obuf_rdrsp_pend_en : _GEN_209; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3904 ? _T_3920 : _GEN_219; // @[Conditional.scala 40:58] + wire _T_2265 = _T_1807 & buf_state_en_2; // @[lsu_bus_buffer.scala 408:94] + wire _T_2275 = _T_2069 & _T_1810; // @[lsu_bus_buffer.scala 410:71] + wire _T_2277 = _T_2275 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2278 = _T_4455 | _T_2277; // @[lsu_bus_buffer.scala 409:86] + wire _T_2282 = _T_2076 & _T_1811; // @[lsu_bus_buffer.scala 411:52] + wire _T_2284 = _T_2282 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2285 = _T_2278 | _T_2284; // @[lsu_bus_buffer.scala 410:114] + wire _T_2286 = _T_2265 & _T_2285; // @[lsu_bus_buffer.scala 408:113] + wire _T_2288 = _T_2286 | buf_age_2[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2302 = _T_2275 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2303 = _T_4460 | _T_2302; // @[lsu_bus_buffer.scala 409:86] + wire _T_2309 = _T_2282 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2310 = _T_2303 | _T_2309; // @[lsu_bus_buffer.scala 410:114] + wire _T_2311 = _T_2265 & _T_2310; // @[lsu_bus_buffer.scala 408:113] + wire _T_2313 = _T_2311 | buf_age_2[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2327 = _T_2275 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2328 = _T_4465 | _T_2327; // @[lsu_bus_buffer.scala 409:86] + wire _T_2334 = _T_2282 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2335 = _T_2328 | _T_2334; // @[lsu_bus_buffer.scala 410:114] + wire _T_2336 = _T_2265 & _T_2335; // @[lsu_bus_buffer.scala 408:113] + wire _T_2338 = _T_2336 | buf_age_2[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2352 = _T_2275 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2353 = _T_4470 | _T_2352; // @[lsu_bus_buffer.scala 409:86] + wire _T_2359 = _T_2282 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2360 = _T_2353 | _T_2359; // @[lsu_bus_buffer.scala 410:114] + wire _T_2361 = _T_2265 & _T_2360; // @[lsu_bus_buffer.scala 408:113] + wire _T_2363 = _T_2361 | buf_age_2[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2365 = {_T_2363,_T_2338,_T_2313}; // @[Cat.scala 29:58] + wire _T_4101 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_4102 = _T_3530 & _T_4101; // @[lsu_bus_buffer.scala 446:112] + wire _T_4104 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_4105 = _T_3533 & _T_4104; // @[lsu_bus_buffer.scala 446:161] + wire _T_4106 = _T_4102 | _T_4105; // @[lsu_bus_buffer.scala 446:132] + wire _T_4107 = _T_853 & _T_4106; // @[lsu_bus_buffer.scala 446:63] + wire _T_4108 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_4109 = ibuf_drain_vld & _T_4108; // @[lsu_bus_buffer.scala 446:201] + wire _T_4110 = _T_4107 | _T_4109; // @[lsu_bus_buffer.scala 446:183] + wire _T_4198 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] + wire _T_4199 = bus_rsp_write & _T_4198; // @[lsu_bus_buffer.scala 473:52] + wire _T_4200 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_384 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4202 = io_lsu_axi_r_bits_id == _GEN_384; // @[lsu_bus_buffer.scala 475:47] + wire _T_4203 = buf_ldfwd[3] & _T_4202; // @[lsu_bus_buffer.scala 475:27] + wire _T_4204 = _T_4200 | _T_4203; // @[lsu_bus_buffer.scala 474:77] + wire _T_4205 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] + wire _T_4207 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4208 = _T_4205 & _T_4207; // @[lsu_bus_buffer.scala 476:42] + wire _T_4209 = _T_4208 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_385 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4210 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 476:94] + wire _T_4211 = _T_4209 & _T_4210; // @[lsu_bus_buffer.scala 476:74] + wire _T_4212 = _T_4204 | _T_4211; // @[lsu_bus_buffer.scala 475:71] + wire _T_4213 = bus_rsp_read & _T_4212; // @[lsu_bus_buffer.scala 474:25] + wire _T_4214 = _T_4199 | _T_4213; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_274 = _T_4155 & _T_4214; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4121 ? 1'h0 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_305 = _T_4117 ? 1'h0 : _GEN_293; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_305; // @[Conditional.scala 40:58] + wire [3:0] _T_4249 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_254 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_255 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_254; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_256 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_255; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_387 = {{1'd0}, _GEN_256}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4251 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 489:58] + wire _T_4252 = _T_4249[0] & _T_4251; // @[lsu_bus_buffer.scala 489:38] + wire _T_4253 = _T_4210 | _T_4252; // @[lsu_bus_buffer.scala 488:95] + wire _T_4254 = bus_rsp_read & _T_4253; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_268 = _T_4239 & _T_4254; // @[Conditional.scala 39:67] + wire _GEN_275 = _T_4155 ? buf_resp_state_bus_en_3 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_285 = _T_4121 ? buf_cmd_state_bus_en_3 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4117 ? 1'h0 : _GEN_285; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_298; // @[Conditional.scala 40:58] + wire _T_4134 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_4135 = _T_4134 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4260 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 495:37] + wire _T_4261 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4262 = buf_dual_3 & _T_4261; // @[lsu_bus_buffer.scala 495:80] + wire _T_4263 = _T_4260 | _T_4262; // @[lsu_bus_buffer.scala 495:65] + wire _T_4264 = _T_4263 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_263 = _T_4257 ? _T_4264 : _T_4265; // @[Conditional.scala 39:67] + wire _GEN_269 = _T_4239 ? _T_4135 : _GEN_263; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4155 ? _T_4135 : _GEN_269; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4121 ? _T_4135 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4117 ? obuf_rdrsp_pend_en : _GEN_286; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4094 ? _T_4110 : _GEN_296; // @[Conditional.scala 40:58] + wire _T_2367 = _T_1818 & buf_state_en_3; // @[lsu_bus_buffer.scala 408:94] + wire _T_2377 = _T_2069 & _T_1821; // @[lsu_bus_buffer.scala 410:71] + wire _T_2379 = _T_2377 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2380 = _T_4455 | _T_2379; // @[lsu_bus_buffer.scala 409:86] + wire _T_2384 = _T_2076 & _T_1822; // @[lsu_bus_buffer.scala 411:52] + wire _T_2386 = _T_2384 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2387 = _T_2380 | _T_2386; // @[lsu_bus_buffer.scala 410:114] + wire _T_2388 = _T_2367 & _T_2387; // @[lsu_bus_buffer.scala 408:113] + wire _T_2390 = _T_2388 | buf_age_3[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2404 = _T_2377 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2405 = _T_4460 | _T_2404; // @[lsu_bus_buffer.scala 409:86] + wire _T_2411 = _T_2384 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2412 = _T_2405 | _T_2411; // @[lsu_bus_buffer.scala 410:114] + wire _T_2413 = _T_2367 & _T_2412; // @[lsu_bus_buffer.scala 408:113] + wire _T_2415 = _T_2413 | buf_age_3[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2429 = _T_2377 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2430 = _T_4465 | _T_2429; // @[lsu_bus_buffer.scala 409:86] + wire _T_2436 = _T_2384 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2437 = _T_2430 | _T_2436; // @[lsu_bus_buffer.scala 410:114] + wire _T_2438 = _T_2367 & _T_2437; // @[lsu_bus_buffer.scala 408:113] + wire _T_2440 = _T_2438 | buf_age_3[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2454 = _T_2377 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2455 = _T_4470 | _T_2454; // @[lsu_bus_buffer.scala 409:86] + wire _T_2461 = _T_2384 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2462 = _T_2455 | _T_2461; // @[lsu_bus_buffer.scala 410:114] + wire _T_2463 = _T_2367 & _T_2462; // @[lsu_bus_buffer.scala 408:113] + wire _T_2465 = _T_2463 | buf_age_3[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2467 = {_T_2465,_T_2440,_T_2415}; // @[Cat.scala 29:58] + wire _T_2763 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2764 = _T_1785 | _T_2763; // @[lsu_bus_buffer.scala 419:32] + wire _T_2765 = ~_T_2764; // @[lsu_bus_buffer.scala 419:6] + wire _T_2773 = _T_2765 | _T_2073; // @[lsu_bus_buffer.scala 419:59] + wire _T_2780 = _T_2773 | _T_2080; // @[lsu_bus_buffer.scala 420:110] + wire _T_2781 = _T_2061 & _T_2780; // @[lsu_bus_buffer.scala 418:112] + wire _T_2785 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2786 = _T_1796 | _T_2785; // @[lsu_bus_buffer.scala 419:32] + wire _T_2787 = ~_T_2786; // @[lsu_bus_buffer.scala 419:6] + wire _T_2795 = _T_2787 | _T_2098; // @[lsu_bus_buffer.scala 419:59] + wire _T_2802 = _T_2795 | _T_2105; // @[lsu_bus_buffer.scala 420:110] + wire _T_2803 = _T_2061 & _T_2802; // @[lsu_bus_buffer.scala 418:112] + wire _T_2807 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2808 = _T_1807 | _T_2807; // @[lsu_bus_buffer.scala 419:32] + wire _T_2809 = ~_T_2808; // @[lsu_bus_buffer.scala 419:6] + wire _T_2817 = _T_2809 | _T_2123; // @[lsu_bus_buffer.scala 419:59] + wire _T_2824 = _T_2817 | _T_2130; // @[lsu_bus_buffer.scala 420:110] + wire _T_2825 = _T_2061 & _T_2824; // @[lsu_bus_buffer.scala 418:112] + wire _T_2829 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2830 = _T_1818 | _T_2829; // @[lsu_bus_buffer.scala 419:32] + wire _T_2831 = ~_T_2830; // @[lsu_bus_buffer.scala 419:6] + wire _T_2839 = _T_2831 | _T_2148; // @[lsu_bus_buffer.scala 419:59] + wire _T_2846 = _T_2839 | _T_2155; // @[lsu_bus_buffer.scala 420:110] + wire _T_2847 = _T_2061 & _T_2846; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_0 = {_T_2847,_T_2825,_T_2803,_T_2781}; // @[Cat.scala 29:58] + wire _T_2864 = _T_2765 | _T_2175; // @[lsu_bus_buffer.scala 419:59] + wire _T_2871 = _T_2864 | _T_2182; // @[lsu_bus_buffer.scala 420:110] + wire _T_2872 = _T_2163 & _T_2871; // @[lsu_bus_buffer.scala 418:112] + wire _T_2886 = _T_2787 | _T_2200; // @[lsu_bus_buffer.scala 419:59] + wire _T_2893 = _T_2886 | _T_2207; // @[lsu_bus_buffer.scala 420:110] + wire _T_2894 = _T_2163 & _T_2893; // @[lsu_bus_buffer.scala 418:112] + wire _T_2908 = _T_2809 | _T_2225; // @[lsu_bus_buffer.scala 419:59] + wire _T_2915 = _T_2908 | _T_2232; // @[lsu_bus_buffer.scala 420:110] + wire _T_2916 = _T_2163 & _T_2915; // @[lsu_bus_buffer.scala 418:112] + wire _T_2930 = _T_2831 | _T_2250; // @[lsu_bus_buffer.scala 419:59] + wire _T_2937 = _T_2930 | _T_2257; // @[lsu_bus_buffer.scala 420:110] + wire _T_2938 = _T_2163 & _T_2937; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_1 = {_T_2938,_T_2916,_T_2894,_T_2872}; // @[Cat.scala 29:58] + wire _T_2955 = _T_2765 | _T_2277; // @[lsu_bus_buffer.scala 419:59] + wire _T_2962 = _T_2955 | _T_2284; // @[lsu_bus_buffer.scala 420:110] + wire _T_2963 = _T_2265 & _T_2962; // @[lsu_bus_buffer.scala 418:112] + wire _T_2977 = _T_2787 | _T_2302; // @[lsu_bus_buffer.scala 419:59] + wire _T_2984 = _T_2977 | _T_2309; // @[lsu_bus_buffer.scala 420:110] + wire _T_2985 = _T_2265 & _T_2984; // @[lsu_bus_buffer.scala 418:112] + wire _T_2999 = _T_2809 | _T_2327; // @[lsu_bus_buffer.scala 419:59] + wire _T_3006 = _T_2999 | _T_2334; // @[lsu_bus_buffer.scala 420:110] + wire _T_3007 = _T_2265 & _T_3006; // @[lsu_bus_buffer.scala 418:112] + wire _T_3021 = _T_2831 | _T_2352; // @[lsu_bus_buffer.scala 419:59] + wire _T_3028 = _T_3021 | _T_2359; // @[lsu_bus_buffer.scala 420:110] + wire _T_3029 = _T_2265 & _T_3028; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_2 = {_T_3029,_T_3007,_T_2985,_T_2963}; // @[Cat.scala 29:58] + wire _T_3046 = _T_2765 | _T_2379; // @[lsu_bus_buffer.scala 419:59] + wire _T_3053 = _T_3046 | _T_2386; // @[lsu_bus_buffer.scala 420:110] + wire _T_3054 = _T_2367 & _T_3053; // @[lsu_bus_buffer.scala 418:112] + wire _T_3068 = _T_2787 | _T_2404; // @[lsu_bus_buffer.scala 419:59] + wire _T_3075 = _T_3068 | _T_2411; // @[lsu_bus_buffer.scala 420:110] + wire _T_3076 = _T_2367 & _T_3075; // @[lsu_bus_buffer.scala 418:112] + wire _T_3090 = _T_2809 | _T_2429; // @[lsu_bus_buffer.scala 419:59] + wire _T_3097 = _T_3090 | _T_2436; // @[lsu_bus_buffer.scala 420:110] + wire _T_3098 = _T_2367 & _T_3097; // @[lsu_bus_buffer.scala 418:112] + wire _T_3112 = _T_2831 | _T_2454; // @[lsu_bus_buffer.scala 419:59] + wire _T_3119 = _T_3112 | _T_2461; // @[lsu_bus_buffer.scala 420:110] + wire _T_3120 = _T_2367 & _T_3119; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_3 = {_T_3120,_T_3098,_T_3076,_T_3054}; // @[Cat.scala 29:58] + wire _T_3211 = _T_2829 | _T_1818; // @[lsu_bus_buffer.scala 423:110] + wire _T_3212 = ~_T_3211; // @[lsu_bus_buffer.scala 423:84] + wire _T_3213 = buf_rspageQ_0[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3215 = _T_3213 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3203 = _T_2807 | _T_1807; // @[lsu_bus_buffer.scala 423:110] + wire _T_3204 = ~_T_3203; // @[lsu_bus_buffer.scala 423:84] + wire _T_3205 = buf_rspageQ_0[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3207 = _T_3205 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3195 = _T_2785 | _T_1796; // @[lsu_bus_buffer.scala 423:110] + wire _T_3196 = ~_T_3195; // @[lsu_bus_buffer.scala 423:84] + wire _T_3197 = buf_rspageQ_0[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3199 = _T_3197 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3187 = _T_2763 | _T_1785; // @[lsu_bus_buffer.scala 423:110] + wire _T_3188 = ~_T_3187; // @[lsu_bus_buffer.scala 423:84] + wire _T_3189 = buf_rspageQ_0[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3191 = _T_3189 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_0 = {_T_3215,_T_3207,_T_3199,_T_3191}; // @[Cat.scala 29:58] + wire _T_3126 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3129 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3132 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3135 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3137 = {_T_3135,_T_3132,_T_3129}; // @[Cat.scala 29:58] + wire _T_3248 = buf_rspageQ_1[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3250 = _T_3248 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3240 = buf_rspageQ_1[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3242 = _T_3240 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3232 = buf_rspageQ_1[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3234 = _T_3232 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3224 = buf_rspageQ_1[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3226 = _T_3224 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_1 = {_T_3250,_T_3242,_T_3234,_T_3226}; // @[Cat.scala 29:58] + wire _T_3141 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3144 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3147 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3150 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3152 = {_T_3150,_T_3147,_T_3144}; // @[Cat.scala 29:58] + wire _T_3283 = buf_rspageQ_2[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3285 = _T_3283 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3275 = buf_rspageQ_2[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3277 = _T_3275 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3267 = buf_rspageQ_2[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3269 = _T_3267 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3259 = buf_rspageQ_2[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3261 = _T_3259 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_2 = {_T_3285,_T_3277,_T_3269,_T_3261}; // @[Cat.scala 29:58] + wire _T_3156 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3159 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3162 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3165 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3167 = {_T_3165,_T_3162,_T_3159}; // @[Cat.scala 29:58] + wire _T_3318 = buf_rspageQ_3[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3320 = _T_3318 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3310 = buf_rspageQ_3[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3312 = _T_3310 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3302 = buf_rspageQ_3[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3304 = _T_3302 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3294 = buf_rspageQ_3[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3296 = _T_3294 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_3 = {_T_3320,_T_3312,_T_3304,_T_3296}; // @[Cat.scala 29:58] + wire _T_3171 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3174 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3177 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3180 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3182 = {_T_3180,_T_3177,_T_3174}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_drain_vld & _T_1786; // @[lsu_bus_buffer.scala 428:63] + wire _T_3327 = ibuf_drain_vld & _T_1797; // @[lsu_bus_buffer.scala 428:63] + wire _T_3329 = ibuf_drain_vld & _T_1808; // @[lsu_bus_buffer.scala 428:63] + wire _T_3331 = ibuf_drain_vld & _T_1819; // @[lsu_bus_buffer.scala 428:63] + wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] + wire _T_3339 = _T_3533 & _T_1789; // @[lsu_bus_buffer.scala 430:35] + wire _T_3348 = _T_3533 & _T_1800; // @[lsu_bus_buffer.scala 430:35] + wire _T_3357 = _T_3533 & _T_1811; // @[lsu_bus_buffer.scala 430:35] + wire _T_3366 = _T_3533 & _T_1822; // @[lsu_bus_buffer.scala 430:35] + wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] + wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] + wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 434:84] + wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] + wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[lsu_bus_buffer.scala 435:47] + wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[lsu_bus_buffer.scala 435:47] + wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[lsu_bus_buffer.scala 435:47] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[lsu_bus_buffer.scala 435:47] + wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] + wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] + wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] + wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] + wire _T_3553 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 458:89] + wire _T_3555 = _T_3553 & _T_1349; // @[lsu_bus_buffer.scala 458:104] + wire _T_3568 = buf_state_en_0 & _T_3637; // @[lsu_bus_buffer.scala 463:44] + wire _T_3569 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3571 = _T_3569 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3574 = _T_3564 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3575 = _T_3574 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4830 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 572:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4830; // @[lsu_bus_buffer.scala 572:38] + wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3651 = bus_rsp_read_error & _T_3630; // @[lsu_bus_buffer.scala 480:91] + wire _T_3653 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3655 = _T_3653 & _T_3632; // @[lsu_bus_buffer.scala 481:46] + wire _T_3656 = _T_3651 | _T_3655; // @[lsu_bus_buffer.scala 480:143] + wire _T_4828 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 571:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4828; // @[lsu_bus_buffer.scala 571:40] + wire _T_3658 = bus_rsp_write_error & _T_3628; // @[lsu_bus_buffer.scala 482:33] + wire _T_3659 = _T_3656 | _T_3658; // @[lsu_bus_buffer.scala 481:88] + wire _T_3660 = _T_3564 & _T_3659; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_47 = _T_3585 & _T_3660; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_3551 ? _T_3578 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_3547 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_72; // @[Conditional.scala 40:58] + wire _T_3587 = buf_write[0] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3588 = io_dec_tlu_force_halt | _T_3587; // @[lsu_bus_buffer.scala 470:55] + wire _T_3590 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] + wire _T_3591 = buf_dual_0 & _T_3590; // @[lsu_bus_buffer.scala 471:28] + wire _T_3594 = _T_3591 & _T_3637; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 471:90] + wire _T_3595 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3596 = _T_3594 & _T_3595; // @[lsu_bus_buffer.scala 471:61] + wire _T_4478 = _T_2710 | _T_2707; // @[lsu_bus_buffer.scala 532:93] + wire _T_4479 = _T_4478 | _T_2704; // @[lsu_bus_buffer.scala 532:93] + wire any_done_wait_state = _T_4479 | _T_2701; // @[lsu_bus_buffer.scala 532:93] + wire _T_3598 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3604 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3606 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3608 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3610 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3612 = _T_3604 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3613 = _T_3606 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3614 = _T_3608 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3615 = _T_3610 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3616 = _T_3612 | _T_3613; // @[Mux.scala 27:72] + wire _T_3617 = _T_3616 | _T_3614; // @[Mux.scala 27:72] + wire _T_3618 = _T_3617 | _T_3615; // @[Mux.scala 27:72] + wire _T_3620 = _T_3594 & _T_3618; // @[lsu_bus_buffer.scala 472:101] + wire _T_3621 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3622 = _T_3620 & _T_3621; // @[lsu_bus_buffer.scala 472:138] + wire _T_3623 = _T_3622 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3624 = _T_3598 | _T_3623; // @[lsu_bus_buffer.scala 472:53] + wire _T_3647 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3648 = _T_3647 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3661 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] + wire _T_3662 = buf_state_en_0 & _T_3661; // @[lsu_bus_buffer.scala 483:48] + wire _T_3674 = buf_ldfwd[0] | _T_3679[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3675 = _T_3674 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_27 = _T_3695 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_30 = _T_3695 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3687 ? io_dec_tlu_force_halt : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_3687 ? io_dec_tlu_force_halt : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3669 ? io_dec_tlu_force_halt : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_3669 ? io_dec_tlu_force_halt : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_3585 & _T_3648; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3585 ? io_dec_tlu_force_halt : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_3585 ? io_dec_tlu_force_halt : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_3551 ? _T_3571 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3551 ? _T_3575 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_3551 ? io_dec_tlu_force_halt : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_3547 ? io_dec_tlu_force_halt : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_3547 ? io_dec_tlu_force_halt : _GEN_63; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_69; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_75; // @[Conditional.scala 40:58] + wire _T_3758 = buf_state_en_1 & _T_3827; // @[lsu_bus_buffer.scala 463:44] + wire _T_3759 = _T_3758 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3761 = _T_3759 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3764 = _T_3754 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3765 = _T_3764 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3768 = _T_3764 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3841 = bus_rsp_read_error & _T_3820; // @[lsu_bus_buffer.scala 480:91] + wire _T_3843 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3845 = _T_3843 & _T_3822; // @[lsu_bus_buffer.scala 481:46] + wire _T_3846 = _T_3841 | _T_3845; // @[lsu_bus_buffer.scala 480:143] + wire _T_3848 = bus_rsp_write_error & _T_3818; // @[lsu_bus_buffer.scala 482:33] + wire _T_3849 = _T_3846 | _T_3848; // @[lsu_bus_buffer.scala 481:88] + wire _T_3850 = _T_3754 & _T_3849; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_124 = _T_3775 & _T_3850; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3741 ? _T_3768 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3737 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3714 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3777 = buf_write[1] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3778 = io_dec_tlu_force_halt | _T_3777; // @[lsu_bus_buffer.scala 470:55] + wire _T_3780 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] + wire _T_3781 = buf_dual_1 & _T_3780; // @[lsu_bus_buffer.scala 471:28] + wire _T_3784 = _T_3781 & _T_3827; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_96 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_97 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_96; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_98 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_97; // @[lsu_bus_buffer.scala 471:90] + wire _T_3785 = _GEN_98 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3786 = _T_3784 & _T_3785; // @[lsu_bus_buffer.scala 471:61] + wire _T_3788 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3794 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3796 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3798 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3800 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3802 = _T_3794 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3803 = _T_3796 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3804 = _T_3798 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3805 = _T_3800 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3806 = _T_3802 | _T_3803; // @[Mux.scala 27:72] + wire _T_3807 = _T_3806 | _T_3804; // @[Mux.scala 27:72] + wire _T_3808 = _T_3807 | _T_3805; // @[Mux.scala 27:72] + wire _T_3810 = _T_3784 & _T_3808; // @[lsu_bus_buffer.scala 472:101] + wire _T_3811 = _GEN_98 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3812 = _T_3810 & _T_3811; // @[lsu_bus_buffer.scala 472:138] + wire _T_3813 = _T_3812 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3814 = _T_3788 | _T_3813; // @[lsu_bus_buffer.scala 472:53] + wire _T_3837 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3838 = _T_3837 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3851 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] + wire _T_3852 = buf_state_en_1 & _T_3851; // @[lsu_bus_buffer.scala 483:48] + wire _T_3864 = buf_ldfwd[1] | _T_3869[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3865 = _T_3864 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_104 = _T_3885 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_107 = _T_3885 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_111 = _T_3877 ? io_dec_tlu_force_halt : _GEN_104; // @[Conditional.scala 39:67] + wire _GEN_112 = _T_3877 ? io_dec_tlu_force_halt : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_117 = _T_3859 ? io_dec_tlu_force_halt : _GEN_111; // @[Conditional.scala 39:67] + wire _GEN_118 = _T_3859 ? io_dec_tlu_force_halt : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_123 = _T_3775 & _T_3838; // @[Conditional.scala 39:67] + wire _GEN_127 = _T_3775 ? io_dec_tlu_force_halt : _GEN_117; // @[Conditional.scala 39:67] + wire _GEN_128 = _T_3775 ? io_dec_tlu_force_halt : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3741 ? _T_3761 : _GEN_128; // @[Conditional.scala 39:67] + wire _GEN_136 = _T_3741 ? _T_3765 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3741 ? io_dec_tlu_force_halt : _GEN_127; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3737 ? io_dec_tlu_force_halt : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_148 = _T_3737 ? 1'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_152 = _T_3737 ? io_dec_tlu_force_halt : _GEN_140; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3714 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_146; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] + wire _T_3948 = buf_state_en_2 & _T_4017; // @[lsu_bus_buffer.scala 463:44] + wire _T_3949 = _T_3948 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3951 = _T_3949 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3954 = _T_3944 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3955 = _T_3954 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3958 = _T_3954 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4031 = bus_rsp_read_error & _T_4010; // @[lsu_bus_buffer.scala 480:91] + wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4035 = _T_4033 & _T_4012; // @[lsu_bus_buffer.scala 481:46] + wire _T_4036 = _T_4031 | _T_4035; // @[lsu_bus_buffer.scala 480:143] + wire _T_4038 = bus_rsp_write_error & _T_4008; // @[lsu_bus_buffer.scala 482:33] + wire _T_4039 = _T_4036 | _T_4038; // @[lsu_bus_buffer.scala 481:88] + wire _T_4040 = _T_3944 & _T_4039; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_201 = _T_3965 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3931 ? _T_3958 : _GEN_201; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3927 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3904 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_3967 = buf_write[2] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[lsu_bus_buffer.scala 470:55] + wire _T_3970 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] + wire _T_3971 = buf_dual_2 & _T_3970; // @[lsu_bus_buffer.scala 471:28] + wire _T_3974 = _T_3971 & _T_4017; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_173 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_174 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_173; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_175 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_174; // @[lsu_bus_buffer.scala 471:90] + wire _T_3975 = _GEN_175 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3976 = _T_3974 & _T_3975; // @[lsu_bus_buffer.scala 471:61] + wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3984 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3986 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3988 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3990 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] + wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] + wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] + wire _T_4000 = _T_3974 & _T_3998; // @[lsu_bus_buffer.scala 472:101] + wire _T_4001 = _GEN_175 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4002 = _T_4000 & _T_4001; // @[lsu_bus_buffer.scala 472:138] + wire _T_4003 = _T_4002 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4004 = _T_3978 | _T_4003; // @[lsu_bus_buffer.scala 472:53] + wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4041 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] + wire _T_4042 = buf_state_en_2 & _T_4041; // @[lsu_bus_buffer.scala 483:48] + wire _T_4054 = buf_ldfwd[2] | _T_4059[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4055 = _T_4054 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_181 = _T_4075 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4075 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_188 = _T_4067 ? io_dec_tlu_force_halt : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4067 ? io_dec_tlu_force_halt : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_194 = _T_4049 ? io_dec_tlu_force_halt : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4049 ? io_dec_tlu_force_halt : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3965 & _T_4028; // @[Conditional.scala 39:67] + wire _GEN_204 = _T_3965 ? io_dec_tlu_force_halt : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3965 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3931 ? _T_3951 : _GEN_205; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3931 ? _T_3955 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3931 ? io_dec_tlu_force_halt : _GEN_204; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3927 ? io_dec_tlu_force_halt : _GEN_211; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3927 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire _GEN_229 = _T_3927 ? io_dec_tlu_force_halt : _GEN_217; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3904 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_223; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_229; // @[Conditional.scala 40:58] + wire _T_4138 = buf_state_en_3 & _T_4207; // @[lsu_bus_buffer.scala 463:44] + wire _T_4139 = _T_4138 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_4141 = _T_4139 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_4144 = _T_4134 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_4145 = _T_4144 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4148 = _T_4144 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4221 = bus_rsp_read_error & _T_4200; // @[lsu_bus_buffer.scala 480:91] + wire _T_4223 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4225 = _T_4223 & _T_4202; // @[lsu_bus_buffer.scala 481:46] + wire _T_4226 = _T_4221 | _T_4225; // @[lsu_bus_buffer.scala 480:143] + wire _T_4228 = bus_rsp_write_error & _T_4198; // @[lsu_bus_buffer.scala 482:33] + wire _T_4229 = _T_4226 | _T_4228; // @[lsu_bus_buffer.scala 481:88] + wire _T_4230 = _T_4134 & _T_4229; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_278 = _T_4155 & _T_4230; // @[Conditional.scala 39:67] + wire _GEN_291 = _T_4121 ? _T_4148 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_303 = _T_4117 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4094 ? 1'h0 : _GEN_303; // @[Conditional.scala 40:58] + wire _T_4157 = buf_write[3] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_4158 = io_dec_tlu_force_halt | _T_4157; // @[lsu_bus_buffer.scala 470:55] + wire _T_4160 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] + wire _T_4161 = buf_dual_3 & _T_4160; // @[lsu_bus_buffer.scala 471:28] + wire _T_4164 = _T_4161 & _T_4207; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_250 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_251 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_250; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_252 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_251; // @[lsu_bus_buffer.scala 471:90] + wire _T_4165 = _GEN_252 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_4166 = _T_4164 & _T_4165; // @[lsu_bus_buffer.scala 471:61] + wire _T_4168 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_4174 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_4176 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_4178 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_4180 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_4182 = _T_4174 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4183 = _T_4176 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4184 = _T_4178 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4185 = _T_4180 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4186 = _T_4182 | _T_4183; // @[Mux.scala 27:72] + wire _T_4187 = _T_4186 | _T_4184; // @[Mux.scala 27:72] + wire _T_4188 = _T_4187 | _T_4185; // @[Mux.scala 27:72] + wire _T_4190 = _T_4164 & _T_4188; // @[lsu_bus_buffer.scala 472:101] + wire _T_4191 = _GEN_252 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4192 = _T_4190 & _T_4191; // @[lsu_bus_buffer.scala 472:138] + wire _T_4193 = _T_4192 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4194 = _T_4168 | _T_4193; // @[lsu_bus_buffer.scala 472:53] + wire _T_4217 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4218 = _T_4217 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4231 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] + wire _T_4232 = buf_state_en_3 & _T_4231; // @[lsu_bus_buffer.scala 483:48] + wire _T_4244 = buf_ldfwd[3] | _T_4249[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4245 = _T_4244 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_258 = _T_4265 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_261 = _T_4265 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4257 ? io_dec_tlu_force_halt : _GEN_258; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4257 ? io_dec_tlu_force_halt : _GEN_261; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4239 ? io_dec_tlu_force_halt : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4239 ? io_dec_tlu_force_halt : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_277 = _T_4155 & _T_4218; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4155 ? io_dec_tlu_force_halt : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4155 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_288 = _T_4121 ? _T_4141 : _GEN_282; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4121 ? _T_4145 : _GEN_277; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4121 ? io_dec_tlu_force_halt : _GEN_281; // @[Conditional.scala 39:67] + wire _GEN_300 = _T_4117 ? io_dec_tlu_force_halt : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4117 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire _GEN_306 = _T_4117 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4094 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_300; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_306; // @[Conditional.scala 40:58] + reg _T_4320; // @[Reg.scala 27:20] + reg _T_4323; // @[Reg.scala 27:20] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4329; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4329,_T_4326,_T_4323,_T_4320}; // @[Cat.scala 29:58] + reg _T_4395; // @[lsu_bus_buffer.scala 526:80] + reg _T_4390; // @[lsu_bus_buffer.scala 526:80] + reg _T_4385; // @[lsu_bus_buffer.scala 526:80] + reg _T_4380; // @[lsu_bus_buffer.scala 526:80] + wire [3:0] buf_error = {_T_4395,_T_4390,_T_4385,_T_4380}; // @[Cat.scala 29:58] + wire _T_4377 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4378 = ~buf_rst_0; // @[lsu_bus_buffer.scala 526:126] + wire _T_4382 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4383 = ~buf_rst_1; // @[lsu_bus_buffer.scala 526:126] + wire _T_4387 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4388 = ~buf_rst_2; // @[lsu_bus_buffer.scala 526:126] + wire _T_4392 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4393 = ~buf_rst_3; // @[lsu_bus_buffer.scala 526:126] + wire [1:0] _T_4399 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4400 = io_ldst_dual_m ? _T_4399 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 528:28] + wire [1:0] _T_4401 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4402 = io_ldst_dual_r ? _T_4401 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 528:94] + wire [2:0] _T_4403 = _T_4400 + _T_4402; // @[lsu_bus_buffer.scala 528:88] + wire [2:0] _GEN_392 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 528:154] + wire [3:0] _T_4404 = _T_4403 + _GEN_392; // @[lsu_bus_buffer.scala 528:154] + wire [1:0] _T_4409 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 528:217] + wire [1:0] _GEN_393 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _T_4410 = _T_4409 + _GEN_393; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _GEN_394 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] _T_4411 = _T_4410 + _GEN_394; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] buf_numvld_any = _T_4404 + _T_4411; // @[lsu_bus_buffer.scala 528:169] + wire _T_4482 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 534:52] + wire _T_4483 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 534:92] + wire _T_4484 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 534:121] + wire _T_4486 = |buf_state_0; // @[lsu_bus_buffer.scala 535:52] + wire _T_4487 = |buf_state_1; // @[lsu_bus_buffer.scala 535:52] + wire _T_4488 = |buf_state_2; // @[lsu_bus_buffer.scala 535:52] + wire _T_4489 = |buf_state_3; // @[lsu_bus_buffer.scala 535:52] + wire _T_4490 = _T_4486 | _T_4487; // @[lsu_bus_buffer.scala 535:65] + wire _T_4491 = _T_4490 | _T_4488; // @[lsu_bus_buffer.scala 535:65] + wire _T_4492 = _T_4491 | _T_4489; // @[lsu_bus_buffer.scala 535:65] + wire _T_4493 = ~_T_4492; // @[lsu_bus_buffer.scala 535:34] + wire _T_4495 = _T_4493 & _T_852; // @[lsu_bus_buffer.scala 535:70] + wire _T_4498 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 537:64] + wire _T_4499 = _T_4498 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 537:85] + wire _T_4500 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 537:112] + wire _T_4501 = _T_4499 & _T_4500; // @[lsu_bus_buffer.scala 537:110] + wire _T_4502 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 537:129] + wire _T_4504 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 540:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 625:66] + wire _T_4518 = _T_2763 & _T_3637; // @[Mux.scala 27:72] + wire _T_4519 = _T_2785 & _T_3827; // @[Mux.scala 27:72] + wire _T_4520 = _T_2807 & _T_4017; // @[Mux.scala 27:72] + wire _T_4521 = _T_2829 & _T_4207; // @[Mux.scala 27:72] + wire _T_4522 = _T_4518 | _T_4519; // @[Mux.scala 27:72] + wire _T_4523 = _T_4522 | _T_4520; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4523 | _T_4521; // @[Mux.scala 27:72] + wire _T_4529 = buf_error[0] & _T_3637; // @[lsu_bus_buffer.scala 543:121] + wire _T_4534 = buf_error[1] & _T_3827; // @[lsu_bus_buffer.scala 543:121] + wire _T_4539 = buf_error[2] & _T_4017; // @[lsu_bus_buffer.scala 543:121] + wire _T_4544 = buf_error[3] & _T_4207; // @[lsu_bus_buffer.scala 543:121] + wire _T_4545 = _T_2763 & _T_4529; // @[Mux.scala 27:72] + wire _T_4546 = _T_2785 & _T_4534; // @[Mux.scala 27:72] + wire _T_4547 = _T_2807 & _T_4539; // @[Mux.scala 27:72] + wire _T_4548 = _T_2829 & _T_4544; // @[Mux.scala 27:72] + wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] + wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] + wire _T_4557 = ~buf_dual_0; // @[lsu_bus_buffer.scala 544:122] + wire _T_4558 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 544:137] + wire _T_4559 = _T_4557 | _T_4558; // @[lsu_bus_buffer.scala 544:135] + wire _T_4560 = _T_4518 & _T_4559; // @[lsu_bus_buffer.scala 544:119] + wire _T_4565 = ~buf_dual_1; // @[lsu_bus_buffer.scala 544:122] + wire _T_4566 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 544:137] + wire _T_4567 = _T_4565 | _T_4566; // @[lsu_bus_buffer.scala 544:135] + wire _T_4568 = _T_4519 & _T_4567; // @[lsu_bus_buffer.scala 544:119] + wire _T_4573 = ~buf_dual_2; // @[lsu_bus_buffer.scala 544:122] + wire _T_4574 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 544:137] + wire _T_4575 = _T_4573 | _T_4574; // @[lsu_bus_buffer.scala 544:135] + wire _T_4576 = _T_4520 & _T_4575; // @[lsu_bus_buffer.scala 544:119] + wire _T_4581 = ~buf_dual_3; // @[lsu_bus_buffer.scala 544:122] + wire _T_4582 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 544:137] + wire _T_4583 = _T_4581 | _T_4582; // @[lsu_bus_buffer.scala 544:135] + wire _T_4584 = _T_4521 & _T_4583; // @[lsu_bus_buffer.scala 544:119] + wire [1:0] _T_4587 = _T_4576 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4588 = _T_4584 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_395 = {{1'd0}, _T_4568}; // @[Mux.scala 27:72] + wire [1:0] _T_4590 = _GEN_395 | _T_4587; // @[Mux.scala 27:72] + wire [31:0] _T_4625 = _T_4560 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4626 = _T_4568 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4627 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4628 = _T_4584 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4629 = _T_4625 | _T_4626; // @[Mux.scala 27:72] + wire [31:0] _T_4630 = _T_4629 | _T_4627; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4630 | _T_4628; // @[Mux.scala 27:72] + wire _T_4637 = _T_4518 & _T_3635; // @[lsu_bus_buffer.scala 546:105] + wire _T_4643 = _T_4519 & _T_3825; // @[lsu_bus_buffer.scala 546:105] + wire _T_4649 = _T_4520 & _T_4015; // @[lsu_bus_buffer.scala 546:105] + wire _T_4655 = _T_4521 & _T_4205; // @[lsu_bus_buffer.scala 546:105] + wire [31:0] _T_4656 = _T_4637 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4657 = _T_4643 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4658 = _T_4649 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4659 = _T_4655 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4660 = _T_4656 | _T_4657; // @[Mux.scala 27:72] + wire [31:0] _T_4661 = _T_4660 | _T_4658; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4661 | _T_4659; // @[Mux.scala 27:72] + wire _T_4663 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4664 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4665 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4666 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4667 = _T_4663 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4664 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4665 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4673[1:0]; // @[lsu_bus_buffer.scala 547:96] + wire [1:0] _T_4679 = _T_4663 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4680 = _T_4664 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4681 = _T_4665 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4682 = _T_4666 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4683 = _T_4679 | _T_4680; // @[Mux.scala 27:72] + wire [1:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4684 | _T_4682; // @[Mux.scala 27:72] + wire _T_4694 = _T_4663 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4695 = _T_4664 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4696 = _T_4665 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4697 = _T_4666 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] + wire _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4699 | _T_4697; // @[Mux.scala 27:72] + wire [63:0] _T_4701 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_396 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 551:121] + wire [5:0] _T_4702 = _GEN_396 * 4'h8; // @[lsu_bus_buffer.scala 551:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4701 >> _T_4702; // @[lsu_bus_buffer.scala 551:92] + wire _T_4703 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 553:82] + wire _T_4705 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 554:94] + wire _T_4706 = lsu_nonblock_unsign & _T_4705; // @[lsu_bus_buffer.scala 554:76] + wire [31:0] _T_4708 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4709 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 555:45] + wire _T_4710 = lsu_nonblock_unsign & _T_4709; // @[lsu_bus_buffer.scala 555:26] + wire [31:0] _T_4712 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4713 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 556:6] + wire _T_4715 = _T_4713 & _T_4705; // @[lsu_bus_buffer.scala 556:27] + wire [23:0] _T_4718 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4720 = {_T_4718,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4723 = _T_4713 & _T_4709; // @[lsu_bus_buffer.scala 557:27] + wire [15:0] _T_4726 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4728 = {_T_4726,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4729 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 558:21] + wire [31:0] _T_4730 = _T_4706 ? _T_4708 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4731 = _T_4710 ? _T_4712 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4732 = _T_4715 ? _T_4720 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4733 = _T_4723 ? _T_4728 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4734 = _T_4729 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4735 = _T_4730 | _T_4731; // @[Mux.scala 27:72] + wire [31:0] _T_4736 = _T_4735 | _T_4732; // @[Mux.scala 27:72] + wire [31:0] _T_4737 = _T_4736 | _T_4733; // @[Mux.scala 27:72] + wire [63:0] _GEN_397 = {{32'd0}, _T_4737}; // @[Mux.scala 27:72] + wire [63:0] _T_4738 = _GEN_397 | _T_4734; // @[Mux.scala 27:72] + wire _T_4832 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 576:37] + wire _T_4833 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 576:52] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 576:50] + wire [31:0] _T_4838 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4840 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4845 = ~obuf_data_done; // @[lsu_bus_buffer.scala 588:51] + wire _T_4846 = _T_4832 & _T_4845; // @[lsu_bus_buffer.scala 588:49] + wire [7:0] _T_4850 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4853 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 593:37] + wire _T_4855 = _T_4853 & _T_1347; // @[lsu_bus_buffer.scala 593:51] + wire _T_4867 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4869 = _T_4867 & buf_write[0]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4872 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4874 = _T_4872 & buf_write[1]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4877 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4879 = _T_4877 & buf_write[2]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4884 = _T_4882 & buf_write[3]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4885 = _T_2763 & _T_4869; // @[Mux.scala 27:72] + wire _T_4886 = _T_2785 & _T_4874; // @[Mux.scala 27:72] + wire _T_4887 = _T_2807 & _T_4879; // @[Mux.scala 27:72] + wire _T_4888 = _T_2829 & _T_4884; // @[Mux.scala 27:72] + wire _T_4889 = _T_4885 | _T_4886; // @[Mux.scala 27:72] + wire _T_4890 = _T_4889 | _T_4887; // @[Mux.scala 27:72] + wire _T_4900 = _T_2785 & buf_error[1]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4902 = _T_4900 & buf_write[1]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4905 = _T_2807 & buf_error[2]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4907 = _T_4905 & buf_write[2]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4910 = _T_2829 & buf_error[3]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4912 = _T_4910 & buf_write[3]; // @[lsu_bus_buffer.scala 607:108] + wire [1:0] _T_4915 = _T_4907 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4916 = _T_4912 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_398 = {{1'd0}, _T_4902}; // @[Mux.scala 27:72] + wire [1:0] _T_4918 = _GEN_398 | _T_4915; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4918 | _T_4916; // @[Mux.scala 27:72] + wire _T_4920 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 609:97] + wire [31:0] _GEN_355 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_356 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_357 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_359 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_360 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_359; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_361 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_360; // @[lsu_bus_buffer.scala 610:53] + wire _T_4925 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 616:82] + wire _T_4928 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 617:60] + wire _T_4931 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 620:61] + wire _T_4932 = io_lsu_axi_aw_valid & _T_4931; // @[lsu_bus_buffer.scala 620:59] + wire _T_4933 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 620:107] + wire _T_4934 = io_lsu_axi_w_valid & _T_4933; // @[lsu_bus_buffer.scala 620:105] + wire _T_4935 = _T_4932 | _T_4934; // @[lsu_bus_buffer.scala 620:83] + wire _T_4936 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 620:153] + wire _T_4937 = io_lsu_axi_ar_valid & _T_4936; // @[lsu_bus_buffer.scala 620:151] + wire _T_4941 = ~io_flush_r; // @[lsu_bus_buffer.scala 624:75] + wire _T_4942 = io_lsu_busreq_m & _T_4941; // @[lsu_bus_buffer.scala 624:73] + reg _T_4945; // @[lsu_bus_buffer.scala 624:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4925 | _T_4824; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4928 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 617:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 618:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4935 | _T_4937; // @[lsu_bus_buffer.scala 620:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4920; // @[lsu_bus_buffer.scala 609:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4890 | _T_4888; // @[lsu_bus_buffer.scala 606:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_357 : _GEN_361; // @[lsu_bus_buffer.scala 610:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4501 & _T_4502; // @[lsu_bus_buffer.scala 537:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1795 ? 2'h0 : _T_1831; // @[lsu_bus_buffer.scala 538:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4504; // @[lsu_bus_buffer.scala 540:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 541:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4703; // @[lsu_bus_buffer.scala 553:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4550 | _T_4548; // @[lsu_bus_buffer.scala 543:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4590 | _T_4588; // @[lsu_bus_buffer.scala 544:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4738[31:0]; // @[lsu_bus_buffer.scala 554:42] + assign io_lsu_axi_aw_valid = _T_4834 & _T_1237; // @[lsu_bus_buffer.scala 576:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 577:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 578:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 582:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 579:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 581:28] + assign io_lsu_axi_w_valid = _T_4846 & _T_1237; // @[lsu_bus_buffer.scala 588:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 590:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4850; // @[lsu_bus_buffer.scala 589:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 604:22] + assign io_lsu_axi_ar_valid = _T_4855 & _T_1237; // @[lsu_bus_buffer.scala 593:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 594:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 595:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 599:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 596:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 598:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 605:22] + assign io_lsu_busreq_r = _T_4945; // @[lsu_bus_buffer.scala 624:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 533:30] + assign io_lsu_bus_buffer_full_any = _T_4482 ? _T_4483 : _T_4484; // @[lsu_bus_buffer.scala 534:30] + assign io_lsu_bus_buffer_empty_any = _T_4495 & _T_1231; // @[lsu_bus_buffer.scala 535:31] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 174:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3714 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3904 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4094 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_71; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3714 ? buf_state_en_1 : _GEN_148; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3904 ? buf_state_en_2 : _GEN_225; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4094 ? buf_state_en_3 : _GEN_302; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4344 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4341 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4338 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4335 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1780 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4314 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4311 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4308 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4305 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4291 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4289 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4287 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4285 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4320 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4323 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4326 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4329 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4395 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4390 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4385 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4380 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4945 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4344 = 1'h0; + end + if (reset) begin + _T_4341 = 1'h0; + end + if (reset) begin + _T_4338 = 1'h0; + end + if (reset) begin + _T_4335 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1780 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4314 = 1'h0; + end + if (reset) begin + _T_4311 = 1'h0; + end + if (reset) begin + _T_4308 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4291 = 1'h0; + end + if (reset) begin + _T_4289 = 1'h0; + end + if (reset) begin + _T_4287 = 1'h0; + end + if (reset) begin + _T_4285 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4320 = 1'h0; + end + if (reset) begin + _T_4323 = 1'h0; + end + if (reset) begin + _T_4326 = 1'h0; + end + if (reset) begin + _T_4329 = 1'h0; + end + if (reset) begin + _T_4395 = 1'h0; + end + if (reset) begin + _T_4390 = 1'h0; + end + if (reset) begin + _T_4385 = 1'h0; + end + if (reset) begin + _T_4380 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4945 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3339) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4344 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4344 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4341 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4341 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4338 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4338 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4335 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4335 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3524) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3547) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3555) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3585) begin + if (_T_3588) begin + buf_state_0 <= 3'h0; + end else if (_T_3596) begin + buf_state_0 <= 3'h4; + end else if (_T_3624) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3669) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3675) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3687) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3348) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3714) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3737) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3741) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3555) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3775) begin + if (_T_3778) begin + buf_state_1 <= 3'h0; + end else if (_T_3786) begin + buf_state_1 <= 3'h4; + end else if (_T_3814) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3859) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3865) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3877) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3357) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3904) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3927) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3931) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3555) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3965) begin + if (_T_3968) begin + buf_state_2 <= 3'h0; + end else if (_T_3976) begin + buf_state_2 <= 3'h4; + end else if (_T_4004) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4049) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4055) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4067) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3366) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4094) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4117) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4121) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3555) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4155) begin + if (_T_4158) begin + buf_state_3 <= 3'h0; + end else if (_T_4166) begin + buf_state_3 <= 3'h4; + end else if (_T_4194) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4239) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4245) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4257) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3366) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3357) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3348) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3339) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2467,_T_2390}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1780 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1780 <= WrPtr0_r; + end else begin + _T_1780 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1771 & _T_1772; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1238 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2365,_T_2288}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2263,_T_2186}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2161,_T_2084}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3524) begin + if (_T_3539) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3547) begin + buf_data_0 <= 32'h0; + end else if (_T_3551) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3585) begin + if (_T_3662) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3714) begin + if (_T_3729) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3737) begin + buf_data_1 <= 32'h0; + end else if (_T_3741) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3775) begin + if (_T_3852) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3904) begin + if (_T_3919) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3927) begin + buf_data_2 <= 32'h0; + end else if (_T_3931) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3965) begin + if (_T_4042) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4094) begin + if (_T_4109) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4117) begin + buf_data_3 <= 32'h0; + end else if (_T_4121) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4155) begin + if (_T_4232) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1846) begin + WrPtr1_r <= 2'h0; + end else if (_T_1860) begin + WrPtr1_r <= 2'h1; + end else if (_T_1874) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1795) begin + WrPtr0_r <= 2'h0; + end else if (_T_1806) begin + WrPtr0_r <= 2'h1; + end else if (_T_1817) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4314 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4314 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4311 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4311 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4308 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4308 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4305 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1303 & _T_4821; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1303 & _T_4822; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1287; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1331 & _T_2587; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1330) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1300; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1618,_T_1577}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3137,_T_3126}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3152,_T_3141}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3167,_T_3156}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3182,_T_3171}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4291 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4094) begin + _T_4291 <= 1'h0; + end else if (_T_4117) begin + _T_4291 <= 1'h0; + end else begin + _T_4291 <= _T_4121; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4289 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3904) begin + _T_4289 <= 1'h0; + end else if (_T_3927) begin + _T_4289 <= 1'h0; + end else begin + _T_4289 <= _T_3931; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4287 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3714) begin + _T_4287 <= 1'h0; + end else if (_T_3737) begin + _T_4287 <= 1'h0; + end else begin + _T_4287 <= _T_3741; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4285 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3524) begin + _T_4285 <= 1'h0; + end else if (_T_3547) begin + _T_4285 <= 1'h0; + end else begin + _T_4285 <= _T_3551; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3524) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3547) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3339) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4094) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4117) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4121) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3904) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3927) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3931) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3714) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3737) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3741) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3348) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3357) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3366) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4320 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4320 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4323 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4323 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4326 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4326 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4329 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4329 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4395 <= 1'h0; + end else begin + _T_4395 <= _T_4392 & _T_4393; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4390 <= 1'h0; + end else begin + _T_4390 <= _T_4387 & _T_4388; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4385 <= 1'h0; + end else begin + _T_4385 <= _T_4382 & _T_4383; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4380 <= 1'h0; + end else begin + _T_4380 <= _T_4377 & _T_4378; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4945 <= 1'h0; + end else begin + _T_4945 <= _T_4942 & _T_4502; + end + end +endmodule +module lsu_bus_intf( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + input io_lsu_c1_r_clk, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_active_clk, + input io_lsu_busm_clk, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [2:0] io_axi_aw_bits_size, + output [3:0] io_axi_aw_bits_cache, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [2:0] io_axi_ar_bits_size, + output [3:0] io_axi_ar_bits_cache, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_dec_lsu_valid_raw_d, + input io_lsu_busreq_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [31:0] io_store_data_r, + input io_dec_tlu_force_halt, + input io_lsu_commit_r, + input io_is_sideeffects_m, + input io_flush_m_up, + input io_flush_r, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [31:0] io_bus_read_data_m, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_lsu_bus_clk_en +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire bus_buffer_clock; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_reset; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_b_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [3:0] _T_3 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_4 = io_lsu_pkt_m_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_5 = io_lsu_pkt_m_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = _T_3 | _T_4; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_m = _T_6 | _T_5; // @[Mux.scala 27:72] + wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 155:51] + wire _T_14 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 156:71] + wire _T_15 = ~_T_14; // @[lsu_bus_intf.scala 156:53] + wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_15; // @[lsu_bus_intf.scala 156:51] + wire _T_17 = ~io_ldst_dual_r; // @[lsu_bus_intf.scala 157:48] + wire _T_18 = io_lsu_busreq_r & _T_17; // @[lsu_bus_intf.scala 157:46] + wire _T_19 = _T_18 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 157:64] + wire _T_20 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 157:110] + wire _T_21 = io_lsu_pkt_m_bits_load | _T_20; // @[lsu_bus_intf.scala 157:108] + wire _T_26 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 158:110] + wire _T_27 = io_lsu_pkt_m_bits_load | _T_26; // @[lsu_bus_intf.scala 158:108] + wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 160:49] + wire [6:0] _T_31 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 160:49] + reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 200:33] + wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 161:49] + wire [6:0] _T_34 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 161:49] + wire [4:0] _T_37 = {io_lsu_addr_r[1:0],3'h0}; // @[Cat.scala 29:58] + wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 162:52] + wire [62:0] _T_38 = _GEN_2 << _T_37; // @[lsu_bus_intf.scala 162:52] + wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_31}; // @[lsu_bus_intf.scala 160:27] + wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 163:47] + wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 164:47] + wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 161:27] + wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 165:47] + wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 166:47] + wire [63:0] store_data_ext_r = {{1'd0}, _T_38}; // @[lsu_bus_intf.scala 162:27] + wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 168:46] + wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 169:46] + wire _T_47 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] + wire _T_48 = _T_47 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] + wire _T_49 = _T_48 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] + wire ld_addr_rhit_lo_lo = _T_49 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] + wire _T_53 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] + wire _T_54 = _T_53 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] + wire _T_55 = _T_54 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] + wire ld_addr_rhit_lo_hi = _T_55 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] + wire _T_59 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] + wire _T_60 = _T_59 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] + wire _T_61 = _T_60 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] + wire ld_addr_rhit_hi_lo = _T_61 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] + wire _T_65 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 173:51] + wire _T_66 = _T_65 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 173:76] + wire _T_67 = _T_66 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 173:97] + wire ld_addr_rhit_hi_hi = _T_67 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 173:123] + wire _T_70 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] + wire _T_72 = _T_70 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 175:92] + wire _T_74 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] + wire _T_76 = _T_74 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 175:92] + wire _T_78 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] + wire _T_80 = _T_78 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 175:92] + wire _T_82 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] + wire _T_84 = _T_82 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 175:92] + wire [3:0] ld_byte_rhit_lo_lo = {_T_84,_T_80,_T_76,_T_72}; // @[Cat.scala 29:58] + wire _T_89 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 176:70] + wire _T_91 = _T_89 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 176:92] + wire _T_93 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 176:70] + wire _T_95 = _T_93 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 176:92] + wire _T_97 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 176:70] + wire _T_99 = _T_97 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 176:92] + wire _T_101 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 176:70] + wire _T_103 = _T_101 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 176:92] + wire [3:0] ld_byte_rhit_lo_hi = {_T_103,_T_99,_T_95,_T_91}; // @[Cat.scala 29:58] + wire _T_108 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] + wire _T_110 = _T_108 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 177:92] + wire _T_112 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] + wire _T_114 = _T_112 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 177:92] + wire _T_116 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] + wire _T_118 = _T_116 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 177:92] + wire _T_120 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] + wire _T_122 = _T_120 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 177:92] + wire [3:0] ld_byte_rhit_hi_lo = {_T_122,_T_118,_T_114,_T_110}; // @[Cat.scala 29:58] + wire _T_127 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 178:70] + wire _T_129 = _T_127 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 178:92] + wire _T_131 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 178:70] + wire _T_133 = _T_131 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 178:92] + wire _T_135 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 178:70] + wire _T_137 = _T_135 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 178:92] + wire _T_139 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 178:70] + wire _T_141 = _T_139 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 178:92] + wire [3:0] ld_byte_rhit_hi_hi = {_T_141,_T_137,_T_133,_T_129}; // @[Cat.scala 29:58] + wire _T_147 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 180:73] + wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 139:38] + wire _T_149 = _T_147 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 180:97] + wire _T_152 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 180:73] + wire _T_154 = _T_152 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 180:97] + wire _T_157 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 180:73] + wire _T_159 = _T_157 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 180:97] + wire _T_162 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 180:73] + wire _T_164 = _T_162 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 180:97] + wire [3:0] ld_byte_hit_lo = {_T_164,_T_159,_T_154,_T_149}; // @[Cat.scala 29:58] + wire _T_170 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 181:73] + wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 140:38] + wire _T_172 = _T_170 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 181:97] + wire _T_175 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 181:73] + wire _T_177 = _T_175 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 181:97] + wire _T_180 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 181:73] + wire _T_182 = _T_180 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 181:97] + wire _T_185 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 181:73] + wire _T_187 = _T_185 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 181:97] + wire [3:0] ld_byte_hit_hi = {_T_187,_T_182,_T_177,_T_172}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_lo = {_T_162,_T_157,_T_152,_T_147}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_hi = {_T_185,_T_180,_T_175,_T_170}; // @[Cat.scala 29:58] + wire [7:0] _T_225 = ld_byte_rhit_lo_lo[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_226 = ld_byte_rhit_hi_lo[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_227 = _T_225 | _T_226; // @[Mux.scala 27:72] + wire [7:0] _T_233 = ld_byte_rhit_lo_lo[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_234 = ld_byte_rhit_hi_lo[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_235 = _T_233 | _T_234; // @[Mux.scala 27:72] + wire [7:0] _T_241 = ld_byte_rhit_lo_lo[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_242 = ld_byte_rhit_hi_lo[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_243 = _T_241 | _T_242; // @[Mux.scala 27:72] + wire [7:0] _T_249 = ld_byte_rhit_lo_lo[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_250 = ld_byte_rhit_hi_lo[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_251 = _T_249 | _T_250; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_lo = {_T_251,_T_243,_T_235,_T_227}; // @[Cat.scala 29:58] + wire [7:0] _T_260 = ld_byte_rhit_lo_hi[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_261 = ld_byte_rhit_hi_hi[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_262 = _T_260 | _T_261; // @[Mux.scala 27:72] + wire [7:0] _T_268 = ld_byte_rhit_lo_hi[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_269 = ld_byte_rhit_hi_hi[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_270 = _T_268 | _T_269; // @[Mux.scala 27:72] + wire [7:0] _T_276 = ld_byte_rhit_lo_hi[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_277 = ld_byte_rhit_hi_hi[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_278 = _T_276 | _T_277; // @[Mux.scala 27:72] + wire [7:0] _T_284 = ld_byte_rhit_lo_hi[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_285 = ld_byte_rhit_hi_hi[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_286 = _T_284 | _T_285; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_hi = {_T_286,_T_278,_T_270,_T_262}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 141:38] + wire [7:0] _T_294 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_298 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_302 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_306 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 186:54] + wire [31:0] _T_309 = {_T_306,_T_302,_T_298,_T_294}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 142:38] + wire [7:0] _T_313 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 187:54] + wire [7:0] _T_317 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 187:54] + wire [7:0] _T_321 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 187:54] + wire [7:0] _T_325 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 187:54] + wire [31:0] _T_328 = {_T_325,_T_321,_T_317,_T_313}; // @[Cat.scala 29:58] + wire _T_331 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 188:72] + wire _T_332 = ld_byte_hit_lo[0] | _T_331; // @[lsu_bus_intf.scala 188:70] + wire _T_335 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 188:72] + wire _T_336 = ld_byte_hit_lo[1] | _T_335; // @[lsu_bus_intf.scala 188:70] + wire _T_339 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 188:72] + wire _T_340 = ld_byte_hit_lo[2] | _T_339; // @[lsu_bus_intf.scala 188:70] + wire _T_343 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 188:72] + wire _T_344 = ld_byte_hit_lo[3] | _T_343; // @[lsu_bus_intf.scala 188:70] + wire _T_345 = _T_332 & _T_336; // @[lsu_bus_intf.scala 188:111] + wire _T_346 = _T_345 & _T_340; // @[lsu_bus_intf.scala 188:111] + wire ld_full_hit_lo_m = _T_346 & _T_344; // @[lsu_bus_intf.scala 188:111] + wire _T_350 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 189:72] + wire _T_351 = ld_byte_hit_hi[0] | _T_350; // @[lsu_bus_intf.scala 189:70] + wire _T_354 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 189:72] + wire _T_355 = ld_byte_hit_hi[1] | _T_354; // @[lsu_bus_intf.scala 189:70] + wire _T_358 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 189:72] + wire _T_359 = ld_byte_hit_hi[2] | _T_358; // @[lsu_bus_intf.scala 189:70] + wire _T_362 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 189:72] + wire _T_363 = ld_byte_hit_hi[3] | _T_362; // @[lsu_bus_intf.scala 189:70] + wire _T_364 = _T_351 & _T_355; // @[lsu_bus_intf.scala 189:111] + wire _T_365 = _T_364 & _T_359; // @[lsu_bus_intf.scala 189:111] + wire ld_full_hit_hi_m = _T_365 & _T_363; // @[lsu_bus_intf.scala 189:111] + wire _T_367 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 190:47] + wire _T_368 = _T_367 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 190:66] + wire _T_369 = _T_368 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 190:84] + wire _T_370 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 190:111] + wire [63:0] ld_fwddata_hi = {{32'd0}, _T_328}; // @[lsu_bus_intf.scala 187:27] + wire [63:0] ld_fwddata_lo = {{32'd0}, _T_309}; // @[lsu_bus_intf.scala 186:27] + wire [63:0] _T_374 = {ld_fwddata_hi[31:0],ld_fwddata_lo[31:0]}; // @[Cat.scala 29:58] + wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 191:83] + wire [5:0] _T_376 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 191:83] + wire [63:0] ld_fwddata_m = _T_374 >> _T_376; // @[lsu_bus_intf.scala 191:76] + reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 195:32] + reg is_sideeffects_r; // @[lsu_bus_intf.scala 199:33] + lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 100:39] + .clock(bus_buffer_clock), + .reset(bus_buffer_reset), + .io_scan_mode(bus_buffer_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data), + .io_dec_tlu_force_halt(bus_buffer_io_dec_tlu_force_halt), + .io_lsu_c2_r_clk(bus_buffer_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_buffer_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_buffer_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_buffer_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_buffer_io_lsu_free_c2_clk), + .io_lsu_busm_clk(bus_buffer_io_lsu_busm_clk), + .io_dec_lsu_valid_raw_d(bus_buffer_io_dec_lsu_valid_raw_d), + .io_lsu_pkt_m_valid(bus_buffer_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(bus_buffer_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_bits_by(bus_buffer_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_buffer_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_buffer_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_buffer_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_buffer_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_buffer_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_m(bus_buffer_io_lsu_addr_m), + .io_end_addr_m(bus_buffer_io_end_addr_m), + .io_lsu_addr_r(bus_buffer_io_lsu_addr_r), + .io_end_addr_r(bus_buffer_io_end_addr_r), + .io_store_data_r(bus_buffer_io_store_data_r), + .io_no_word_merge_r(bus_buffer_io_no_word_merge_r), + .io_no_dword_merge_r(bus_buffer_io_no_dword_merge_r), + .io_lsu_busreq_m(bus_buffer_io_lsu_busreq_m), + .io_ld_full_hit_m(bus_buffer_io_ld_full_hit_m), + .io_flush_m_up(bus_buffer_io_flush_m_up), + .io_flush_r(bus_buffer_io_flush_r), + .io_lsu_commit_r(bus_buffer_io_lsu_commit_r), + .io_is_sideeffects_r(bus_buffer_io_is_sideeffects_r), + .io_ldst_dual_d(bus_buffer_io_ldst_dual_d), + .io_ldst_dual_m(bus_buffer_io_ldst_dual_m), + .io_ldst_dual_r(bus_buffer_io_ldst_dual_r), + .io_ldst_byteen_ext_m(bus_buffer_io_ldst_byteen_ext_m), + .io_lsu_axi_aw_ready(bus_buffer_io_lsu_axi_aw_ready), + .io_lsu_axi_aw_valid(bus_buffer_io_lsu_axi_aw_valid), + .io_lsu_axi_aw_bits_id(bus_buffer_io_lsu_axi_aw_bits_id), + .io_lsu_axi_aw_bits_addr(bus_buffer_io_lsu_axi_aw_bits_addr), + .io_lsu_axi_aw_bits_region(bus_buffer_io_lsu_axi_aw_bits_region), + .io_lsu_axi_aw_bits_size(bus_buffer_io_lsu_axi_aw_bits_size), + .io_lsu_axi_aw_bits_cache(bus_buffer_io_lsu_axi_aw_bits_cache), + .io_lsu_axi_w_ready(bus_buffer_io_lsu_axi_w_ready), + .io_lsu_axi_w_valid(bus_buffer_io_lsu_axi_w_valid), + .io_lsu_axi_w_bits_data(bus_buffer_io_lsu_axi_w_bits_data), + .io_lsu_axi_w_bits_strb(bus_buffer_io_lsu_axi_w_bits_strb), + .io_lsu_axi_b_ready(bus_buffer_io_lsu_axi_b_ready), + .io_lsu_axi_b_valid(bus_buffer_io_lsu_axi_b_valid), + .io_lsu_axi_b_bits_resp(bus_buffer_io_lsu_axi_b_bits_resp), + .io_lsu_axi_b_bits_id(bus_buffer_io_lsu_axi_b_bits_id), + .io_lsu_axi_ar_ready(bus_buffer_io_lsu_axi_ar_ready), + .io_lsu_axi_ar_valid(bus_buffer_io_lsu_axi_ar_valid), + .io_lsu_axi_ar_bits_id(bus_buffer_io_lsu_axi_ar_bits_id), + .io_lsu_axi_ar_bits_addr(bus_buffer_io_lsu_axi_ar_bits_addr), + .io_lsu_axi_ar_bits_region(bus_buffer_io_lsu_axi_ar_bits_region), + .io_lsu_axi_ar_bits_size(bus_buffer_io_lsu_axi_ar_bits_size), + .io_lsu_axi_ar_bits_cache(bus_buffer_io_lsu_axi_ar_bits_cache), + .io_lsu_axi_r_ready(bus_buffer_io_lsu_axi_r_ready), + .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), + .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), + .io_lsu_axi_r_bits_data(bus_buffer_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(bus_buffer_io_lsu_axi_r_bits_resp), + .io_lsu_bus_clk_en(bus_buffer_io_lsu_bus_clk_en), + .io_lsu_bus_clk_en_q(bus_buffer_io_lsu_bus_clk_en_q), + .io_lsu_busreq_r(bus_buffer_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_buffer_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_buffer_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_buffer_io_lsu_bus_buffer_empty_any), + .io_ld_byte_hit_buf_lo(bus_buffer_io_ld_byte_hit_buf_lo), + .io_ld_byte_hit_buf_hi(bus_buffer_io_ld_byte_hit_buf_hi), + .io_ld_fwddata_buf_lo(bus_buffer_io_ld_fwddata_buf_lo), + .io_ld_fwddata_buf_hi(bus_buffer_io_ld_fwddata_buf_hi) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 103:18] + assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 131:51] + assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 134:38] + assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 135:38] + assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 136:38] + assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 137:38] + assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 192:27] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 143:19] + assign bus_buffer_clock = clock; + assign bus_buffer_reset = reset; + assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] + assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 107:51] + assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 108:51] + assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 109:51] + assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 110:51] + assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 111:51] + assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 112:51] + assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 113:51] + assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 114:51] + assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 117:27] + assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 117:27] + assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 121:51] + assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 122:51] + assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 123:51] + assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 124:51] + assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 125:51] + assign bus_buffer_io_no_word_merge_r = _T_19 & _T_21; // @[lsu_bus_intf.scala 144:51] + assign bus_buffer_io_no_dword_merge_r = _T_19 & _T_27; // @[lsu_bus_intf.scala 145:51] + assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 127:51] + assign bus_buffer_io_ld_full_hit_m = _T_369 & _T_370; // @[lsu_bus_intf.scala 151:51] + assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 128:51] + assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 129:51] + assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 130:51] + assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 146:51] + assign bus_buffer_io_ldst_dual_d = io_ldst_dual_d; // @[lsu_bus_intf.scala 147:51] + assign bus_buffer_io_ldst_dual_m = io_ldst_dual_m; // @[lsu_bus_intf.scala 148:51] + assign bus_buffer_io_ldst_dual_r = io_ldst_dual_r; // @[lsu_bus_intf.scala 149:51] + assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_31}; // @[lsu_bus_intf.scala 150:51] + assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 132:51] + assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 152:51] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ldst_byteen_r = _RAND_0[3:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_bus_clk_en_q = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + is_sideeffects_r = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ldst_byteen_r = 4'h0; + end + if (reset) begin + lsu_bus_clk_en_q = 1'h0; + end + if (reset) begin + is_sideeffects_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_byteen_r <= 4'h0; + end else begin + ldst_byteen_r <= _T_6 | _T_5; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + lsu_bus_clk_en_q <= 1'h0; + end else begin + lsu_bus_clk_en_q <= io_lsu_bus_clk_en; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + is_sideeffects_r <= 1'h0; + end else begin + is_sideeffects_r <= io_is_sideeffects_m; + end + end +endmodule +module lsu( + input clock, + input reset, + input io_clk_override, + input io_lsu_dma_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_lsu_dma_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_lsu_dma_dma_lsc_ctl_dma_mem_sz, + input io_lsu_dma_dma_lsc_ctl_dma_mem_write, + input [63:0] io_lsu_dma_dma_lsc_ctl_dma_mem_wdata, + input [31:0] io_lsu_dma_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_lsu_dma_dma_dccm_ctl_dma_mem_wdata, + output io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid, + output io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata, + output io_lsu_dma_dccm_ready, + input [2:0] io_lsu_dma_dma_mem_tag, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, + input io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, + output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_tlu_lsu_pmu_load_external_m, + output io_lsu_tlu_lsu_pmu_store_external_m, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [7:0] io_axi_aw_bits_len, + output [2:0] io_axi_aw_bits_size, + output [1:0] io_axi_aw_bits_burst, + output io_axi_aw_bits_lock, + output [3:0] io_axi_aw_bits_cache, + output [2:0] io_axi_aw_bits_prot, + output [3:0] io_axi_aw_bits_qos, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + output io_axi_w_bits_last, + output io_axi_b_ready, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [7:0] io_axi_ar_bits_len, + output [2:0] io_axi_ar_bits_size, + output [1:0] io_axi_ar_bits_burst, + output io_axi_ar_bits_lock, + output [3:0] io_axi_ar_bits_cache, + output [2:0] io_axi_ar_bits_prot, + output [3:0] io_axi_ar_bits_qos, + output io_axi_r_ready, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_axi_r_bits_last, + input io_dec_tlu_flush_lower_r, + input io_dec_tlu_i0_kill_writeb_r, + input io_dec_tlu_force_halt, + input io_dec_tlu_core_ecc_disable, + input [11:0] io_dec_lsu_offset_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_dec_lsu_valid_raw_d, + input [31:0] io_dec_tlu_mrac_ff, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output io_lsu_load_stall_any, + output io_lsu_store_stall_any, + output io_lsu_fastint_stall_any, + output io_lsu_idle_any, + output io_lsu_active, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output io_lsu_pmu_misaligned_m, + output [3:0] io_lsu_trigger_match_m, + input io_lsu_bus_clk_en, + input io_scan_mode, + input io_active_clk +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire lsu_lsc_ctl_clock; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_reset; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_clk_override; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_c1_m_clk; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_c1_r_clk; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_c2_m_clk; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_c2_r_clk; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_store_c1_m_clk; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_r; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_double_ecc_error_r; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_ld_data_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_double_ecc_error_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_flush_m_up; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_flush_r; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_ldst_dual_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_ldst_dual_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_valid; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_fast_int; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_by; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_half; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_word; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_dword; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_load; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_unsign; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_dma; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_dec_lsu_valid_raw_d; // @[lsu.scala 65:30] + wire [11:0] lsu_lsc_ctl_io_dec_lsu_offset_d; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_picm_mask_data_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_bus_read_data_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_d; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_dec_tlu_mrac_ff; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_exc_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_incr; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_valid; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type; // @[lsu.scala 65:30] + wire [3:0] lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr; // @[lsu.scala 65:30] + wire [30:0] lsu_lsc_ctl_io_lsu_fir_addr; // @[lsu.scala 65:30] + wire [1:0] lsu_lsc_ctl_io_lsu_fir_error; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_in_pic_r; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 65:30] + wire [31:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr; // @[lsu.scala 65:30] + wire [2:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 65:30] + wire [63:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_fast_int; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_by; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_half; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_unsign; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_load_ldst_bypass_d; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_dword; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_unsign; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_store_data_bypass_m; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_dword; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 65:30] + wire lsu_lsc_ctl_io_scan_mode; // @[lsu.scala 65:30] + wire dccm_ctl_clock; // @[lsu.scala 68:30] + wire dccm_ctl_reset; // @[lsu.scala 68:30] + wire dccm_ctl_io_clk_override; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_c2_m_clk; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_free_c2_clk; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_store_c1_r_clk; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 68:30] + wire dccm_ctl_io_addr_in_dccm_d; // @[lsu.scala 68:30] + wire dccm_ctl_io_addr_in_dccm_m; // @[lsu.scala 68:30] + wire dccm_ctl_io_addr_in_dccm_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_addr_in_pic_d; // @[lsu.scala 68:30] + wire dccm_ctl_io_addr_in_pic_m; // @[lsu.scala 68:30] + wire dccm_ctl_io_addr_in_pic_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_raw_fwd_lo_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_raw_fwd_hi_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_commit_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_ldst_dual_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_addr_d; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_lsu_addr_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_addr_r; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_end_addr_d; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_end_addr_m; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_end_addr_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_stbuf_reqvld_any; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_stbuf_addr_any; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_stbuf_data_any; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_stbuf_ecc_any; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_stbuf_fwddata_hi_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_stbuf_fwddata_lo_m; // @[lsu.scala 68:30] + wire [3:0] dccm_ctl_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 68:30] + wire [3:0] dccm_ctl_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_double_ecc_error_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_single_ecc_error_hi_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_single_ecc_error_lo_r; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_sec_data_hi_r_ff; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_sec_data_lo_r_ff; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_dccm_rdata_hi_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_dccm_rdata_lo_m; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_dccm_data_ecc_hi_m; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_dccm_data_ecc_lo_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_ld_data_m; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_double_ecc_error_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_sec_data_hi_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_sec_data_lo_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_store_data_m; // @[lsu.scala 68:30] + wire dccm_ctl_io_dma_dccm_wen; // @[lsu.scala 68:30] + wire dccm_ctl_io_dma_pic_wen; // @[lsu.scala 68:30] + wire [2:0] dccm_ctl_io_dma_mem_tag_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_dma_dccm_wdata_lo; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_dma_dccm_wdata_hi; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 68:30] + wire [6:0] dccm_ctl_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_store_data_hi_r; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_store_data_lo_r; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_store_datafn_hi_r; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_store_datafn_lo_r; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_store_data_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 68:30] + wire dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_picm_mask_data_m; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_stbuf_commit_any; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_dccm_rden_m; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_dma_dccm_ctl_dma_mem_addr; // @[lsu.scala 68:30] + wire [63:0] dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata; // @[lsu.scala 68:30] + wire dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid; // @[lsu.scala 68:30] + wire dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error; // @[lsu.scala 68:30] + wire [2:0] dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag; // @[lsu.scala 68:30] + wire [63:0] dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata; // @[lsu.scala 68:30] + wire dccm_ctl_io_dccm_wren; // @[lsu.scala 68:30] + wire dccm_ctl_io_dccm_rden; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_dccm_wr_addr_lo; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_dccm_wr_addr_hi; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_dccm_rd_addr_lo; // @[lsu.scala 68:30] + wire [15:0] dccm_ctl_io_dccm_rd_addr_hi; // @[lsu.scala 68:30] + wire [38:0] dccm_ctl_io_dccm_wr_data_lo; // @[lsu.scala 68:30] + wire [38:0] dccm_ctl_io_dccm_wr_data_hi; // @[lsu.scala 68:30] + wire [38:0] dccm_ctl_io_dccm_rd_data_lo; // @[lsu.scala 68:30] + wire [38:0] dccm_ctl_io_dccm_rd_data_hi; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pic_picm_wren; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pic_picm_rden; // @[lsu.scala 68:30] + wire dccm_ctl_io_lsu_pic_picm_mken; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_rdaddr; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_wraddr; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_wr_data; // @[lsu.scala 68:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_rd_data; // @[lsu.scala 68:30] + wire dccm_ctl_io_scan_mode; // @[lsu.scala 68:30] + wire stbuf_clock; // @[lsu.scala 69:30] + wire stbuf_reset; // @[lsu.scala 69:30] + wire stbuf_io_lsu_stbuf_c1_clk; // @[lsu.scala 69:30] + wire stbuf_io_lsu_free_c2_clk; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_m_valid; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_m_bits_store; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_m_bits_dma; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_valid; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_bits_by; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_bits_half; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_bits_word; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_bits_dword; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_bits_store; // @[lsu.scala 69:30] + wire stbuf_io_lsu_pkt_r_bits_dma; // @[lsu.scala 69:30] + wire stbuf_io_store_stbuf_reqvld_r; // @[lsu.scala 69:30] + wire stbuf_io_lsu_commit_r; // @[lsu.scala 69:30] + wire stbuf_io_dec_lsu_valid_raw_d; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_store_data_hi_r; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_store_data_lo_r; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_store_datafn_hi_r; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_store_datafn_lo_r; // @[lsu.scala 69:30] + wire stbuf_io_lsu_stbuf_commit_any; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_lsu_addr_m; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_lsu_addr_r; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_end_addr_m; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_end_addr_r; // @[lsu.scala 69:30] + wire stbuf_io_ldst_dual_d; // @[lsu.scala 69:30] + wire stbuf_io_ldst_dual_m; // @[lsu.scala 69:30] + wire stbuf_io_ldst_dual_r; // @[lsu.scala 69:30] + wire stbuf_io_addr_in_dccm_m; // @[lsu.scala 69:30] + wire stbuf_io_addr_in_dccm_r; // @[lsu.scala 69:30] + wire stbuf_io_scan_mode; // @[lsu.scala 69:30] + wire stbuf_io_stbuf_reqvld_any; // @[lsu.scala 69:30] + wire stbuf_io_stbuf_reqvld_flushed_any; // @[lsu.scala 69:30] + wire [15:0] stbuf_io_stbuf_addr_any; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_stbuf_data_any; // @[lsu.scala 69:30] + wire stbuf_io_lsu_stbuf_full_any; // @[lsu.scala 69:30] + wire stbuf_io_lsu_stbuf_empty_any; // @[lsu.scala 69:30] + wire stbuf_io_ldst_stbuf_reqvld_r; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_stbuf_fwddata_hi_m; // @[lsu.scala 69:30] + wire [31:0] stbuf_io_stbuf_fwddata_lo_m; // @[lsu.scala 69:30] + wire [3:0] stbuf_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 69:30] + wire [3:0] stbuf_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 69:30] + wire ecc_clock; // @[lsu.scala 70:30] + wire ecc_reset; // @[lsu.scala 70:30] + wire ecc_io_lsu_c2_r_clk; // @[lsu.scala 70:30] + wire ecc_io_clk_override; // @[lsu.scala 70:30] + wire ecc_io_lsu_pkt_m_valid; // @[lsu.scala 70:30] + wire ecc_io_lsu_pkt_m_bits_load; // @[lsu.scala 70:30] + wire ecc_io_lsu_pkt_m_bits_store; // @[lsu.scala 70:30] + wire ecc_io_lsu_pkt_m_bits_dma; // @[lsu.scala 70:30] + wire [31:0] ecc_io_stbuf_data_any; // @[lsu.scala 70:30] + wire ecc_io_dec_tlu_core_ecc_disable; // @[lsu.scala 70:30] + wire [15:0] ecc_io_lsu_addr_m; // @[lsu.scala 70:30] + wire [15:0] ecc_io_end_addr_m; // @[lsu.scala 70:30] + wire [31:0] ecc_io_dccm_rdata_hi_m; // @[lsu.scala 70:30] + wire [31:0] ecc_io_dccm_rdata_lo_m; // @[lsu.scala 70:30] + wire [6:0] ecc_io_dccm_data_ecc_hi_m; // @[lsu.scala 70:30] + wire [6:0] ecc_io_dccm_data_ecc_lo_m; // @[lsu.scala 70:30] + wire ecc_io_ld_single_ecc_error_r; // @[lsu.scala 70:30] + wire ecc_io_ld_single_ecc_error_r_ff; // @[lsu.scala 70:30] + wire ecc_io_lsu_dccm_rden_m; // @[lsu.scala 70:30] + wire ecc_io_addr_in_dccm_m; // @[lsu.scala 70:30] + wire ecc_io_dma_dccm_wen; // @[lsu.scala 70:30] + wire [31:0] ecc_io_dma_dccm_wdata_lo; // @[lsu.scala 70:30] + wire [31:0] ecc_io_dma_dccm_wdata_hi; // @[lsu.scala 70:30] + wire ecc_io_scan_mode; // @[lsu.scala 70:30] + wire [31:0] ecc_io_sec_data_hi_r; // @[lsu.scala 70:30] + wire [31:0] ecc_io_sec_data_lo_r; // @[lsu.scala 70:30] + wire [31:0] ecc_io_sec_data_hi_m; // @[lsu.scala 70:30] + wire [31:0] ecc_io_sec_data_lo_m; // @[lsu.scala 70:30] + wire [31:0] ecc_io_sec_data_hi_r_ff; // @[lsu.scala 70:30] + wire [31:0] ecc_io_sec_data_lo_r_ff; // @[lsu.scala 70:30] + wire [6:0] ecc_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 70:30] + wire [6:0] ecc_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 70:30] + wire [6:0] ecc_io_stbuf_ecc_any; // @[lsu.scala 70:30] + wire [6:0] ecc_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 70:30] + wire [6:0] ecc_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 70:30] + wire ecc_io_single_ecc_error_hi_r; // @[lsu.scala 70:30] + wire ecc_io_single_ecc_error_lo_r; // @[lsu.scala 70:30] + wire ecc_io_lsu_single_ecc_error_r; // @[lsu.scala 70:30] + wire ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 70:30] + wire ecc_io_lsu_single_ecc_error_m; // @[lsu.scala 70:30] + wire ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 70:30] + wire trigger_io_trigger_pkt_any_0_select; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_0_match_pkt; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_0_store; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_0_load; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_0_m; // @[lsu.scala 71:30] + wire [31:0] trigger_io_trigger_pkt_any_0_tdata2; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_1_select; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_1_match_pkt; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_1_store; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_1_load; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_1_m; // @[lsu.scala 71:30] + wire [31:0] trigger_io_trigger_pkt_any_1_tdata2; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_2_select; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_2_match_pkt; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_2_store; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_2_load; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_2_m; // @[lsu.scala 71:30] + wire [31:0] trigger_io_trigger_pkt_any_2_tdata2; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_3_select; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_3_match_pkt; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_3_store; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_3_load; // @[lsu.scala 71:30] + wire trigger_io_trigger_pkt_any_3_m; // @[lsu.scala 71:30] + wire [31:0] trigger_io_trigger_pkt_any_3_tdata2; // @[lsu.scala 71:30] + wire trigger_io_lsu_pkt_m_valid; // @[lsu.scala 71:30] + wire trigger_io_lsu_pkt_m_bits_half; // @[lsu.scala 71:30] + wire trigger_io_lsu_pkt_m_bits_word; // @[lsu.scala 71:30] + wire trigger_io_lsu_pkt_m_bits_load; // @[lsu.scala 71:30] + wire trigger_io_lsu_pkt_m_bits_store; // @[lsu.scala 71:30] + wire trigger_io_lsu_pkt_m_bits_dma; // @[lsu.scala 71:30] + wire [31:0] trigger_io_lsu_addr_m; // @[lsu.scala 71:30] + wire [31:0] trigger_io_store_data_m; // @[lsu.scala 71:30] + wire [3:0] trigger_io_lsu_trigger_match_m; // @[lsu.scala 71:30] + wire clkdomain_clock; // @[lsu.scala 72:30] + wire clkdomain_reset; // @[lsu.scala 72:30] + wire clkdomain_io_active_clk; // @[lsu.scala 72:30] + wire clkdomain_io_clk_override; // @[lsu.scala 72:30] + wire clkdomain_io_dec_tlu_force_halt; // @[lsu.scala 72:30] + wire clkdomain_io_dma_dccm_req; // @[lsu.scala 72:30] + wire clkdomain_io_ldst_stbuf_reqvld_r; // @[lsu.scala 72:30] + wire clkdomain_io_stbuf_reqvld_any; // @[lsu.scala 72:30] + wire clkdomain_io_stbuf_reqvld_flushed_any; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_busreq_r; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_buffer_pend_any; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_buffer_empty_any; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_stbuf_empty_any; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_clk_en; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_p_valid; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_pkt_d_valid; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_pkt_d_bits_store; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_pkt_m_valid; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_pkt_m_bits_store; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_pkt_r_valid; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_obuf_c1_clken; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_busm_clken; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_store_c1_m_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_store_c1_r_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_bus_buf_c1_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_busm_clk; // @[lsu.scala 72:30] + wire clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 72:30] + wire clkdomain_io_scan_mode; // @[lsu.scala 72:30] + wire bus_intf_clock; // @[lsu.scala 73:30] + wire bus_intf_reset; // @[lsu.scala 73:30] + wire bus_intf_io_scan_mode; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 73:30] + wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_c1_r_clk; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_c2_r_clk; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_buf_c1_clk; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_free_c2_clk; // @[lsu.scala 73:30] + wire bus_intf_io_active_clk; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_busm_clk; // @[lsu.scala 73:30] + wire bus_intf_io_axi_aw_ready; // @[lsu.scala 73:30] + wire bus_intf_io_axi_aw_valid; // @[lsu.scala 73:30] + wire [2:0] bus_intf_io_axi_aw_bits_id; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 73:30] + wire [3:0] bus_intf_io_axi_aw_bits_region; // @[lsu.scala 73:30] + wire [2:0] bus_intf_io_axi_aw_bits_size; // @[lsu.scala 73:30] + wire [3:0] bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 73:30] + wire bus_intf_io_axi_w_ready; // @[lsu.scala 73:30] + wire bus_intf_io_axi_w_valid; // @[lsu.scala 73:30] + wire [63:0] bus_intf_io_axi_w_bits_data; // @[lsu.scala 73:30] + wire [7:0] bus_intf_io_axi_w_bits_strb; // @[lsu.scala 73:30] + wire bus_intf_io_axi_b_valid; // @[lsu.scala 73:30] + wire [1:0] bus_intf_io_axi_b_bits_resp; // @[lsu.scala 73:30] + wire [2:0] bus_intf_io_axi_b_bits_id; // @[lsu.scala 73:30] + wire bus_intf_io_axi_ar_ready; // @[lsu.scala 73:30] + wire bus_intf_io_axi_ar_valid; // @[lsu.scala 73:30] + wire [2:0] bus_intf_io_axi_ar_bits_id; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 73:30] + wire [3:0] bus_intf_io_axi_ar_bits_region; // @[lsu.scala 73:30] + wire [2:0] bus_intf_io_axi_ar_bits_size; // @[lsu.scala 73:30] + wire [3:0] bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 73:30] + wire bus_intf_io_axi_r_valid; // @[lsu.scala 73:30] + wire [2:0] bus_intf_io_axi_r_bits_id; // @[lsu.scala 73:30] + wire [63:0] bus_intf_io_axi_r_bits_data; // @[lsu.scala 73:30] + wire [1:0] bus_intf_io_axi_r_bits_resp; // @[lsu.scala 73:30] + wire bus_intf_io_dec_lsu_valid_raw_d; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_busreq_m; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_m_valid; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_m_bits_by; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_m_bits_half; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_m_bits_word; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_m_bits_load; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_valid; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_bits_by; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_bits_half; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_bits_word; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_bits_load; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_bits_store; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_lsu_addr_m; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_lsu_addr_r; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_end_addr_m; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_end_addr_r; // @[lsu.scala 73:30] + wire bus_intf_io_ldst_dual_d; // @[lsu.scala 73:30] + wire bus_intf_io_ldst_dual_m; // @[lsu.scala 73:30] + wire bus_intf_io_ldst_dual_r; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_store_data_r; // @[lsu.scala 73:30] + wire bus_intf_io_dec_tlu_force_halt; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_commit_r; // @[lsu.scala 73:30] + wire bus_intf_io_is_sideeffects_m; // @[lsu.scala 73:30] + wire bus_intf_io_flush_m_up; // @[lsu.scala 73:30] + wire bus_intf_io_flush_r; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_busreq_r; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_buffer_pend_any; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_buffer_full_any; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_bus_read_data_m; // @[lsu.scala 73:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu.scala 73:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu.scala 73:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu.scala 73:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu.scala 73:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu.scala 73:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu.scala 73:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu.scala 73:30] + wire [31:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu.scala 73:30] + wire bus_intf_io_lsu_bus_clk_en; // @[lsu.scala 73:30] + wire _T = stbuf_io_lsu_stbuf_full_any | bus_intf_io_lsu_bus_buffer_full_any; // @[lsu.scala 79:57] + wire _T_3 = ~lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 86:58] + wire _T_4 = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_3; // @[lsu.scala 86:56] + wire _T_5 = lsu_lsc_ctl_io_addr_in_dccm_m | lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 86:126] + wire _T_6 = _T_4 & _T_5; // @[lsu.scala 86:93] + wire ldst_nodma_mtor = _T_6 & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 86:158] + wire _T_7 = io_dec_lsu_valid_raw_d | ldst_nodma_mtor; // @[lsu.scala 87:53] + wire _T_8 = _T_7 | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 87:71] + wire _T_10 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 88:58] + wire _T_11 = _T_10 & lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 88:97] + wire [5:0] _T_15 = {io_lsu_dma_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_dccm_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata >> _T_15; // @[lsu.scala 90:58] + wire _T_21 = ~lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 101:130] + wire _T_22 = lsu_lsc_ctl_io_lsu_pkt_r_valid & _T_21; // @[lsu.scala 101:128] + wire _T_23 = _T_4 | _T_22; // @[lsu.scala 101:94] + wire _T_24 = ~_T_23; // @[lsu.scala 101:22] + wire _T_26 = lsu_lsc_ctl_io_lsu_pkt_m_valid | lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 102:52] + wire _T_27 = _T_26 | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 102:85] + wire _T_28 = ~bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 102:127] + wire _T_30 = lsu_lsc_ctl_io_lsu_pkt_r_valid & lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 104:61] + wire _T_31 = _T_30 & lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 104:99] + wire _T_32 = ~io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 104:133] + wire _T_33 = _T_31 & _T_32; // @[lsu.scala 104:131] + wire _T_35 = lsu_lsc_ctl_io_lsu_pkt_r_bits_by | lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 104:217] + wire _T_36 = ~ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 104:257] + wire _T_37 = _T_35 & _T_36; // @[lsu.scala 104:255] + wire _T_38 = _T_21 | _T_37; // @[lsu.scala 104:180] + wire _T_39 = lsu_lsc_ctl_io_lsu_pkt_m_bits_load | lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 106:90] + wire _T_43 = _T_39 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 108:131] + wire _T_44 = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_43; // @[lsu.scala 108:53] + wire _T_45 = ~io_dec_tlu_flush_lower_r; // @[lsu.scala 108:167] + wire _T_46 = _T_44 & _T_45; // @[lsu.scala 108:165] + wire _T_47 = ~lsu_lsc_ctl_io_lsu_exc_m; // @[lsu.scala 108:181] + wire _T_48 = _T_46 & _T_47; // @[lsu.scala 108:179] + wire _T_49 = ~lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int; // @[lsu.scala 108:209] + wire _T_57 = lsu_lsc_ctl_io_lsu_pkt_m_bits_half & lsu_lsc_ctl_io_lsu_addr_m[0]; // @[lsu.scala 114:100] + wire _T_59 = |lsu_lsc_ctl_io_lsu_addr_m[1:0]; // @[lsu.scala 114:203] + wire _T_60 = lsu_lsc_ctl_io_lsu_pkt_m_bits_word & _T_59; // @[lsu.scala 114:170] + wire _T_61 = _T_57 | _T_60; // @[lsu.scala 114:132] + wire _T_63 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 115:73] + wire _T_65 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 116:73] + reg [2:0] dma_mem_tag_m; // @[lsu.scala 339:67] + reg lsu_raw_fwd_hi_r; // @[lsu.scala 340:67] + reg lsu_raw_fwd_lo_r; // @[lsu.scala 341:67] + lsu_lsc_ctl lsu_lsc_ctl ( // @[lsu.scala 65:30] + .clock(lsu_lsc_ctl_clock), + .reset(lsu_lsc_ctl_reset), + .io_clk_override(lsu_lsc_ctl_io_clk_override), + .io_lsu_c1_m_clk(lsu_lsc_ctl_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(lsu_lsc_ctl_io_lsu_c1_r_clk), + .io_lsu_c2_m_clk(lsu_lsc_ctl_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(lsu_lsc_ctl_io_lsu_c2_r_clk), + .io_lsu_store_c1_m_clk(lsu_lsc_ctl_io_lsu_store_c1_m_clk), + .io_lsu_ld_data_corr_r(lsu_lsc_ctl_io_lsu_ld_data_corr_r), + .io_lsu_single_ecc_error_r(lsu_lsc_ctl_io_lsu_single_ecc_error_r), + .io_lsu_double_ecc_error_r(lsu_lsc_ctl_io_lsu_double_ecc_error_r), + .io_lsu_ld_data_m(lsu_lsc_ctl_io_lsu_ld_data_m), + .io_lsu_single_ecc_error_m(lsu_lsc_ctl_io_lsu_single_ecc_error_m), + .io_lsu_double_ecc_error_m(lsu_lsc_ctl_io_lsu_double_ecc_error_m), + .io_flush_m_up(lsu_lsc_ctl_io_flush_m_up), + .io_flush_r(lsu_lsc_ctl_io_flush_r), + .io_ldst_dual_d(lsu_lsc_ctl_io_ldst_dual_d), + .io_ldst_dual_m(lsu_lsc_ctl_io_ldst_dual_m), + .io_lsu_exu_exu_lsu_rs1_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d), + .io_lsu_exu_exu_lsu_rs2_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d), + .io_lsu_p_valid(lsu_lsc_ctl_io_lsu_p_valid), + .io_lsu_p_bits_fast_int(lsu_lsc_ctl_io_lsu_p_bits_fast_int), + .io_lsu_p_bits_by(lsu_lsc_ctl_io_lsu_p_bits_by), + .io_lsu_p_bits_half(lsu_lsc_ctl_io_lsu_p_bits_half), + .io_lsu_p_bits_word(lsu_lsc_ctl_io_lsu_p_bits_word), + .io_lsu_p_bits_dword(lsu_lsc_ctl_io_lsu_p_bits_dword), + .io_lsu_p_bits_load(lsu_lsc_ctl_io_lsu_p_bits_load), + .io_lsu_p_bits_store(lsu_lsc_ctl_io_lsu_p_bits_store), + .io_lsu_p_bits_unsign(lsu_lsc_ctl_io_lsu_p_bits_unsign), + .io_lsu_p_bits_dma(lsu_lsc_ctl_io_lsu_p_bits_dma), + .io_lsu_p_bits_store_data_bypass_d(lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d), + .io_lsu_p_bits_load_ldst_bypass_d(lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d), + .io_lsu_p_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m), + .io_dec_lsu_valid_raw_d(lsu_lsc_ctl_io_dec_lsu_valid_raw_d), + .io_dec_lsu_offset_d(lsu_lsc_ctl_io_dec_lsu_offset_d), + .io_picm_mask_data_m(lsu_lsc_ctl_io_picm_mask_data_m), + .io_bus_read_data_m(lsu_lsc_ctl_io_bus_read_data_m), + .io_lsu_result_m(lsu_lsc_ctl_io_lsu_result_m), + .io_lsu_result_corr_r(lsu_lsc_ctl_io_lsu_result_corr_r), + .io_lsu_addr_d(lsu_lsc_ctl_io_lsu_addr_d), + .io_lsu_addr_m(lsu_lsc_ctl_io_lsu_addr_m), + .io_lsu_addr_r(lsu_lsc_ctl_io_lsu_addr_r), + .io_end_addr_d(lsu_lsc_ctl_io_end_addr_d), + .io_end_addr_m(lsu_lsc_ctl_io_end_addr_m), + .io_end_addr_r(lsu_lsc_ctl_io_end_addr_r), + .io_store_data_m(lsu_lsc_ctl_io_store_data_m), + .io_dec_tlu_mrac_ff(lsu_lsc_ctl_io_dec_tlu_mrac_ff), + .io_lsu_exc_m(lsu_lsc_ctl_io_lsu_exc_m), + .io_is_sideeffects_m(lsu_lsc_ctl_io_is_sideeffects_m), + .io_lsu_commit_r(lsu_lsc_ctl_io_lsu_commit_r), + .io_lsu_single_ecc_error_incr(lsu_lsc_ctl_io_lsu_single_ecc_error_incr), + .io_lsu_error_pkt_r_valid(lsu_lsc_ctl_io_lsu_error_pkt_r_valid), + .io_lsu_error_pkt_r_bits_single_ecc_error(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error), + .io_lsu_error_pkt_r_bits_inst_type(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type), + .io_lsu_error_pkt_r_bits_exc_type(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type), + .io_lsu_error_pkt_r_bits_mscause(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause), + .io_lsu_error_pkt_r_bits_addr(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr), + .io_lsu_fir_addr(lsu_lsc_ctl_io_lsu_fir_addr), + .io_lsu_fir_error(lsu_lsc_ctl_io_lsu_fir_error), + .io_addr_in_dccm_d(lsu_lsc_ctl_io_addr_in_dccm_d), + .io_addr_in_dccm_m(lsu_lsc_ctl_io_addr_in_dccm_m), + .io_addr_in_dccm_r(lsu_lsc_ctl_io_addr_in_dccm_r), + .io_addr_in_pic_d(lsu_lsc_ctl_io_addr_in_pic_d), + .io_addr_in_pic_m(lsu_lsc_ctl_io_addr_in_pic_m), + .io_addr_in_pic_r(lsu_lsc_ctl_io_addr_in_pic_r), + .io_addr_external_m(lsu_lsc_ctl_io_addr_external_m), + .io_dma_lsc_ctl_dma_dccm_req(lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req), + .io_dma_lsc_ctl_dma_mem_addr(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr), + .io_dma_lsc_ctl_dma_mem_sz(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz), + .io_dma_lsc_ctl_dma_mem_write(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write), + .io_dma_lsc_ctl_dma_mem_wdata(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata), + .io_lsu_pkt_d_valid(lsu_lsc_ctl_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(lsu_lsc_ctl_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(lsu_lsc_ctl_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(lsu_lsc_ctl_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(lsu_lsc_ctl_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_dword(lsu_lsc_ctl_io_lsu_pkt_d_bits_dword), + .io_lsu_pkt_d_bits_load(lsu_lsc_ctl_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(lsu_lsc_ctl_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_d_bits_unsign), + .io_lsu_pkt_d_bits_dma(lsu_lsc_ctl_io_lsu_pkt_d_bits_dma), + .io_lsu_pkt_d_bits_store_data_bypass_d(lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_d), + .io_lsu_pkt_d_bits_load_ldst_bypass_d(lsu_lsc_ctl_io_lsu_pkt_d_bits_load_ldst_bypass_d), + .io_lsu_pkt_d_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_m), + .io_lsu_pkt_m_valid(lsu_lsc_ctl_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_fast_int(lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int), + .io_lsu_pkt_m_bits_by(lsu_lsc_ctl_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(lsu_lsc_ctl_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(lsu_lsc_ctl_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_dword(lsu_lsc_ctl_io_lsu_pkt_m_bits_dword), + .io_lsu_pkt_m_bits_load(lsu_lsc_ctl_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(lsu_lsc_ctl_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_m_bits_unsign), + .io_lsu_pkt_m_bits_dma(lsu_lsc_ctl_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_m_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_pkt_m_bits_store_data_bypass_m), + .io_lsu_pkt_r_valid(lsu_lsc_ctl_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(lsu_lsc_ctl_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(lsu_lsc_ctl_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(lsu_lsc_ctl_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_dword(lsu_lsc_ctl_io_lsu_pkt_r_bits_dword), + .io_lsu_pkt_r_bits_load(lsu_lsc_ctl_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(lsu_lsc_ctl_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign), + .io_lsu_pkt_r_bits_dma(lsu_lsc_ctl_io_lsu_pkt_r_bits_dma), + .io_scan_mode(lsu_lsc_ctl_io_scan_mode) + ); + lsu_dccm_ctl dccm_ctl ( // @[lsu.scala 68:30] + .clock(dccm_ctl_clock), + .reset(dccm_ctl_reset), + .io_clk_override(dccm_ctl_io_clk_override), + .io_lsu_c2_m_clk(dccm_ctl_io_lsu_c2_m_clk), + .io_lsu_free_c2_clk(dccm_ctl_io_lsu_free_c2_clk), + .io_lsu_store_c1_r_clk(dccm_ctl_io_lsu_store_c1_r_clk), + .io_lsu_pkt_d_valid(dccm_ctl_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_word(dccm_ctl_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_dword(dccm_ctl_io_lsu_pkt_d_bits_dword), + .io_lsu_pkt_d_bits_load(dccm_ctl_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(dccm_ctl_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(dccm_ctl_io_lsu_pkt_d_bits_dma), + .io_lsu_pkt_m_valid(dccm_ctl_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_by(dccm_ctl_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(dccm_ctl_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(dccm_ctl_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(dccm_ctl_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(dccm_ctl_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(dccm_ctl_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_r_valid(dccm_ctl_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(dccm_ctl_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(dccm_ctl_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(dccm_ctl_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(dccm_ctl_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(dccm_ctl_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_dma(dccm_ctl_io_lsu_pkt_r_bits_dma), + .io_addr_in_dccm_d(dccm_ctl_io_addr_in_dccm_d), + .io_addr_in_dccm_m(dccm_ctl_io_addr_in_dccm_m), + .io_addr_in_dccm_r(dccm_ctl_io_addr_in_dccm_r), + .io_addr_in_pic_d(dccm_ctl_io_addr_in_pic_d), + .io_addr_in_pic_m(dccm_ctl_io_addr_in_pic_m), + .io_addr_in_pic_r(dccm_ctl_io_addr_in_pic_r), + .io_lsu_raw_fwd_lo_r(dccm_ctl_io_lsu_raw_fwd_lo_r), + .io_lsu_raw_fwd_hi_r(dccm_ctl_io_lsu_raw_fwd_hi_r), + .io_lsu_commit_r(dccm_ctl_io_lsu_commit_r), + .io_ldst_dual_m(dccm_ctl_io_ldst_dual_m), + .io_lsu_addr_d(dccm_ctl_io_lsu_addr_d), + .io_lsu_addr_m(dccm_ctl_io_lsu_addr_m), + .io_lsu_addr_r(dccm_ctl_io_lsu_addr_r), + .io_end_addr_d(dccm_ctl_io_end_addr_d), + .io_end_addr_m(dccm_ctl_io_end_addr_m), + .io_end_addr_r(dccm_ctl_io_end_addr_r), + .io_stbuf_reqvld_any(dccm_ctl_io_stbuf_reqvld_any), + .io_stbuf_addr_any(dccm_ctl_io_stbuf_addr_any), + .io_stbuf_data_any(dccm_ctl_io_stbuf_data_any), + .io_stbuf_ecc_any(dccm_ctl_io_stbuf_ecc_any), + .io_stbuf_fwddata_hi_m(dccm_ctl_io_stbuf_fwddata_hi_m), + .io_stbuf_fwddata_lo_m(dccm_ctl_io_stbuf_fwddata_lo_m), + .io_stbuf_fwdbyteen_lo_m(dccm_ctl_io_stbuf_fwdbyteen_lo_m), + .io_stbuf_fwdbyteen_hi_m(dccm_ctl_io_stbuf_fwdbyteen_hi_m), + .io_lsu_ld_data_corr_r(dccm_ctl_io_lsu_ld_data_corr_r), + .io_lsu_double_ecc_error_r(dccm_ctl_io_lsu_double_ecc_error_r), + .io_single_ecc_error_hi_r(dccm_ctl_io_single_ecc_error_hi_r), + .io_single_ecc_error_lo_r(dccm_ctl_io_single_ecc_error_lo_r), + .io_sec_data_hi_r_ff(dccm_ctl_io_sec_data_hi_r_ff), + .io_sec_data_lo_r_ff(dccm_ctl_io_sec_data_lo_r_ff), + .io_sec_data_ecc_hi_r_ff(dccm_ctl_io_sec_data_ecc_hi_r_ff), + .io_sec_data_ecc_lo_r_ff(dccm_ctl_io_sec_data_ecc_lo_r_ff), + .io_dccm_rdata_hi_m(dccm_ctl_io_dccm_rdata_hi_m), + .io_dccm_rdata_lo_m(dccm_ctl_io_dccm_rdata_lo_m), + .io_dccm_data_ecc_hi_m(dccm_ctl_io_dccm_data_ecc_hi_m), + .io_dccm_data_ecc_lo_m(dccm_ctl_io_dccm_data_ecc_lo_m), + .io_lsu_ld_data_m(dccm_ctl_io_lsu_ld_data_m), + .io_lsu_double_ecc_error_m(dccm_ctl_io_lsu_double_ecc_error_m), + .io_sec_data_hi_m(dccm_ctl_io_sec_data_hi_m), + .io_sec_data_lo_m(dccm_ctl_io_sec_data_lo_m), + .io_store_data_m(dccm_ctl_io_store_data_m), + .io_dma_dccm_wen(dccm_ctl_io_dma_dccm_wen), + .io_dma_pic_wen(dccm_ctl_io_dma_pic_wen), + .io_dma_mem_tag_m(dccm_ctl_io_dma_mem_tag_m), + .io_dma_dccm_wdata_lo(dccm_ctl_io_dma_dccm_wdata_lo), + .io_dma_dccm_wdata_hi(dccm_ctl_io_dma_dccm_wdata_hi), + .io_dma_dccm_wdata_ecc_hi(dccm_ctl_io_dma_dccm_wdata_ecc_hi), + .io_dma_dccm_wdata_ecc_lo(dccm_ctl_io_dma_dccm_wdata_ecc_lo), + .io_store_data_hi_r(dccm_ctl_io_store_data_hi_r), + .io_store_data_lo_r(dccm_ctl_io_store_data_lo_r), + .io_store_datafn_hi_r(dccm_ctl_io_store_datafn_hi_r), + .io_store_datafn_lo_r(dccm_ctl_io_store_datafn_lo_r), + .io_store_data_r(dccm_ctl_io_store_data_r), + .io_ld_single_ecc_error_r(dccm_ctl_io_ld_single_ecc_error_r), + .io_ld_single_ecc_error_r_ff(dccm_ctl_io_ld_single_ecc_error_r_ff), + .io_picm_mask_data_m(dccm_ctl_io_picm_mask_data_m), + .io_lsu_stbuf_commit_any(dccm_ctl_io_lsu_stbuf_commit_any), + .io_lsu_dccm_rden_m(dccm_ctl_io_lsu_dccm_rden_m), + .io_dma_dccm_ctl_dma_mem_addr(dccm_ctl_io_dma_dccm_ctl_dma_mem_addr), + .io_dma_dccm_ctl_dma_mem_wdata(dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata), + .io_dma_dccm_ctl_dccm_dma_rvalid(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid), + .io_dma_dccm_ctl_dccm_dma_ecc_error(dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error), + .io_dma_dccm_ctl_dccm_dma_rtag(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag), + .io_dma_dccm_ctl_dccm_dma_rdata(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata), + .io_dccm_wren(dccm_ctl_io_dccm_wren), + .io_dccm_rden(dccm_ctl_io_dccm_rden), + .io_dccm_wr_addr_lo(dccm_ctl_io_dccm_wr_addr_lo), + .io_dccm_wr_addr_hi(dccm_ctl_io_dccm_wr_addr_hi), + .io_dccm_rd_addr_lo(dccm_ctl_io_dccm_rd_addr_lo), + .io_dccm_rd_addr_hi(dccm_ctl_io_dccm_rd_addr_hi), + .io_dccm_wr_data_lo(dccm_ctl_io_dccm_wr_data_lo), + .io_dccm_wr_data_hi(dccm_ctl_io_dccm_wr_data_hi), + .io_dccm_rd_data_lo(dccm_ctl_io_dccm_rd_data_lo), + .io_dccm_rd_data_hi(dccm_ctl_io_dccm_rd_data_hi), + .io_lsu_pic_picm_wren(dccm_ctl_io_lsu_pic_picm_wren), + .io_lsu_pic_picm_rden(dccm_ctl_io_lsu_pic_picm_rden), + .io_lsu_pic_picm_mken(dccm_ctl_io_lsu_pic_picm_mken), + .io_lsu_pic_picm_rdaddr(dccm_ctl_io_lsu_pic_picm_rdaddr), + .io_lsu_pic_picm_wraddr(dccm_ctl_io_lsu_pic_picm_wraddr), + .io_lsu_pic_picm_wr_data(dccm_ctl_io_lsu_pic_picm_wr_data), + .io_lsu_pic_picm_rd_data(dccm_ctl_io_lsu_pic_picm_rd_data), + .io_scan_mode(dccm_ctl_io_scan_mode) + ); + lsu_stbuf stbuf ( // @[lsu.scala 69:30] + .clock(stbuf_clock), + .reset(stbuf_reset), + .io_lsu_stbuf_c1_clk(stbuf_io_lsu_stbuf_c1_clk), + .io_lsu_free_c2_clk(stbuf_io_lsu_free_c2_clk), + .io_lsu_pkt_m_valid(stbuf_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_store(stbuf_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(stbuf_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_r_valid(stbuf_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(stbuf_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(stbuf_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(stbuf_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_dword(stbuf_io_lsu_pkt_r_bits_dword), + .io_lsu_pkt_r_bits_store(stbuf_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_dma(stbuf_io_lsu_pkt_r_bits_dma), + .io_store_stbuf_reqvld_r(stbuf_io_store_stbuf_reqvld_r), + .io_lsu_commit_r(stbuf_io_lsu_commit_r), + .io_dec_lsu_valid_raw_d(stbuf_io_dec_lsu_valid_raw_d), + .io_store_data_hi_r(stbuf_io_store_data_hi_r), + .io_store_data_lo_r(stbuf_io_store_data_lo_r), + .io_store_datafn_hi_r(stbuf_io_store_datafn_hi_r), + .io_store_datafn_lo_r(stbuf_io_store_datafn_lo_r), + .io_lsu_stbuf_commit_any(stbuf_io_lsu_stbuf_commit_any), + .io_lsu_addr_m(stbuf_io_lsu_addr_m), + .io_lsu_addr_r(stbuf_io_lsu_addr_r), + .io_end_addr_m(stbuf_io_end_addr_m), + .io_end_addr_r(stbuf_io_end_addr_r), + .io_ldst_dual_d(stbuf_io_ldst_dual_d), + .io_ldst_dual_m(stbuf_io_ldst_dual_m), + .io_ldst_dual_r(stbuf_io_ldst_dual_r), + .io_addr_in_dccm_m(stbuf_io_addr_in_dccm_m), + .io_addr_in_dccm_r(stbuf_io_addr_in_dccm_r), + .io_scan_mode(stbuf_io_scan_mode), + .io_stbuf_reqvld_any(stbuf_io_stbuf_reqvld_any), + .io_stbuf_reqvld_flushed_any(stbuf_io_stbuf_reqvld_flushed_any), + .io_stbuf_addr_any(stbuf_io_stbuf_addr_any), + .io_stbuf_data_any(stbuf_io_stbuf_data_any), + .io_lsu_stbuf_full_any(stbuf_io_lsu_stbuf_full_any), + .io_lsu_stbuf_empty_any(stbuf_io_lsu_stbuf_empty_any), + .io_ldst_stbuf_reqvld_r(stbuf_io_ldst_stbuf_reqvld_r), + .io_stbuf_fwddata_hi_m(stbuf_io_stbuf_fwddata_hi_m), + .io_stbuf_fwddata_lo_m(stbuf_io_stbuf_fwddata_lo_m), + .io_stbuf_fwdbyteen_hi_m(stbuf_io_stbuf_fwdbyteen_hi_m), + .io_stbuf_fwdbyteen_lo_m(stbuf_io_stbuf_fwdbyteen_lo_m) + ); + lsu_ecc ecc ( // @[lsu.scala 70:30] + .clock(ecc_clock), + .reset(ecc_reset), + .io_lsu_c2_r_clk(ecc_io_lsu_c2_r_clk), + .io_clk_override(ecc_io_clk_override), + .io_lsu_pkt_m_valid(ecc_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(ecc_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(ecc_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(ecc_io_lsu_pkt_m_bits_dma), + .io_stbuf_data_any(ecc_io_stbuf_data_any), + .io_dec_tlu_core_ecc_disable(ecc_io_dec_tlu_core_ecc_disable), + .io_lsu_addr_m(ecc_io_lsu_addr_m), + .io_end_addr_m(ecc_io_end_addr_m), + .io_dccm_rdata_hi_m(ecc_io_dccm_rdata_hi_m), + .io_dccm_rdata_lo_m(ecc_io_dccm_rdata_lo_m), + .io_dccm_data_ecc_hi_m(ecc_io_dccm_data_ecc_hi_m), + .io_dccm_data_ecc_lo_m(ecc_io_dccm_data_ecc_lo_m), + .io_ld_single_ecc_error_r(ecc_io_ld_single_ecc_error_r), + .io_ld_single_ecc_error_r_ff(ecc_io_ld_single_ecc_error_r_ff), + .io_lsu_dccm_rden_m(ecc_io_lsu_dccm_rden_m), + .io_addr_in_dccm_m(ecc_io_addr_in_dccm_m), + .io_dma_dccm_wen(ecc_io_dma_dccm_wen), + .io_dma_dccm_wdata_lo(ecc_io_dma_dccm_wdata_lo), + .io_dma_dccm_wdata_hi(ecc_io_dma_dccm_wdata_hi), + .io_scan_mode(ecc_io_scan_mode), + .io_sec_data_hi_r(ecc_io_sec_data_hi_r), + .io_sec_data_lo_r(ecc_io_sec_data_lo_r), + .io_sec_data_hi_m(ecc_io_sec_data_hi_m), + .io_sec_data_lo_m(ecc_io_sec_data_lo_m), + .io_sec_data_hi_r_ff(ecc_io_sec_data_hi_r_ff), + .io_sec_data_lo_r_ff(ecc_io_sec_data_lo_r_ff), + .io_dma_dccm_wdata_ecc_hi(ecc_io_dma_dccm_wdata_ecc_hi), + .io_dma_dccm_wdata_ecc_lo(ecc_io_dma_dccm_wdata_ecc_lo), + .io_stbuf_ecc_any(ecc_io_stbuf_ecc_any), + .io_sec_data_ecc_hi_r_ff(ecc_io_sec_data_ecc_hi_r_ff), + .io_sec_data_ecc_lo_r_ff(ecc_io_sec_data_ecc_lo_r_ff), + .io_single_ecc_error_hi_r(ecc_io_single_ecc_error_hi_r), + .io_single_ecc_error_lo_r(ecc_io_single_ecc_error_lo_r), + .io_lsu_single_ecc_error_r(ecc_io_lsu_single_ecc_error_r), + .io_lsu_double_ecc_error_r(ecc_io_lsu_double_ecc_error_r), + .io_lsu_single_ecc_error_m(ecc_io_lsu_single_ecc_error_m), + .io_lsu_double_ecc_error_m(ecc_io_lsu_double_ecc_error_m) + ); + lsu_trigger trigger ( // @[lsu.scala 71:30] + .io_trigger_pkt_any_0_select(trigger_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_pkt(trigger_io_trigger_pkt_any_0_match_pkt), + .io_trigger_pkt_any_0_store(trigger_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(trigger_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_m(trigger_io_trigger_pkt_any_0_m), + .io_trigger_pkt_any_0_tdata2(trigger_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(trigger_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_pkt(trigger_io_trigger_pkt_any_1_match_pkt), + .io_trigger_pkt_any_1_store(trigger_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(trigger_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_m(trigger_io_trigger_pkt_any_1_m), + .io_trigger_pkt_any_1_tdata2(trigger_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(trigger_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_pkt(trigger_io_trigger_pkt_any_2_match_pkt), + .io_trigger_pkt_any_2_store(trigger_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(trigger_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_m(trigger_io_trigger_pkt_any_2_m), + .io_trigger_pkt_any_2_tdata2(trigger_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(trigger_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_pkt(trigger_io_trigger_pkt_any_3_match_pkt), + .io_trigger_pkt_any_3_store(trigger_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(trigger_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_m(trigger_io_trigger_pkt_any_3_m), + .io_trigger_pkt_any_3_tdata2(trigger_io_trigger_pkt_any_3_tdata2), + .io_lsu_pkt_m_valid(trigger_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_half(trigger_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(trigger_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(trigger_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(trigger_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(trigger_io_lsu_pkt_m_bits_dma), + .io_lsu_addr_m(trigger_io_lsu_addr_m), + .io_store_data_m(trigger_io_store_data_m), + .io_lsu_trigger_match_m(trigger_io_lsu_trigger_match_m) + ); + lsu_clkdomain clkdomain ( // @[lsu.scala 72:30] + .clock(clkdomain_clock), + .reset(clkdomain_reset), + .io_active_clk(clkdomain_io_active_clk), + .io_clk_override(clkdomain_io_clk_override), + .io_dec_tlu_force_halt(clkdomain_io_dec_tlu_force_halt), + .io_dma_dccm_req(clkdomain_io_dma_dccm_req), + .io_ldst_stbuf_reqvld_r(clkdomain_io_ldst_stbuf_reqvld_r), + .io_stbuf_reqvld_any(clkdomain_io_stbuf_reqvld_any), + .io_stbuf_reqvld_flushed_any(clkdomain_io_stbuf_reqvld_flushed_any), + .io_lsu_busreq_r(clkdomain_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(clkdomain_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_empty_any(clkdomain_io_lsu_bus_buffer_empty_any), + .io_lsu_stbuf_empty_any(clkdomain_io_lsu_stbuf_empty_any), + .io_lsu_bus_clk_en(clkdomain_io_lsu_bus_clk_en), + .io_lsu_p_valid(clkdomain_io_lsu_p_valid), + .io_lsu_pkt_d_valid(clkdomain_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_store(clkdomain_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_m_valid(clkdomain_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_store(clkdomain_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_r_valid(clkdomain_io_lsu_pkt_r_valid), + .io_lsu_bus_obuf_c1_clken(clkdomain_io_lsu_bus_obuf_c1_clken), + .io_lsu_busm_clken(clkdomain_io_lsu_busm_clken), + .io_lsu_c1_m_clk(clkdomain_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(clkdomain_io_lsu_c1_r_clk), + .io_lsu_c2_m_clk(clkdomain_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(clkdomain_io_lsu_c2_r_clk), + .io_lsu_store_c1_m_clk(clkdomain_io_lsu_store_c1_m_clk), + .io_lsu_store_c1_r_clk(clkdomain_io_lsu_store_c1_r_clk), + .io_lsu_stbuf_c1_clk(clkdomain_io_lsu_stbuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(clkdomain_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_ibuf_c1_clk(clkdomain_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_buf_c1_clk(clkdomain_io_lsu_bus_buf_c1_clk), + .io_lsu_busm_clk(clkdomain_io_lsu_busm_clk), + .io_lsu_free_c2_clk(clkdomain_io_lsu_free_c2_clk), + .io_scan_mode(clkdomain_io_scan_mode) + ); + lsu_bus_intf bus_intf ( // @[lsu.scala 73:30] + .clock(bus_intf_clock), + .reset(bus_intf_reset), + .io_scan_mode(bus_intf_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_intf_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_lsu_c1_r_clk(bus_intf_io_lsu_c1_r_clk), + .io_lsu_c2_r_clk(bus_intf_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_intf_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_intf_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_intf_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_intf_io_lsu_free_c2_clk), + .io_active_clk(bus_intf_io_active_clk), + .io_lsu_busm_clk(bus_intf_io_lsu_busm_clk), + .io_axi_aw_ready(bus_intf_io_axi_aw_ready), + .io_axi_aw_valid(bus_intf_io_axi_aw_valid), + .io_axi_aw_bits_id(bus_intf_io_axi_aw_bits_id), + .io_axi_aw_bits_addr(bus_intf_io_axi_aw_bits_addr), + .io_axi_aw_bits_region(bus_intf_io_axi_aw_bits_region), + .io_axi_aw_bits_size(bus_intf_io_axi_aw_bits_size), + .io_axi_aw_bits_cache(bus_intf_io_axi_aw_bits_cache), + .io_axi_w_ready(bus_intf_io_axi_w_ready), + .io_axi_w_valid(bus_intf_io_axi_w_valid), + .io_axi_w_bits_data(bus_intf_io_axi_w_bits_data), + .io_axi_w_bits_strb(bus_intf_io_axi_w_bits_strb), + .io_axi_b_valid(bus_intf_io_axi_b_valid), + .io_axi_b_bits_resp(bus_intf_io_axi_b_bits_resp), + .io_axi_b_bits_id(bus_intf_io_axi_b_bits_id), + .io_axi_ar_ready(bus_intf_io_axi_ar_ready), + .io_axi_ar_valid(bus_intf_io_axi_ar_valid), + .io_axi_ar_bits_id(bus_intf_io_axi_ar_bits_id), + .io_axi_ar_bits_addr(bus_intf_io_axi_ar_bits_addr), + .io_axi_ar_bits_region(bus_intf_io_axi_ar_bits_region), + .io_axi_ar_bits_size(bus_intf_io_axi_ar_bits_size), + .io_axi_ar_bits_cache(bus_intf_io_axi_ar_bits_cache), + .io_axi_r_valid(bus_intf_io_axi_r_valid), + .io_axi_r_bits_id(bus_intf_io_axi_r_bits_id), + .io_axi_r_bits_data(bus_intf_io_axi_r_bits_data), + .io_axi_r_bits_resp(bus_intf_io_axi_r_bits_resp), + .io_dec_lsu_valid_raw_d(bus_intf_io_dec_lsu_valid_raw_d), + .io_lsu_busreq_m(bus_intf_io_lsu_busreq_m), + .io_lsu_pkt_m_valid(bus_intf_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_by(bus_intf_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(bus_intf_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(bus_intf_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(bus_intf_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_valid(bus_intf_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(bus_intf_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_intf_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_intf_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_intf_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_intf_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_intf_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_m(bus_intf_io_lsu_addr_m), + .io_lsu_addr_r(bus_intf_io_lsu_addr_r), + .io_end_addr_m(bus_intf_io_end_addr_m), + .io_end_addr_r(bus_intf_io_end_addr_r), + .io_ldst_dual_d(bus_intf_io_ldst_dual_d), + .io_ldst_dual_m(bus_intf_io_ldst_dual_m), + .io_ldst_dual_r(bus_intf_io_ldst_dual_r), + .io_store_data_r(bus_intf_io_store_data_r), + .io_dec_tlu_force_halt(bus_intf_io_dec_tlu_force_halt), + .io_lsu_commit_r(bus_intf_io_lsu_commit_r), + .io_is_sideeffects_m(bus_intf_io_is_sideeffects_m), + .io_flush_m_up(bus_intf_io_flush_m_up), + .io_flush_r(bus_intf_io_flush_r), + .io_lsu_busreq_r(bus_intf_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_intf_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_intf_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_intf_io_lsu_bus_buffer_empty_any), + .io_bus_read_data_m(bus_intf_io_bus_read_data_m), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data), + .io_lsu_bus_clk_en(bus_intf_io_lsu_bus_clk_en) + ); + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid; // @[lsu.scala 211:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error; // @[lsu.scala 211:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag; // @[lsu.scala 211:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata; // @[lsu.scala 211:27] + assign io_lsu_dma_dccm_ready = ~_T_8; // @[lsu.scala 87:25] + assign io_lsu_pic_picm_wren = dccm_ctl_io_lsu_pic_picm_wren; // @[lsu.scala 213:14] + assign io_lsu_pic_picm_rden = dccm_ctl_io_lsu_pic_picm_rden; // @[lsu.scala 213:14] + assign io_lsu_pic_picm_mken = dccm_ctl_io_lsu_pic_picm_mken; // @[lsu.scala 213:14] + assign io_lsu_pic_picm_rdaddr = dccm_ctl_io_lsu_pic_picm_rdaddr; // @[lsu.scala 213:14] + assign io_lsu_pic_picm_wraddr = dccm_ctl_io_lsu_pic_picm_wraddr; // @[lsu.scala 213:14] + assign io_lsu_pic_picm_wr_data = dccm_ctl_io_lsu_pic_picm_wr_data; // @[lsu.scala 213:14] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu.scala 305:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu.scala 305:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 305:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 305:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 305:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 305:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu.scala 305:26] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu.scala 335:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu.scala 335:27] + assign io_dccm_wren = dccm_ctl_io_dccm_wren; // @[lsu.scala 212:11] + assign io_dccm_rden = dccm_ctl_io_dccm_rden; // @[lsu.scala 212:11] + assign io_dccm_wr_addr_lo = dccm_ctl_io_dccm_wr_addr_lo; // @[lsu.scala 212:11] + assign io_dccm_wr_addr_hi = dccm_ctl_io_dccm_wr_addr_hi; // @[lsu.scala 212:11] + assign io_dccm_rd_addr_lo = dccm_ctl_io_dccm_rd_addr_lo; // @[lsu.scala 212:11] + assign io_dccm_rd_addr_hi = dccm_ctl_io_dccm_rd_addr_hi; // @[lsu.scala 212:11] + assign io_dccm_wr_data_lo = dccm_ctl_io_dccm_wr_data_lo; // @[lsu.scala 212:11] + assign io_dccm_wr_data_hi = dccm_ctl_io_dccm_wr_data_hi; // @[lsu.scala 212:11] + assign io_lsu_tlu_lsu_pmu_load_external_m = _T_63 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 115:39] + assign io_lsu_tlu_lsu_pmu_store_external_m = _T_65 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 116:39] + assign io_axi_aw_valid = bus_intf_io_axi_aw_valid; // @[lsu.scala 336:49] + assign io_axi_aw_bits_id = bus_intf_io_axi_aw_bits_id; // @[lsu.scala 336:49] + assign io_axi_aw_bits_addr = bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 336:49] + assign io_axi_aw_bits_region = bus_intf_io_axi_aw_bits_region; // @[lsu.scala 336:49] + assign io_axi_aw_bits_len = 8'h0; // @[lsu.scala 336:49] + assign io_axi_aw_bits_size = bus_intf_io_axi_aw_bits_size; // @[lsu.scala 336:49] + assign io_axi_aw_bits_burst = 2'h1; // @[lsu.scala 336:49] + assign io_axi_aw_bits_lock = 1'h0; // @[lsu.scala 336:49] + assign io_axi_aw_bits_cache = bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 336:49] + assign io_axi_aw_bits_prot = 3'h1; // @[lsu.scala 336:49] + assign io_axi_aw_bits_qos = 4'h0; // @[lsu.scala 336:49] + assign io_axi_w_valid = bus_intf_io_axi_w_valid; // @[lsu.scala 336:49] + assign io_axi_w_bits_data = bus_intf_io_axi_w_bits_data; // @[lsu.scala 336:49] + assign io_axi_w_bits_strb = bus_intf_io_axi_w_bits_strb; // @[lsu.scala 336:49] + assign io_axi_w_bits_last = 1'h1; // @[lsu.scala 336:49] + assign io_axi_b_ready = 1'h1; // @[lsu.scala 336:49] + assign io_axi_ar_valid = bus_intf_io_axi_ar_valid; // @[lsu.scala 336:49] + assign io_axi_ar_bits_id = bus_intf_io_axi_ar_bits_id; // @[lsu.scala 336:49] + assign io_axi_ar_bits_addr = bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 336:49] + assign io_axi_ar_bits_region = bus_intf_io_axi_ar_bits_region; // @[lsu.scala 336:49] + assign io_axi_ar_bits_len = 8'h0; // @[lsu.scala 336:49] + assign io_axi_ar_bits_size = bus_intf_io_axi_ar_bits_size; // @[lsu.scala 336:49] + assign io_axi_ar_bits_burst = 2'h1; // @[lsu.scala 336:49] + assign io_axi_ar_bits_lock = 1'h0; // @[lsu.scala 336:49] + assign io_axi_ar_bits_cache = bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 336:49] + assign io_axi_ar_bits_prot = 3'h1; // @[lsu.scala 336:49] + assign io_axi_ar_bits_qos = 4'h0; // @[lsu.scala 336:49] + assign io_axi_r_ready = 1'h1; // @[lsu.scala 336:49] + assign io_lsu_result_m = lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 66:19] + assign io_lsu_result_corr_r = lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 67:24] + assign io_lsu_load_stall_any = bus_intf_io_lsu_bus_buffer_full_any | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 80:25] + assign io_lsu_store_stall_any = _T | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 79:26] + assign io_lsu_fastint_stall_any = dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 81:28] + assign io_lsu_idle_any = _T_24 & bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 101:19] + assign io_lsu_active = _T_27 | _T_28; // @[lsu.scala 102:17] + assign io_lsu_fir_addr = lsu_lsc_ctl_io_lsu_fir_addr; // @[lsu.scala 151:49] + assign io_lsu_fir_error = lsu_lsc_ctl_io_lsu_fir_error; // @[lsu.scala 152:49] + assign io_lsu_single_ecc_error_incr = lsu_lsc_ctl_io_lsu_single_ecc_error_incr; // @[lsu.scala 149:49] + assign io_lsu_error_pkt_r_valid = lsu_lsc_ctl_io_lsu_error_pkt_r_valid; // @[lsu.scala 150:49] + assign io_lsu_error_pkt_r_bits_single_ecc_error = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error; // @[lsu.scala 150:49] + assign io_lsu_error_pkt_r_bits_inst_type = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type; // @[lsu.scala 150:49] + assign io_lsu_error_pkt_r_bits_exc_type = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type; // @[lsu.scala 150:49] + assign io_lsu_error_pkt_r_bits_mscause = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause; // @[lsu.scala 150:49] + assign io_lsu_error_pkt_r_bits_addr = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr; // @[lsu.scala 150:49] + assign io_lsu_pmu_misaligned_m = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_61; // @[lsu.scala 114:27] + assign io_lsu_trigger_match_m = trigger_io_lsu_trigger_match_m; // @[lsu.scala 280:50] + assign lsu_lsc_ctl_clock = clock; + assign lsu_lsc_ctl_reset = reset; + assign lsu_lsc_ctl_io_clk_override = io_clk_override; // @[lsu.scala 120:46] + assign lsu_lsc_ctl_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 121:46] + assign lsu_lsc_ctl_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 122:46] + assign lsu_lsc_ctl_io_lsu_c2_m_clk = clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 123:46] + assign lsu_lsc_ctl_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 124:46] + assign lsu_lsc_ctl_io_lsu_store_c1_m_clk = clkdomain_io_lsu_store_c1_m_clk; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_ld_data_corr_r = dccm_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 127:46] + assign lsu_lsc_ctl_io_lsu_single_ecc_error_r = ecc_io_lsu_single_ecc_error_r; // @[lsu.scala 128:46] + assign lsu_lsc_ctl_io_lsu_double_ecc_error_r = ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 129:46] + assign lsu_lsc_ctl_io_lsu_ld_data_m = dccm_ctl_io_lsu_ld_data_m; // @[lsu.scala 130:46] + assign lsu_lsc_ctl_io_lsu_single_ecc_error_m = ecc_io_lsu_single_ecc_error_m; // @[lsu.scala 131:46] + assign lsu_lsc_ctl_io_lsu_double_ecc_error_m = ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 132:46] + assign lsu_lsc_ctl_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 133:46] + assign lsu_lsc_ctl_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 134:46] + assign lsu_lsc_ctl_io_ldst_dual_d = lsu_lsc_ctl_io_lsu_addr_d[2] != lsu_lsc_ctl_io_end_addr_d[2]; // @[lsu.scala 135:46] + assign lsu_lsc_ctl_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 136:46] + assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d = io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 138:46] + assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d = io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 138:46] + assign lsu_lsc_ctl_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_fast_int = io_lsu_p_bits_fast_int; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_by = io_lsu_p_bits_by; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_half = io_lsu_p_bits_half; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_word = io_lsu_p_bits_word; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_dword = io_lsu_p_bits_dword; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_load = io_lsu_p_bits_load; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store = io_lsu_p_bits_store; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_unsign = io_lsu_p_bits_unsign; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_dma = io_lsu_p_bits_dma; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d = io_lsu_p_bits_store_data_bypass_d; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d = io_lsu_p_bits_load_ldst_bypass_d; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m = io_lsu_p_bits_store_data_bypass_m; // @[lsu.scala 139:46] + assign lsu_lsc_ctl_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 140:46] + assign lsu_lsc_ctl_io_dec_lsu_offset_d = io_dec_lsu_offset_d; // @[lsu.scala 141:46] + assign lsu_lsc_ctl_io_picm_mask_data_m = dccm_ctl_io_picm_mask_data_m; // @[lsu.scala 142:46] + assign lsu_lsc_ctl_io_bus_read_data_m = bus_intf_io_bus_read_data_m; // @[lsu.scala 143:46] + assign lsu_lsc_ctl_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu.scala 145:46] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req = io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 144:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[lsu.scala 144:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[lsu.scala 144:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 144:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[lsu.scala 144:38] + assign lsu_lsc_ctl_io_scan_mode = io_scan_mode; // @[lsu.scala 146:46] + assign dccm_ctl_clock = clock; + assign dccm_ctl_reset = reset; + assign dccm_ctl_io_clk_override = io_clk_override; // @[lsu.scala 155:46] + assign dccm_ctl_io_lsu_c2_m_clk = clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 158:46] + assign dccm_ctl_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 160:46] + assign dccm_ctl_io_lsu_store_c1_r_clk = clkdomain_io_lsu_store_c1_r_clk; // @[lsu.scala 162:46] + assign dccm_ctl_io_lsu_pkt_d_valid = lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 163:46] + assign dccm_ctl_io_lsu_pkt_d_bits_word = lsu_lsc_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 163:46] + assign dccm_ctl_io_lsu_pkt_d_bits_dword = lsu_lsc_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 163:46] + assign dccm_ctl_io_lsu_pkt_d_bits_load = lsu_lsc_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 163:46] + assign dccm_ctl_io_lsu_pkt_d_bits_store = lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 163:46] + assign dccm_ctl_io_lsu_pkt_d_bits_dma = lsu_lsc_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 163:46] + assign dccm_ctl_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_m_bits_by = lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 164:46] + assign dccm_ctl_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 165:46] + assign dccm_ctl_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 165:46] + assign dccm_ctl_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 165:46] + assign dccm_ctl_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 165:46] + assign dccm_ctl_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 165:46] + assign dccm_ctl_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 165:46] + assign dccm_ctl_io_lsu_pkt_r_bits_dma = lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 165:46] + assign dccm_ctl_io_addr_in_dccm_d = lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 166:46] + assign dccm_ctl_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 167:46] + assign dccm_ctl_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 168:46] + assign dccm_ctl_io_addr_in_pic_d = lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 169:46] + assign dccm_ctl_io_addr_in_pic_m = lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 170:46] + assign dccm_ctl_io_addr_in_pic_r = lsu_lsc_ctl_io_addr_in_pic_r; // @[lsu.scala 171:46] + assign dccm_ctl_io_lsu_raw_fwd_lo_r = lsu_raw_fwd_lo_r; // @[lsu.scala 172:46] + assign dccm_ctl_io_lsu_raw_fwd_hi_r = lsu_raw_fwd_hi_r; // @[lsu.scala 173:46] + assign dccm_ctl_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 174:46] + assign dccm_ctl_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 156:46] + assign dccm_ctl_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 175:46] + assign dccm_ctl_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 176:46] + assign dccm_ctl_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 177:46] + assign dccm_ctl_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d[15:0]; // @[lsu.scala 178:46] + assign dccm_ctl_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m[15:0]; // @[lsu.scala 179:46] + assign dccm_ctl_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r[15:0]; // @[lsu.scala 180:46] + assign dccm_ctl_io_stbuf_reqvld_any = stbuf_io_stbuf_reqvld_any; // @[lsu.scala 181:46] + assign dccm_ctl_io_stbuf_addr_any = stbuf_io_stbuf_addr_any; // @[lsu.scala 182:46] + assign dccm_ctl_io_stbuf_data_any = stbuf_io_stbuf_data_any; // @[lsu.scala 183:46] + assign dccm_ctl_io_stbuf_ecc_any = ecc_io_stbuf_ecc_any; // @[lsu.scala 184:46] + assign dccm_ctl_io_stbuf_fwddata_hi_m = stbuf_io_stbuf_fwddata_hi_m; // @[lsu.scala 185:46] + assign dccm_ctl_io_stbuf_fwddata_lo_m = stbuf_io_stbuf_fwddata_lo_m; // @[lsu.scala 186:46] + assign dccm_ctl_io_stbuf_fwdbyteen_lo_m = stbuf_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 187:46] + assign dccm_ctl_io_stbuf_fwdbyteen_hi_m = stbuf_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 188:46] + assign dccm_ctl_io_lsu_double_ecc_error_r = ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 189:46] + assign dccm_ctl_io_single_ecc_error_hi_r = ecc_io_single_ecc_error_hi_r; // @[lsu.scala 190:46] + assign dccm_ctl_io_single_ecc_error_lo_r = ecc_io_single_ecc_error_lo_r; // @[lsu.scala 191:46] + assign dccm_ctl_io_sec_data_hi_r_ff = ecc_io_sec_data_hi_r_ff; // @[lsu.scala 194:46] + assign dccm_ctl_io_sec_data_lo_r_ff = ecc_io_sec_data_lo_r_ff; // @[lsu.scala 195:46] + assign dccm_ctl_io_sec_data_ecc_hi_r_ff = ecc_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 196:46] + assign dccm_ctl_io_sec_data_ecc_lo_r_ff = ecc_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 197:46] + assign dccm_ctl_io_lsu_double_ecc_error_m = ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 198:46] + assign dccm_ctl_io_sec_data_hi_m = ecc_io_sec_data_hi_m; // @[lsu.scala 199:46] + assign dccm_ctl_io_sec_data_lo_m = ecc_io_sec_data_lo_m; // @[lsu.scala 200:46] + assign dccm_ctl_io_store_data_m = lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 201:46] + assign dccm_ctl_io_dma_dccm_wen = _T_11 & io_lsu_dma_dma_lsc_ctl_dma_mem_sz[1]; // @[lsu.scala 202:46] + assign dccm_ctl_io_dma_pic_wen = _T_10 & lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 203:46] + assign dccm_ctl_io_dma_mem_tag_m = dma_mem_tag_m; // @[lsu.scala 204:46] + assign dccm_ctl_io_dma_dccm_wdata_lo = dma_dccm_wdata[31:0]; // @[lsu.scala 205:46] + assign dccm_ctl_io_dma_dccm_wdata_hi = dma_dccm_wdata[63:32]; // @[lsu.scala 206:46] + assign dccm_ctl_io_dma_dccm_wdata_ecc_hi = ecc_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 207:46] + assign dccm_ctl_io_dma_dccm_wdata_ecc_lo = ecc_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 208:46] + assign dccm_ctl_io_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[lsu.scala 211:27] + assign dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[lsu.scala 211:27] + assign dccm_ctl_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[lsu.scala 212:11] + assign dccm_ctl_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[lsu.scala 212:11] + assign dccm_ctl_io_lsu_pic_picm_rd_data = io_lsu_pic_picm_rd_data; // @[lsu.scala 213:14] + assign dccm_ctl_io_scan_mode = io_scan_mode; // @[lsu.scala 209:46] + assign stbuf_clock = clock; + assign stbuf_reset = reset; + assign stbuf_io_lsu_stbuf_c1_clk = clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 219:54] + assign stbuf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 220:54] + assign stbuf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 221:48] + assign stbuf_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 221:48] + assign stbuf_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 221:48] + assign stbuf_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 222:48] + assign stbuf_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 222:48] + assign stbuf_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 222:48] + assign stbuf_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 222:48] + assign stbuf_io_lsu_pkt_r_bits_dword = lsu_lsc_ctl_io_lsu_pkt_r_bits_dword; // @[lsu.scala 222:48] + assign stbuf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 222:48] + assign stbuf_io_lsu_pkt_r_bits_dma = lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 222:48] + assign stbuf_io_store_stbuf_reqvld_r = _T_33 & _T_38; // @[lsu.scala 223:48] + assign stbuf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 224:49] + assign stbuf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 225:49] + assign stbuf_io_store_data_hi_r = dccm_ctl_io_store_data_hi_r; // @[lsu.scala 226:62] + assign stbuf_io_store_data_lo_r = dccm_ctl_io_store_data_lo_r; // @[lsu.scala 227:62] + assign stbuf_io_store_datafn_hi_r = dccm_ctl_io_store_datafn_hi_r; // @[lsu.scala 228:49] + assign stbuf_io_store_datafn_lo_r = dccm_ctl_io_store_datafn_lo_r; // @[lsu.scala 229:56] + assign stbuf_io_lsu_stbuf_commit_any = dccm_ctl_io_lsu_stbuf_commit_any; // @[lsu.scala 230:52] + assign stbuf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 232:64] + assign stbuf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 233:64] + assign stbuf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 235:64] + assign stbuf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 236:64] + assign stbuf_io_ldst_dual_d = lsu_lsc_ctl_io_lsu_addr_d[2] != lsu_lsc_ctl_io_end_addr_d[2]; // @[lsu.scala 216:50] + assign stbuf_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 217:50] + assign stbuf_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != lsu_lsc_ctl_io_end_addr_r[2]; // @[lsu.scala 218:50] + assign stbuf_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 237:49] + assign stbuf_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 238:56] + assign stbuf_io_scan_mode = io_scan_mode; // @[lsu.scala 240:49] + assign ecc_clock = clock; + assign ecc_reset = reset; + assign ecc_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 245:52] + assign ecc_io_clk_override = io_clk_override; // @[lsu.scala 244:50] + assign ecc_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 246:52] + assign ecc_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 246:52] + assign ecc_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 246:52] + assign ecc_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 246:52] + assign ecc_io_stbuf_data_any = stbuf_io_stbuf_data_any; // @[lsu.scala 248:54] + assign ecc_io_dec_tlu_core_ecc_disable = io_dec_tlu_core_ecc_disable; // @[lsu.scala 249:50] + assign ecc_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 254:58] + assign ecc_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m[15:0]; // @[lsu.scala 255:58] + assign ecc_io_dccm_rdata_hi_m = dccm_ctl_io_dccm_rdata_hi_m; // @[lsu.scala 258:54] + assign ecc_io_dccm_rdata_lo_m = dccm_ctl_io_dccm_rdata_lo_m; // @[lsu.scala 259:54] + assign ecc_io_dccm_data_ecc_hi_m = dccm_ctl_io_dccm_data_ecc_hi_m; // @[lsu.scala 262:50] + assign ecc_io_dccm_data_ecc_lo_m = dccm_ctl_io_dccm_data_ecc_lo_m; // @[lsu.scala 263:50] + assign ecc_io_ld_single_ecc_error_r = dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 264:50] + assign ecc_io_ld_single_ecc_error_r_ff = dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 265:50] + assign ecc_io_lsu_dccm_rden_m = dccm_ctl_io_lsu_dccm_rden_m; // @[lsu.scala 266:50] + assign ecc_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 267:50] + assign ecc_io_dma_dccm_wen = _T_11 & io_lsu_dma_dma_lsc_ctl_dma_mem_sz[1]; // @[lsu.scala 268:50] + assign ecc_io_dma_dccm_wdata_lo = dma_dccm_wdata[31:0]; // @[lsu.scala 269:50] + assign ecc_io_dma_dccm_wdata_hi = dma_dccm_wdata[63:32]; // @[lsu.scala 270:50] + assign ecc_io_scan_mode = io_scan_mode; // @[lsu.scala 271:50] + assign trigger_io_trigger_pkt_any_0_select = io_trigger_pkt_any_0_select; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_0_match_pkt = io_trigger_pkt_any_0_match_pkt; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_0_store = io_trigger_pkt_any_0_store; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_0_load = io_trigger_pkt_any_0_load; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_0_m = io_trigger_pkt_any_0_m; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_0_tdata2 = io_trigger_pkt_any_0_tdata2; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_1_select = io_trigger_pkt_any_1_select; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_1_match_pkt = io_trigger_pkt_any_1_match_pkt; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_1_store = io_trigger_pkt_any_1_store; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_1_load = io_trigger_pkt_any_1_load; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_1_m = io_trigger_pkt_any_1_m; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_1_tdata2 = io_trigger_pkt_any_1_tdata2; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_2_select = io_trigger_pkt_any_2_select; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_2_match_pkt = io_trigger_pkt_any_2_match_pkt; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_2_store = io_trigger_pkt_any_2_store; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_2_load = io_trigger_pkt_any_2_load; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_2_m = io_trigger_pkt_any_2_m; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_2_tdata2 = io_trigger_pkt_any_2_tdata2; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_3_select = io_trigger_pkt_any_3_select; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_3_match_pkt = io_trigger_pkt_any_3_match_pkt; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_3_store = io_trigger_pkt_any_3_store; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_3_load = io_trigger_pkt_any_3_load; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_3_m = io_trigger_pkt_any_3_m; // @[lsu.scala 275:50] + assign trigger_io_trigger_pkt_any_3_tdata2 = io_trigger_pkt_any_3_tdata2; // @[lsu.scala 275:50] + assign trigger_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 276:50] + assign trigger_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 276:50] + assign trigger_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 276:50] + assign trigger_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 276:50] + assign trigger_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 276:50] + assign trigger_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 276:50] + assign trigger_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 277:50] + assign trigger_io_store_data_m = lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 278:50] + assign clkdomain_clock = clock; + assign clkdomain_reset = reset; + assign clkdomain_io_active_clk = io_active_clk; // @[lsu.scala 284:50] + assign clkdomain_io_clk_override = io_clk_override; // @[lsu.scala 285:50] + assign clkdomain_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu.scala 286:50] + assign clkdomain_io_dma_dccm_req = io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 287:50] + assign clkdomain_io_ldst_stbuf_reqvld_r = stbuf_io_ldst_stbuf_reqvld_r; // @[lsu.scala 288:50] + assign clkdomain_io_stbuf_reqvld_any = stbuf_io_stbuf_reqvld_any; // @[lsu.scala 289:50] + assign clkdomain_io_stbuf_reqvld_flushed_any = stbuf_io_stbuf_reqvld_flushed_any; // @[lsu.scala 290:50] + assign clkdomain_io_lsu_busreq_r = bus_intf_io_lsu_busreq_r; // @[lsu.scala 291:50] + assign clkdomain_io_lsu_bus_buffer_pend_any = bus_intf_io_lsu_bus_buffer_pend_any; // @[lsu.scala 292:50] + assign clkdomain_io_lsu_bus_buffer_empty_any = bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 293:50] + assign clkdomain_io_lsu_stbuf_empty_any = stbuf_io_lsu_stbuf_empty_any; // @[lsu.scala 294:50] + assign clkdomain_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu.scala 295:50] + assign clkdomain_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 296:50] + assign clkdomain_io_lsu_pkt_d_valid = lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 297:50] + assign clkdomain_io_lsu_pkt_d_bits_store = lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 297:50] + assign clkdomain_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 298:50] + assign clkdomain_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 298:50] + assign clkdomain_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 299:50] + assign clkdomain_io_scan_mode = io_scan_mode; // @[lsu.scala 300:50] + assign bus_intf_clock = clock; + assign bus_intf_reset = reset; + assign bus_intf_io_scan_mode = io_scan_mode; // @[lsu.scala 304:49] + assign bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 305:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 305:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 305:26] + assign bus_intf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 307:49] + assign bus_intf_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 308:49] + assign bus_intf_io_lsu_bus_ibuf_c1_clk = clkdomain_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 311:49] + assign bus_intf_io_lsu_bus_obuf_c1_clk = clkdomain_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 312:49] + assign bus_intf_io_lsu_bus_buf_c1_clk = clkdomain_io_lsu_bus_buf_c1_clk; // @[lsu.scala 313:49] + assign bus_intf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 314:49] + assign bus_intf_io_active_clk = io_active_clk; // @[lsu.scala 315:49] + assign bus_intf_io_lsu_busm_clk = clkdomain_io_lsu_busm_clk; // @[lsu.scala 316:49] + assign bus_intf_io_axi_aw_ready = io_axi_aw_ready; // @[lsu.scala 336:49] + assign bus_intf_io_axi_w_ready = io_axi_w_ready; // @[lsu.scala 336:49] + assign bus_intf_io_axi_b_valid = io_axi_b_valid; // @[lsu.scala 336:49] + assign bus_intf_io_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu.scala 336:49] + assign bus_intf_io_axi_b_bits_id = io_axi_b_bits_id; // @[lsu.scala 336:49] + assign bus_intf_io_axi_ar_ready = io_axi_ar_ready; // @[lsu.scala 336:49] + assign bus_intf_io_axi_r_valid = io_axi_r_valid; // @[lsu.scala 336:49] + assign bus_intf_io_axi_r_bits_id = io_axi_r_bits_id; // @[lsu.scala 336:49] + assign bus_intf_io_axi_r_bits_data = io_axi_r_bits_data; // @[lsu.scala 336:49] + assign bus_intf_io_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu.scala 336:49] + assign bus_intf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 317:49] + assign bus_intf_io_lsu_busreq_m = _T_48 & _T_49; // @[lsu.scala 318:49] + assign bus_intf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 327:49] + assign bus_intf_io_lsu_pkt_m_bits_by = lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 327:49] + assign bus_intf_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 327:49] + assign bus_intf_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 327:49] + assign bus_intf_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 327:49] + assign bus_intf_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_pkt_r_bits_unsign = lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 328:49] + assign bus_intf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 322:49] + assign bus_intf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 323:49] + assign bus_intf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 324:49] + assign bus_intf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 325:49] + assign bus_intf_io_ldst_dual_d = lsu_lsc_ctl_io_lsu_addr_d[2] != lsu_lsc_ctl_io_end_addr_d[2]; // @[lsu.scala 319:49] + assign bus_intf_io_ldst_dual_m = lsu_lsc_ctl_io_lsu_addr_m[2] != lsu_lsc_ctl_io_end_addr_m[2]; // @[lsu.scala 320:49] + assign bus_intf_io_ldst_dual_r = lsu_lsc_ctl_io_lsu_addr_r[2] != lsu_lsc_ctl_io_end_addr_r[2]; // @[lsu.scala 321:49] + assign bus_intf_io_store_data_r = dccm_ctl_io_store_data_r; // @[lsu.scala 326:49] + assign bus_intf_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu.scala 329:49] + assign bus_intf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 330:49] + assign bus_intf_io_is_sideeffects_m = lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 331:49] + assign bus_intf_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 332:49] + assign bus_intf_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 333:49] + assign bus_intf_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu.scala 337:49] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dma_mem_tag_m = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_raw_fwd_hi_r = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_raw_fwd_lo_r = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dma_mem_tag_m = 3'h0; + end + if (reset) begin + lsu_raw_fwd_hi_r = 1'h0; + end + if (reset) begin + lsu_raw_fwd_lo_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clkdomain_io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + dma_mem_tag_m <= 3'h0; + end else begin + dma_mem_tag_m <= io_lsu_dma_dma_mem_tag; + end + end + always @(posedge clkdomain_io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_raw_fwd_hi_r <= 1'h0; + end else begin + lsu_raw_fwd_hi_r <= |stbuf_io_stbuf_fwdbyteen_hi_m; + end + end + always @(posedge clkdomain_io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_raw_fwd_lo_r <= 1'h0; + end else begin + lsu_raw_fwd_lo_r <= |stbuf_io_stbuf_fwdbyteen_lo_m; + end + end +endmodule diff --git a/lsu_addrcheck.anno.json b/lsu_addrcheck.anno.json new file mode 100644 index 00000000..612809f5 --- /dev/null +++ b/lsu_addrcheck.anno.json @@ -0,0 +1,111 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_addr_external_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_fir_nondccm_access_error_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_fast_int", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_valid", + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_misaligned_fault_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_valid", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_dma", + "~lsu_addrcheck|lsu_addrcheck>io_addr_external_d", + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_store", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_load", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_by", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_word", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_half", + "~lsu_addrcheck|lsu_addrcheck>io_dec_tlu_mrac_ff" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_access_fault_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_valid", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_dma", + "~lsu_addrcheck|lsu_addrcheck>io_addr_in_pic_d", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_word", + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_rs1_region_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_exc_mscause_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_misaligned_fault_d", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_valid", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_dma", + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_addr_external_d", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_store", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_load", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_by", + "~lsu_addrcheck|lsu_addrcheck>io_addr_in_pic_d", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_word", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_half", + "~lsu_addrcheck|lsu_addrcheck>io_rs1_region_d", + "~lsu_addrcheck|lsu_addrcheck>io_dec_tlu_mrac_ff" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_addr_in_dccm_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_addr_in_pic_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_addrcheck|lsu_addrcheck>io_fir_dccm_access_error_d", + "sources":[ + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_bits_fast_int", + "~lsu_addrcheck|lsu_addrcheck>io_lsu_pkt_d_valid", + "~lsu_addrcheck|lsu_addrcheck>io_start_addr_d", + "~lsu_addrcheck|lsu_addrcheck>io_end_addr_d" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_addrcheck" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_addrcheck.fir b/lsu_addrcheck.fir new file mode 100644 index 00000000..a125c336 --- /dev/null +++ b/lsu_addrcheck.fir @@ -0,0 +1,253 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_addrcheck : + module lsu_addrcheck : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>} + + node _T = bits(io.start_addr_d, 31, 28) @[lib.scala 356:27] + node start_addr_in_dccm_region_d = eq(_T, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_1 = bits(io.start_addr_d, 31, 16) @[lib.scala 361:24] + node _T_2 = eq(_T_1, UInt<16>("h0f004")) @[lib.scala 361:39] + start_addr_in_dccm_d <= _T_2 @[lib.scala 361:16] + node _T_3 = bits(io.end_addr_d, 31, 28) @[lib.scala 356:27] + node end_addr_in_dccm_region_d = eq(_T_3, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_4 = bits(io.end_addr_d, 31, 16) @[lib.scala 361:24] + node _T_5 = eq(_T_4, UInt<16>("h0f004")) @[lib.scala 361:39] + end_addr_in_dccm_d <= _T_5 @[lib.scala 361:16] + wire addr_in_iccm : UInt<1> + addr_in_iccm <= UInt<1>("h00") + node _T_6 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 42:37] + node _T_7 = eq(_T_6, UInt<4>("h0e")) @[lsu_addrcheck.scala 42:45] + addr_in_iccm <= _T_7 @[lsu_addrcheck.scala 42:18] + node _T_8 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 50:89] + node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] + node start_addr_in_pic_region_d = eq(_T_9, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_10 = bits(_T_8, 31, 15) @[lib.scala 361:24] + node _T_11 = eq(_T_10, UInt<17>("h01e018")) @[lib.scala 361:39] + start_addr_in_pic_d <= _T_11 @[lib.scala 361:16] + node _T_12 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 52:83] + node _T_13 = bits(_T_12, 31, 28) @[lib.scala 356:27] + node end_addr_in_pic_region_d = eq(_T_13, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_14 = bits(_T_12, 31, 15) @[lib.scala 361:24] + node _T_15 = eq(_T_14, UInt<17>("h01e018")) @[lib.scala 361:39] + end_addr_in_pic_d <= _T_15 @[lib.scala 361:16] + node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 54:60] + node _T_16 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:49] + node _T_17 = eq(_T_16, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:55] + node _T_18 = and(_T_17, UInt<1>("h01")) @[lsu_addrcheck.scala 55:74] + node _T_19 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:109] + node _T_20 = eq(_T_19, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:115] + node base_reg_dccm_or_pic = or(_T_18, _T_20) @[lsu_addrcheck.scala 55:91] + node _T_21 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 56:57] + io.addr_in_dccm_d <= _T_21 @[lsu_addrcheck.scala 56:32] + node _T_22 = and(start_addr_in_pic_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 57:56] + io.addr_in_pic_d <= _T_22 @[lsu_addrcheck.scala 57:32] + node _T_23 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 59:63] + node _T_24 = not(_T_23) @[lsu_addrcheck.scala 59:33] + io.addr_external_d <= _T_24 @[lsu_addrcheck.scala 59:30] + node _T_25 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 60:51] + node csr_idx = cat(_T_25, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_26 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[lsu_addrcheck.scala 61:50] + node _T_27 = bits(_T_26, 0, 0) @[lsu_addrcheck.scala 61:50] + node _T_28 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 61:92] + node _T_29 = or(_T_28, addr_in_iccm) @[lsu_addrcheck.scala 61:121] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[lsu_addrcheck.scala 61:62] + node _T_31 = and(_T_27, _T_30) @[lsu_addrcheck.scala 61:60] + node _T_32 = and(_T_31, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 61:137] + node _T_33 = or(io.lsu_pkt_d.bits.store, io.lsu_pkt_d.bits.load) @[lsu_addrcheck.scala 61:185] + node is_sideeffects_d = and(_T_32, _T_33) @[lsu_addrcheck.scala 61:158] + node _T_34 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 62:74] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[lsu_addrcheck.scala 62:80] + node _T_36 = and(io.lsu_pkt_d.bits.word, _T_35) @[lsu_addrcheck.scala 62:56] + node _T_37 = bits(io.start_addr_d, 0, 0) @[lsu_addrcheck.scala 62:134] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[lsu_addrcheck.scala 62:138] + node _T_39 = and(io.lsu_pkt_d.bits.half, _T_38) @[lsu_addrcheck.scala 62:116] + node _T_40 = or(_T_36, _T_39) @[lsu_addrcheck.scala 62:90] + node is_aligned_d = or(_T_40, io.lsu_pkt_d.bits.by) @[lsu_addrcheck.scala 62:148] + node _T_41 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_42 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_41) @[Cat.scala 29:58] + node _T_44 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_45 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_44) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, _T_43) @[Cat.scala 29:58] + node _T_48 = orr(_T_47) @[lsu_addrcheck.scala 66:99] + node _T_49 = eq(_T_48, UInt<1>("h00")) @[lsu_addrcheck.scala 65:33] + node _T_50 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 67:49] + node _T_51 = or(_T_50, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:56] + node _T_52 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:121] + node _T_53 = eq(_T_51, _T_52) @[lsu_addrcheck.scala 67:88] + node _T_54 = and(UInt<1>("h01"), _T_53) @[lsu_addrcheck.scala 67:30] + node _T_55 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 68:49] + node _T_56 = or(_T_55, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:56] + node _T_57 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:121] + node _T_58 = eq(_T_56, _T_57) @[lsu_addrcheck.scala 68:88] + node _T_59 = and(UInt<1>("h01"), _T_58) @[lsu_addrcheck.scala 68:30] + node _T_60 = or(_T_54, _T_59) @[lsu_addrcheck.scala 67:153] + node _T_61 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 69:49] + node _T_62 = or(_T_61, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:56] + node _T_63 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:121] + node _T_64 = eq(_T_62, _T_63) @[lsu_addrcheck.scala 69:88] + node _T_65 = and(UInt<1>("h01"), _T_64) @[lsu_addrcheck.scala 69:30] + node _T_66 = or(_T_60, _T_65) @[lsu_addrcheck.scala 68:153] + node _T_67 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 70:49] + node _T_68 = or(_T_67, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:56] + node _T_69 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:121] + node _T_70 = eq(_T_68, _T_69) @[lsu_addrcheck.scala 70:88] + node _T_71 = and(UInt<1>("h01"), _T_70) @[lsu_addrcheck.scala 70:30] + node _T_72 = or(_T_66, _T_71) @[lsu_addrcheck.scala 69:153] + node _T_73 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 71:49] + node _T_74 = or(_T_73, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:56] + node _T_75 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:121] + node _T_76 = eq(_T_74, _T_75) @[lsu_addrcheck.scala 71:88] + node _T_77 = and(UInt<1>("h00"), _T_76) @[lsu_addrcheck.scala 71:30] + node _T_78 = or(_T_72, _T_77) @[lsu_addrcheck.scala 70:153] + node _T_79 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 72:49] + node _T_80 = or(_T_79, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:56] + node _T_81 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:121] + node _T_82 = eq(_T_80, _T_81) @[lsu_addrcheck.scala 72:88] + node _T_83 = and(UInt<1>("h00"), _T_82) @[lsu_addrcheck.scala 72:30] + node _T_84 = or(_T_78, _T_83) @[lsu_addrcheck.scala 71:153] + node _T_85 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 73:49] + node _T_86 = or(_T_85, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:56] + node _T_87 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:121] + node _T_88 = eq(_T_86, _T_87) @[lsu_addrcheck.scala 73:88] + node _T_89 = and(UInt<1>("h00"), _T_88) @[lsu_addrcheck.scala 73:30] + node _T_90 = or(_T_84, _T_89) @[lsu_addrcheck.scala 72:153] + node _T_91 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 74:49] + node _T_92 = or(_T_91, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:56] + node _T_93 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:121] + node _T_94 = eq(_T_92, _T_93) @[lsu_addrcheck.scala 74:88] + node _T_95 = and(UInt<1>("h00"), _T_94) @[lsu_addrcheck.scala 74:30] + node _T_96 = or(_T_90, _T_95) @[lsu_addrcheck.scala 73:153] + node _T_97 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 76:48] + node _T_98 = or(_T_97, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:57] + node _T_99 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:122] + node _T_100 = eq(_T_98, _T_99) @[lsu_addrcheck.scala 76:89] + node _T_101 = and(UInt<1>("h01"), _T_100) @[lsu_addrcheck.scala 76:31] + node _T_102 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 77:49] + node _T_103 = or(_T_102, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:58] + node _T_104 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:123] + node _T_105 = eq(_T_103, _T_104) @[lsu_addrcheck.scala 77:90] + node _T_106 = and(UInt<1>("h01"), _T_105) @[lsu_addrcheck.scala 77:32] + node _T_107 = or(_T_101, _T_106) @[lsu_addrcheck.scala 76:154] + node _T_108 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 78:49] + node _T_109 = or(_T_108, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:58] + node _T_110 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:123] + node _T_111 = eq(_T_109, _T_110) @[lsu_addrcheck.scala 78:90] + node _T_112 = and(UInt<1>("h01"), _T_111) @[lsu_addrcheck.scala 78:32] + node _T_113 = or(_T_107, _T_112) @[lsu_addrcheck.scala 77:155] + node _T_114 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 79:49] + node _T_115 = or(_T_114, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:58] + node _T_116 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:123] + node _T_117 = eq(_T_115, _T_116) @[lsu_addrcheck.scala 79:90] + node _T_118 = and(UInt<1>("h01"), _T_117) @[lsu_addrcheck.scala 79:32] + node _T_119 = or(_T_113, _T_118) @[lsu_addrcheck.scala 78:155] + node _T_120 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 80:49] + node _T_121 = or(_T_120, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:58] + node _T_122 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:123] + node _T_123 = eq(_T_121, _T_122) @[lsu_addrcheck.scala 80:90] + node _T_124 = and(UInt<1>("h00"), _T_123) @[lsu_addrcheck.scala 80:32] + node _T_125 = or(_T_119, _T_124) @[lsu_addrcheck.scala 79:155] + node _T_126 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 81:49] + node _T_127 = or(_T_126, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:58] + node _T_128 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:123] + node _T_129 = eq(_T_127, _T_128) @[lsu_addrcheck.scala 81:90] + node _T_130 = and(UInt<1>("h00"), _T_129) @[lsu_addrcheck.scala 81:32] + node _T_131 = or(_T_125, _T_130) @[lsu_addrcheck.scala 80:155] + node _T_132 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 82:49] + node _T_133 = or(_T_132, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:58] + node _T_134 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:123] + node _T_135 = eq(_T_133, _T_134) @[lsu_addrcheck.scala 82:90] + node _T_136 = and(UInt<1>("h00"), _T_135) @[lsu_addrcheck.scala 82:32] + node _T_137 = or(_T_131, _T_136) @[lsu_addrcheck.scala 81:155] + node _T_138 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 83:49] + node _T_139 = or(_T_138, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:58] + node _T_140 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:123] + node _T_141 = eq(_T_139, _T_140) @[lsu_addrcheck.scala 83:90] + node _T_142 = and(UInt<1>("h00"), _T_141) @[lsu_addrcheck.scala 83:32] + node _T_143 = or(_T_137, _T_142) @[lsu_addrcheck.scala 82:155] + node _T_144 = and(_T_96, _T_143) @[lsu_addrcheck.scala 75:7] + node non_dccm_access_ok = or(_T_49, _T_144) @[lsu_addrcheck.scala 66:104] + node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[lsu_addrcheck.scala 85:57] + node _T_145 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 86:70] + node _T_146 = neq(_T_145, UInt<2>("h00")) @[lsu_addrcheck.scala 86:76] + node _T_147 = eq(io.lsu_pkt_d.bits.word, UInt<1>("h00")) @[lsu_addrcheck.scala 86:92] + node _T_148 = or(_T_146, _T_147) @[lsu_addrcheck.scala 86:90] + node picm_access_fault_d = and(io.addr_in_pic_d, _T_148) @[lsu_addrcheck.scala 86:51] + wire unmapped_access_fault_d : UInt<1> + unmapped_access_fault_d <= UInt<1>("h01") + wire mpu_access_fault_d : UInt<1> + mpu_access_fault_d <= UInt<1>("h01") + node _T_149 = or(start_addr_in_dccm_d, start_addr_in_pic_d) @[lsu_addrcheck.scala 91:87] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[lsu_addrcheck.scala 91:64] + node _T_151 = and(start_addr_in_dccm_region_d, _T_150) @[lsu_addrcheck.scala 91:62] + node _T_152 = or(end_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 93:57] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[lsu_addrcheck.scala 93:36] + node _T_154 = and(end_addr_in_dccm_region_d, _T_153) @[lsu_addrcheck.scala 93:34] + node _T_155 = or(_T_151, _T_154) @[lsu_addrcheck.scala 91:112] + node _T_156 = and(start_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 95:29] + node _T_157 = or(_T_155, _T_156) @[lsu_addrcheck.scala 93:85] + node _T_158 = and(start_addr_in_pic_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 97:29] + node _T_159 = or(_T_157, _T_158) @[lsu_addrcheck.scala 95:85] + unmapped_access_fault_d <= _T_159 @[lsu_addrcheck.scala 91:29] + node _T_160 = eq(start_addr_in_dccm_region_d, UInt<1>("h00")) @[lsu_addrcheck.scala 99:33] + node _T_161 = eq(non_dccm_access_ok, UInt<1>("h00")) @[lsu_addrcheck.scala 99:64] + node _T_162 = and(_T_160, _T_161) @[lsu_addrcheck.scala 99:62] + mpu_access_fault_d <= _T_162 @[lsu_addrcheck.scala 99:29] + node _T_163 = or(unmapped_access_fault_d, mpu_access_fault_d) @[lsu_addrcheck.scala 111:49] + node _T_164 = or(_T_163, picm_access_fault_d) @[lsu_addrcheck.scala 111:70] + node _T_165 = or(_T_164, regpred_access_fault_d) @[lsu_addrcheck.scala 111:92] + node _T_166 = and(_T_165, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 111:118] + node _T_167 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 111:141] + node _T_168 = and(_T_166, _T_167) @[lsu_addrcheck.scala 111:139] + io.access_fault_d <= _T_168 @[lsu_addrcheck.scala 111:21] + node _T_169 = bits(unmapped_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:60] + node _T_170 = bits(mpu_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:100] + node _T_171 = bits(regpred_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:144] + node _T_172 = bits(picm_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:185] + node _T_173 = mux(_T_172, UInt<4>("h06"), UInt<4>("h00")) @[lsu_addrcheck.scala 112:164] + node _T_174 = mux(_T_171, UInt<4>("h05"), _T_173) @[lsu_addrcheck.scala 112:120] + node _T_175 = mux(_T_170, UInt<4>("h03"), _T_174) @[lsu_addrcheck.scala 112:80] + node access_fault_mscause_d = mux(_T_169, UInt<4>("h02"), _T_175) @[lsu_addrcheck.scala 112:35] + node _T_176 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 113:53] + node _T_177 = bits(io.end_addr_d, 31, 28) @[lsu_addrcheck.scala 113:78] + node regcross_misaligned_fault_d = neq(_T_176, _T_177) @[lsu_addrcheck.scala 113:61] + node _T_178 = eq(is_aligned_d, UInt<1>("h00")) @[lsu_addrcheck.scala 114:59] + node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_178) @[lsu_addrcheck.scala 114:57] + node _T_179 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[lsu_addrcheck.scala 115:90] + node _T_180 = or(regcross_misaligned_fault_d, _T_179) @[lsu_addrcheck.scala 115:57] + node _T_181 = and(_T_180, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 115:113] + node _T_182 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 115:136] + node _T_183 = and(_T_181, _T_182) @[lsu_addrcheck.scala 115:134] + io.misaligned_fault_d <= _T_183 @[lsu_addrcheck.scala 115:25] + node _T_184 = bits(sideeffect_misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 116:111] + node _T_185 = mux(_T_184, UInt<4>("h01"), UInt<4>("h00")) @[lsu_addrcheck.scala 116:80] + node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_185) @[lsu_addrcheck.scala 116:39] + node _T_186 = bits(io.misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 117:50] + node _T_187 = bits(misaligned_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:84] + node _T_188 = bits(access_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:113] + node _T_189 = mux(_T_186, _T_187, _T_188) @[lsu_addrcheck.scala 117:27] + io.exc_mscause_d <= _T_189 @[lsu_addrcheck.scala 117:21] + node _T_190 = eq(start_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:66] + node _T_191 = and(start_addr_in_dccm_region_d, _T_190) @[lsu_addrcheck.scala 118:64] + node _T_192 = eq(end_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:120] + node _T_193 = and(end_addr_in_dccm_region_d, _T_192) @[lsu_addrcheck.scala 118:118] + node _T_194 = or(_T_191, _T_193) @[lsu_addrcheck.scala 118:88] + node _T_195 = and(_T_194, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 118:142] + node _T_196 = and(_T_195, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 118:163] + io.fir_dccm_access_error_d <= _T_196 @[lsu_addrcheck.scala 118:31] + node _T_197 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[lsu_addrcheck.scala 119:66] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[lsu_addrcheck.scala 119:36] + node _T_199 = and(_T_198, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 119:95] + node _T_200 = and(_T_199, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 119:116] + io.fir_nondccm_access_error_d <= _T_200 @[lsu_addrcheck.scala 119:33] + reg _T_201 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_addrcheck.scala 121:60] + _T_201 <= is_sideeffects_d @[lsu_addrcheck.scala 121:60] + io.is_sideeffects_m <= _T_201 @[lsu_addrcheck.scala 121:50] + diff --git a/lsu_addrcheck.v b/lsu_addrcheck.v new file mode 100644 index 00000000..6cbeb85d --- /dev/null +++ b/lsu_addrcheck.v @@ -0,0 +1,193 @@ +module lsu_addrcheck( + input clock, + input reset, + input io_lsu_c2_m_clk, + input [31:0] io_start_addr_d, + input [31:0] io_end_addr_d, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_dword, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_unsign, + input io_lsu_pkt_d_bits_dma, + input io_lsu_pkt_d_bits_store_data_bypass_d, + input io_lsu_pkt_d_bits_load_ldst_bypass_d, + input io_lsu_pkt_d_bits_store_data_bypass_m, + input [31:0] io_dec_tlu_mrac_ff, + input [3:0] io_rs1_region_d, + input [31:0] io_rs1_d, + output io_is_sideeffects_m, + output io_addr_in_dccm_d, + output io_addr_in_pic_d, + output io_addr_external_d, + output io_access_fault_d, + output io_misaligned_fault_d, + output [3:0] io_exc_mscause_d, + output io_fir_dccm_access_error_d, + output io_fir_nondccm_access_error_d, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; +`endif // RANDOMIZE_REG_INIT + wire start_addr_in_dccm_region_d = io_start_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire start_addr_in_dccm_d = io_start_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire end_addr_in_dccm_region_d = io_end_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire end_addr_in_dccm_d = io_end_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire addr_in_iccm = io_start_addr_d[31:28] == 4'he; // @[lsu_addrcheck.scala 42:45] + wire start_addr_in_pic_d = io_start_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire end_addr_in_pic_d = io_end_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 54:60] + wire _T_17 = io_rs1_region_d == 4'hf; // @[lsu_addrcheck.scala 55:55] + wire base_reg_dccm_or_pic = _T_17 | _T_17; // @[lsu_addrcheck.scala 55:91] + wire [4:0] csr_idx = {io_start_addr_d[31:28],1'h1}; // @[Cat.scala 29:58] + wire [31:0] _T_26 = io_dec_tlu_mrac_ff >> csr_idx; // @[lsu_addrcheck.scala 61:50] + wire _T_29 = start_addr_dccm_or_pic | addr_in_iccm; // @[lsu_addrcheck.scala 61:121] + wire _T_30 = ~_T_29; // @[lsu_addrcheck.scala 61:62] + wire _T_31 = _T_26[0] & _T_30; // @[lsu_addrcheck.scala 61:60] + wire _T_32 = _T_31 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 61:137] + wire _T_33 = io_lsu_pkt_d_bits_store | io_lsu_pkt_d_bits_load; // @[lsu_addrcheck.scala 61:185] + wire is_sideeffects_d = _T_32 & _T_33; // @[lsu_addrcheck.scala 61:158] + wire _T_35 = io_start_addr_d[1:0] == 2'h0; // @[lsu_addrcheck.scala 62:80] + wire _T_36 = io_lsu_pkt_d_bits_word & _T_35; // @[lsu_addrcheck.scala 62:56] + wire _T_38 = ~io_start_addr_d[0]; // @[lsu_addrcheck.scala 62:138] + wire _T_39 = io_lsu_pkt_d_bits_half & _T_38; // @[lsu_addrcheck.scala 62:116] + wire _T_40 = _T_36 | _T_39; // @[lsu_addrcheck.scala 62:90] + wire is_aligned_d = _T_40 | io_lsu_pkt_d_bits_by; // @[lsu_addrcheck.scala 62:148] + wire [31:0] _T_51 = io_start_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 67:56] + wire _T_53 = _T_51 == 32'h7fffffff; // @[lsu_addrcheck.scala 67:88] + wire [31:0] _T_56 = io_start_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 68:56] + wire _T_58 = _T_56 == 32'hffffffff; // @[lsu_addrcheck.scala 68:88] + wire _T_60 = _T_53 | _T_58; // @[lsu_addrcheck.scala 67:153] + wire [31:0] _T_62 = io_start_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 69:56] + wire _T_64 = _T_62 == 32'hbfffffff; // @[lsu_addrcheck.scala 69:88] + wire _T_66 = _T_60 | _T_64; // @[lsu_addrcheck.scala 68:153] + wire [31:0] _T_68 = io_start_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 70:56] + wire _T_70 = _T_68 == 32'h8fffffff; // @[lsu_addrcheck.scala 70:88] + wire _T_72 = _T_66 | _T_70; // @[lsu_addrcheck.scala 69:153] + wire [31:0] _T_98 = io_end_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 76:57] + wire _T_100 = _T_98 == 32'h7fffffff; // @[lsu_addrcheck.scala 76:89] + wire [31:0] _T_103 = io_end_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 77:58] + wire _T_105 = _T_103 == 32'hffffffff; // @[lsu_addrcheck.scala 77:90] + wire _T_107 = _T_100 | _T_105; // @[lsu_addrcheck.scala 76:154] + wire [31:0] _T_109 = io_end_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 78:58] + wire _T_111 = _T_109 == 32'hbfffffff; // @[lsu_addrcheck.scala 78:90] + wire _T_113 = _T_107 | _T_111; // @[lsu_addrcheck.scala 77:155] + wire [31:0] _T_115 = io_end_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 79:58] + wire _T_117 = _T_115 == 32'h8fffffff; // @[lsu_addrcheck.scala 79:90] + wire _T_119 = _T_113 | _T_117; // @[lsu_addrcheck.scala 78:155] + wire non_dccm_access_ok = _T_72 & _T_119; // @[lsu_addrcheck.scala 75:7] + wire regpred_access_fault_d = start_addr_dccm_or_pic ^ base_reg_dccm_or_pic; // @[lsu_addrcheck.scala 85:57] + wire _T_146 = io_start_addr_d[1:0] != 2'h0; // @[lsu_addrcheck.scala 86:76] + wire _T_147 = ~io_lsu_pkt_d_bits_word; // @[lsu_addrcheck.scala 86:92] + wire _T_148 = _T_146 | _T_147; // @[lsu_addrcheck.scala 86:90] + wire picm_access_fault_d = io_addr_in_pic_d & _T_148; // @[lsu_addrcheck.scala 86:51] + wire _T_149 = start_addr_in_dccm_d | start_addr_in_pic_d; // @[lsu_addrcheck.scala 91:87] + wire _T_150 = ~_T_149; // @[lsu_addrcheck.scala 91:64] + wire _T_151 = start_addr_in_dccm_region_d & _T_150; // @[lsu_addrcheck.scala 91:62] + wire _T_152 = end_addr_in_dccm_d | end_addr_in_pic_d; // @[lsu_addrcheck.scala 93:57] + wire _T_153 = ~_T_152; // @[lsu_addrcheck.scala 93:36] + wire _T_154 = end_addr_in_dccm_region_d & _T_153; // @[lsu_addrcheck.scala 93:34] + wire _T_155 = _T_151 | _T_154; // @[lsu_addrcheck.scala 91:112] + wire _T_156 = start_addr_in_dccm_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 95:29] + wire _T_157 = _T_155 | _T_156; // @[lsu_addrcheck.scala 93:85] + wire _T_158 = start_addr_in_pic_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 97:29] + wire unmapped_access_fault_d = _T_157 | _T_158; // @[lsu_addrcheck.scala 95:85] + wire _T_160 = ~start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 99:33] + wire _T_161 = ~non_dccm_access_ok; // @[lsu_addrcheck.scala 99:64] + wire mpu_access_fault_d = _T_160 & _T_161; // @[lsu_addrcheck.scala 99:62] + wire _T_163 = unmapped_access_fault_d | mpu_access_fault_d; // @[lsu_addrcheck.scala 111:49] + wire _T_164 = _T_163 | picm_access_fault_d; // @[lsu_addrcheck.scala 111:70] + wire _T_165 = _T_164 | regpred_access_fault_d; // @[lsu_addrcheck.scala 111:92] + wire _T_166 = _T_165 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 111:118] + wire _T_167 = ~io_lsu_pkt_d_bits_dma; // @[lsu_addrcheck.scala 111:141] + wire [3:0] _T_173 = picm_access_fault_d ? 4'h6 : 4'h0; // @[lsu_addrcheck.scala 112:164] + wire [3:0] _T_174 = regpred_access_fault_d ? 4'h5 : _T_173; // @[lsu_addrcheck.scala 112:120] + wire [3:0] _T_175 = mpu_access_fault_d ? 4'h3 : _T_174; // @[lsu_addrcheck.scala 112:80] + wire [3:0] access_fault_mscause_d = unmapped_access_fault_d ? 4'h2 : _T_175; // @[lsu_addrcheck.scala 112:35] + wire regcross_misaligned_fault_d = io_start_addr_d[31:28] != io_end_addr_d[31:28]; // @[lsu_addrcheck.scala 113:61] + wire _T_178 = ~is_aligned_d; // @[lsu_addrcheck.scala 114:59] + wire sideeffect_misaligned_fault_d = is_sideeffects_d & _T_178; // @[lsu_addrcheck.scala 114:57] + wire _T_179 = sideeffect_misaligned_fault_d & io_addr_external_d; // @[lsu_addrcheck.scala 115:90] + wire _T_180 = regcross_misaligned_fault_d | _T_179; // @[lsu_addrcheck.scala 115:57] + wire _T_181 = _T_180 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 115:113] + wire [3:0] _T_185 = sideeffect_misaligned_fault_d ? 4'h1 : 4'h0; // @[lsu_addrcheck.scala 116:80] + wire [3:0] misaligned_fault_mscause_d = regcross_misaligned_fault_d ? 4'h2 : _T_185; // @[lsu_addrcheck.scala 116:39] + wire _T_190 = ~start_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:66] + wire _T_191 = start_addr_in_dccm_region_d & _T_190; // @[lsu_addrcheck.scala 118:64] + wire _T_192 = ~end_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:120] + wire _T_193 = end_addr_in_dccm_region_d & _T_192; // @[lsu_addrcheck.scala 118:118] + wire _T_194 = _T_191 | _T_193; // @[lsu_addrcheck.scala 118:88] + wire _T_195 = _T_194 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 118:142] + wire _T_197 = start_addr_in_dccm_region_d & end_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 119:66] + wire _T_198 = ~_T_197; // @[lsu_addrcheck.scala 119:36] + wire _T_199 = _T_198 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 119:95] + reg _T_201; // @[lsu_addrcheck.scala 121:60] + assign io_is_sideeffects_m = _T_201; // @[lsu_addrcheck.scala 121:50] + assign io_addr_in_dccm_d = start_addr_in_dccm_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 56:32] + assign io_addr_in_pic_d = start_addr_in_pic_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 57:32] + assign io_addr_external_d = ~start_addr_dccm_or_pic; // @[lsu_addrcheck.scala 59:30] + assign io_access_fault_d = _T_166 & _T_167; // @[lsu_addrcheck.scala 111:21] + assign io_misaligned_fault_d = _T_181 & _T_167; // @[lsu_addrcheck.scala 115:25] + assign io_exc_mscause_d = io_misaligned_fault_d ? misaligned_fault_mscause_d : access_fault_mscause_d; // @[lsu_addrcheck.scala 117:21] + assign io_fir_dccm_access_error_d = _T_195 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 118:31] + assign io_fir_nondccm_access_error_d = _T_199 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 119:33] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_201 = _RAND_0[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_201 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_201 <= 1'h0; + end else begin + _T_201 <= _T_32 & _T_33; + end + end +endmodule diff --git a/lsu_bus_buffer.anno.json b/lsu_bus_buffer.anno.json new file mode 100644 index 00000000..1c5b03e8 --- /dev/null +++ b/lsu_bus_buffer.anno.json @@ -0,0 +1,183 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_byte_hit_buf_hi", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_end_addr_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_tlu_force_halt" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_valid", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_fwddata_buf_lo", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_addr_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_tlu_force_halt" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_tag_m", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_buffer_full_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_d", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_lsu_valid_raw_d", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ld_full_hit_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_pkt_m_bits_load", + "~lsu_bus_buffer|lsu_bus_buffer>io_flush_m_up", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_pkt_m_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_load_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error", + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_commit_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_byte_hit_buf_lo", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_addr_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_tlu_force_halt" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_addr_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_tag", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_fwddata_buf_hi", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_end_addr_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_tlu_force_halt" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_commit_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_error", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_load_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_bus_buffer.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_bus_buffer" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir new file mode 100644 index 00000000..79bed519 --- /dev/null +++ b/lsu_bus_buffer.fir @@ -0,0 +1,6481 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_bus_buffer : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_bus_obuf_c1_clken : UInt<1>, flip lsu_busm_clken : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 70:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 71:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 76:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 77:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 79:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 79:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 79:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 79:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 79:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 79:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 79:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 79:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 79:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 79:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 79:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 79:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 80:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 80:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 80:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 80:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 80:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 80:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 80:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 80:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 80:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 80:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 80:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 80:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 81:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 83:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 85:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 87:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 89:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 91:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 93:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 95:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 97:26] + buf_ldfwd_en[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 99:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 101:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 103:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 108:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 111:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 116:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 118:21] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 121:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 123:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 129:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 133:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 141:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 141:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 141:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 141:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 141:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 141:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 141:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 141:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 141:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 141:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 141:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 141:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 141:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 142:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 142:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 142:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 142:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 142:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 142:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 142:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 142:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 142:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 142:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 142:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 142:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 142:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 144:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 144:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 144:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 144:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 144:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 144:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 144:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 144:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 144:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 144:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 144:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 144:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 144:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 144:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 144:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 144:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 144:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 144:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 144:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 144:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 144:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 144:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 144:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 144:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 144:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 144:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 144:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 144:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 144:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 144:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 144:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 144:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 145:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 145:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 145:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 145:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 145:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 145:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 145:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 145:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 145:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 145:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 145:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 145:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 145:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 145:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 145:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 145:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 145:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 145:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 145:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 145:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 145:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 145:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 145:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 145:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 145:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 145:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 145:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 145:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 145:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 145:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 145:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 145:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 147:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 149:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 149:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 149:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 149:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 149:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 149:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 149:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 149:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 149:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 149:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 149:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 149:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 149:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 149:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 149:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 149:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 149:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 149:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 149:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 149:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 149:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 149:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 149:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 149:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 149:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 149:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 149:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 149:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 149:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 149:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 149:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 149:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 149:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 149:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 149:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 149:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 149:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 149:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 149:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 149:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 149:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 149:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 149:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 149:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 149:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 149:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 149:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 149:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 149:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 150:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 150:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 150:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 150:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 150:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 150:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 150:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 150:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 150:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 150:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 150:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 150:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 150:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 150:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 150:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 150:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 150:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 150:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 150:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 150:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 150:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 150:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 150:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 150:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 150:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 150:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 150:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 150:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 150:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 150:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 150:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 150:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 150:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 150:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 150:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 150:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 150:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 150:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 150:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 150:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 150:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 150:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 150:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 150:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 150:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 150:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 150:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 150:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 150:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 155:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 155:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 155:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 155:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 155:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 155:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 156:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 156:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 156:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 156:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 156:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 156:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 160:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 160:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 160:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 161:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 161:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 161:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 163:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 166:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 166:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 166:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 166:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 167:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 167:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 167:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 167:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 168:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 168:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 168:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 168:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 168:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 168:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 168:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 168:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 168:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 168:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 168:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 169:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 169:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 169:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 169:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 169:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 169:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 169:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 169:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 169:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 169:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 169:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 170:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 170:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 170:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 170:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 170:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 170:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 170:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 170:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 170:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 170:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 170:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 171:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 171:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 171:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 171:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 171:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 171:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 171:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 171:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 171:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 171:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 171:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 172:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 171:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 168:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 174:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 174:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 174:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 174:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 174:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 174:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 174:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 174:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 174:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 174:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 174:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 175:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 175:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 175:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 175:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 175:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 175:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 175:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 175:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 175:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 175:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 175:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 176:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 176:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 176:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 176:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 176:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 176:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 176:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 176:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 176:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 176:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 176:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 177:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 177:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 177:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 177:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 177:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 177:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 177:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 177:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 177:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 177:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 177:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 178:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 177:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 174:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 180:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 185:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 186:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 186:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 187:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 187:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 188:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 188:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 190:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 191:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 191:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 192:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 192:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 193:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 193:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 195:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 196:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 196:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 197:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 197:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 198:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 198:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 200:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 201:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 201:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 201:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 202:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 202:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 203:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 203:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 206:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 206:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 206:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 207:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 208:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 210:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 210:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 210:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 211:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 211:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 213:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 213:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 213:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 214:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 214:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 214:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 214:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 214:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 214:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 214:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 219:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 219:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 219:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 219:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 219:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 220:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 220:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 220:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 220:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 220:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 220:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 219:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 219:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 225:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 225:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 228:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 229:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 229:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 229:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 230:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 230:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 230:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 229:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 234:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 234:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 234:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 234:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 235:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 235:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 235:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 233:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 234:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 234:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 234:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 234:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 235:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 235:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 235:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 233:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 234:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 234:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 234:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 234:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 235:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 235:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 235:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 233:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 234:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 234:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 234:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 234:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 235:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 235:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 235:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 233:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 236:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 236:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 236:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 236:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 236:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 236:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 238:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 238:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 238:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 238:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 238:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 238:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 238:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 238:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 238:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 238:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 238:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 239:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 240:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 240:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 240:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 240:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 240:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 240:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 240:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 240:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 240:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 240:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 240:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 240:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 240:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 240:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 240:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 240:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 240:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 240:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 240:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 240:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 240:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 240:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 240:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 240:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 241:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 241:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 241:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 241:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 241:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 241:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 241:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 241:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 241:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 241:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 241:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 241:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 241:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 241:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 241:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 241:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 241:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 241:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 241:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 241:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 241:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 241:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 241:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 241:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 241:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 241:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 241:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 241:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 243:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 243:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 243:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 243:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 243:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 244:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 249:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 251:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 253:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 254:15] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 255:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 256:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 256:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 256:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 260:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 266:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 266:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 266:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 266:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 267:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 267:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 267:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 268:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 268:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 268:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 268:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 268:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 268:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 268:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 267:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 268:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 269:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 269:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 269:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 269:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 269:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 269:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 269:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 270:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 270:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 270:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 270:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 270:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 270:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 270:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 270:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 270:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 272:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 272:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 272:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 272:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 272:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 275:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 277:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 279:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 287:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 287:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 287:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 288:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 288:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 289:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 289:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 289:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 290:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 290:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 290:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 290:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 290:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 289:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 287:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 291:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 291:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 291:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 291:75] + node _T_1237 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:94] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 291:92] + node _T_1239 = and(_T_1238, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 291:118] + obuf_wr_en <= _T_1239 @[lsu_bus_buffer.scala 287:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1240 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 293:47] + node _T_1241 = or(bus_cmd_sent, _T_1240) @[lsu_bus_buffer.scala 293:33] + node _T_1242 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:65] + node _T_1243 = and(_T_1241, _T_1242) @[lsu_bus_buffer.scala 293:63] + node _T_1244 = and(_T_1243, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 293:77] + node obuf_rst = or(_T_1244, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 293:98] + node _T_1245 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1246 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1247 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1248 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1249 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1250 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1252 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1253 = mux(_T_1245, _T_1246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1254 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1255 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = or(_T_1253, _T_1254) @[Mux.scala 27:72] + node _T_1258 = or(_T_1257, _T_1255) @[Mux.scala 27:72] + node _T_1259 = or(_T_1258, _T_1256) @[Mux.scala 27:72] + wire _T_1260 : UInt<1> @[Mux.scala 27:72] + _T_1260 <= _T_1259 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1260) @[lsu_bus_buffer.scala 294:26] + node _T_1261 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1262 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1263 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1264 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1265 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1266 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1268 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1269 = mux(_T_1261, _T_1262, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1270 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1271 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = or(_T_1269, _T_1270) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] + node _T_1275 = or(_T_1274, _T_1272) @[Mux.scala 27:72] + wire _T_1276 : UInt<1> @[Mux.scala 27:72] + _T_1276 <= _T_1275 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1276) @[lsu_bus_buffer.scala 295:31] + node _T_1277 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1279 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1280 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1281 = mux(_T_1277, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1282 = mux(_T_1278, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1283 = mux(_T_1279, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = or(_T_1281, _T_1282) @[Mux.scala 27:72] + node _T_1286 = or(_T_1285, _T_1283) @[Mux.scala 27:72] + node _T_1287 = or(_T_1286, _T_1284) @[Mux.scala 27:72] + wire _T_1288 : UInt<32> @[Mux.scala 27:72] + _T_1288 <= _T_1287 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1288) @[lsu_bus_buffer.scala 296:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 297:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + node _T_1289 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1290 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1291 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1292 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1293 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1294 = mux(_T_1290, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1295 = mux(_T_1291, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1296 = mux(_T_1292, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = or(_T_1294, _T_1295) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1296) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1297) @[Mux.scala 27:72] + wire _T_1301 : UInt<2> @[Mux.scala 27:72] + _T_1301 <= _T_1300 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1289, _T_1301) @[lsu_bus_buffer.scala 299:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 302:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 304:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1302 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:39] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:26] + node _T_1304 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 307:68] + node obuf_cmd_done_in = and(_T_1303, _T_1304) @[lsu_bus_buffer.scala 307:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1305 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 310:40] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[lsu_bus_buffer.scala 310:27] + node _T_1307 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 310:70] + node obuf_data_done_in = and(_T_1306, _T_1307) @[lsu_bus_buffer.scala 310:52] + node _T_1308 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 311:67] + node _T_1309 = eq(_T_1308, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:72] + node _T_1310 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 311:92] + node _T_1311 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 311:111] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:98] + node _T_1313 = and(_T_1310, _T_1312) @[lsu_bus_buffer.scala 311:96] + node _T_1314 = or(_T_1309, _T_1313) @[lsu_bus_buffer.scala 311:79] + node _T_1315 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 311:129] + node _T_1316 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 311:147] + node _T_1317 = orr(_T_1316) @[lsu_bus_buffer.scala 311:153] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:134] + node _T_1319 = and(_T_1315, _T_1318) @[lsu_bus_buffer.scala 311:132] + node _T_1320 = or(_T_1314, _T_1319) @[lsu_bus_buffer.scala 311:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1320) @[lsu_bus_buffer.scala 311:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1321 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:45] + node _T_1322 = and(obuf_wr_en, _T_1321) @[lsu_bus_buffer.scala 319:43] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:30] + node _T_1324 = and(_T_1323, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 319:62] + node _T_1325 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:117] + node _T_1326 = and(bus_rsp_read, _T_1325) @[lsu_bus_buffer.scala 319:97] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:82] + node _T_1328 = and(_T_1324, _T_1327) @[lsu_bus_buffer.scala 319:80] + node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 320:21] + node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 320:19] + node _T_1331 = or(_T_1328, _T_1330) @[lsu_bus_buffer.scala 319:139] + node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 320:37] + node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 320:35] + node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 321:47] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:46] + node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 323:44] + node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 326:34] + node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 326:52] + node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 326:40] + node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 326:60] + node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:80] + node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 326:78] + node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:99] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 326:97] + node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:113] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 326:111] + node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:130] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 326:128] + node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:20] + node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 327:18] + node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 327:90] + node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 327:70] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:55] + node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 327:53] + node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 327:34] + node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 326:177] + obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 326:18] + node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:60] + node _T_1356 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1357 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 328:46] + node _T_1359 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1360 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1361 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1362 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1363 = mux(_T_1359, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1364 = mux(_T_1360, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1365 = mux(_T_1361, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = or(_T_1363, _T_1364) @[Mux.scala 27:72] + node _T_1368 = or(_T_1367, _T_1365) @[Mux.scala 27:72] + node _T_1369 = or(_T_1368, _T_1366) @[Mux.scala 27:72] + wire _T_1370 : UInt<32> @[Mux.scala 27:72] + _T_1370 <= _T_1369 @[Mux.scala 27:72] + node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 329:36] + node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 329:46] + node _T_1373 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1374 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1375 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1376 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1377 = mux(_T_1373, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1378 = mux(_T_1374, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1379 = mux(_T_1375, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = or(_T_1377, _T_1378) @[Mux.scala 27:72] + node _T_1382 = or(_T_1381, _T_1379) @[Mux.scala 27:72] + node _T_1383 = or(_T_1382, _T_1380) @[Mux.scala 27:72] + wire _T_1384 : UInt<4> @[Mux.scala 27:72] + _T_1384 <= _T_1383 @[Mux.scala 27:72] + node _T_1385 = cat(_T_1384, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1386 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1387 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1388 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1389 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1390 = mux(_T_1386, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1391 = mux(_T_1387, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1392 = mux(_T_1388, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = or(_T_1390, _T_1391) @[Mux.scala 27:72] + node _T_1395 = or(_T_1394, _T_1392) @[Mux.scala 27:72] + node _T_1396 = or(_T_1395, _T_1393) @[Mux.scala 27:72] + wire _T_1397 : UInt<4> @[Mux.scala 27:72] + _T_1397 <= _T_1396 @[Mux.scala 27:72] + node _T_1398 = cat(UInt<4>("h00"), _T_1397) @[Cat.scala 29:58] + node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 329:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 328:28] + node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:60] + node _T_1401 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 330:46] + node _T_1404 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1405 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1406 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1407 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1408 = mux(_T_1404, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = mux(_T_1405, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1410 = mux(_T_1406, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = or(_T_1408, _T_1409) @[Mux.scala 27:72] + node _T_1413 = or(_T_1412, _T_1410) @[Mux.scala 27:72] + node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] + wire _T_1415 : UInt<32> @[Mux.scala 27:72] + _T_1415 <= _T_1414 @[Mux.scala 27:72] + node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 331:36] + node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1418 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1419 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1420 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1421 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1422 = mux(_T_1418, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1423 = mux(_T_1419, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = or(_T_1422, _T_1423) @[Mux.scala 27:72] + node _T_1427 = or(_T_1426, _T_1424) @[Mux.scala 27:72] + node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] + wire _T_1429 : UInt<4> @[Mux.scala 27:72] + _T_1429 <= _T_1428 @[Mux.scala 27:72] + node _T_1430 = cat(_T_1429, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1431 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1432 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1433 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1434 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1435 = mux(_T_1431, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1436 = mux(_T_1432, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1437 = mux(_T_1433, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = or(_T_1435, _T_1436) @[Mux.scala 27:72] + node _T_1440 = or(_T_1439, _T_1437) @[Mux.scala 27:72] + node _T_1441 = or(_T_1440, _T_1438) @[Mux.scala 27:72] + wire _T_1442 : UInt<4> @[Mux.scala 27:72] + _T_1442 <= _T_1441 @[Mux.scala 27:72] + node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] + node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 331:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 330:28] + node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 333:58] + node _T_1446 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1447 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 333:44] + node _T_1449 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1450 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1451 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1452 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1453 = mux(_T_1449, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = mux(_T_1450, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1455 = mux(_T_1451, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = or(_T_1453, _T_1454) @[Mux.scala 27:72] + node _T_1458 = or(_T_1457, _T_1455) @[Mux.scala 27:72] + node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] + wire _T_1460 : UInt<32> @[Mux.scala 27:72] + _T_1460 <= _T_1459 @[Mux.scala 27:72] + node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 334:36] + node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 334:46] + node _T_1463 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1464 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1465 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1466 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1467 = mux(_T_1463, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1468 = mux(_T_1464, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1465, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = or(_T_1467, _T_1468) @[Mux.scala 27:72] + node _T_1472 = or(_T_1471, _T_1469) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] + wire _T_1474 : UInt<32> @[Mux.scala 27:72] + _T_1474 <= _T_1473 @[Mux.scala 27:72] + node _T_1475 = cat(_T_1474, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1476 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1477 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1478 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1479 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1480 = mux(_T_1476, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1477, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1478, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = or(_T_1480, _T_1481) @[Mux.scala 27:72] + node _T_1485 = or(_T_1484, _T_1482) @[Mux.scala 27:72] + node _T_1486 = or(_T_1485, _T_1483) @[Mux.scala 27:72] + wire _T_1487 : UInt<32> @[Mux.scala 27:72] + _T_1487 <= _T_1486 @[Mux.scala 27:72] + node _T_1488 = cat(UInt<32>("h00"), _T_1487) @[Cat.scala 29:58] + node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 334:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 333:26] + node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 335:58] + node _T_1491 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1492 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 335:44] + node _T_1494 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1495 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1496 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1497 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1498 = mux(_T_1494, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1495, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1496, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = or(_T_1498, _T_1499) @[Mux.scala 27:72] + node _T_1503 = or(_T_1502, _T_1500) @[Mux.scala 27:72] + node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] + wire _T_1505 : UInt<32> @[Mux.scala 27:72] + _T_1505 <= _T_1504 @[Mux.scala 27:72] + node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 336:36] + node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 336:46] + node _T_1508 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1509 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1510 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1511 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1512 = mux(_T_1508, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1509, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1510, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = or(_T_1512, _T_1513) @[Mux.scala 27:72] + node _T_1517 = or(_T_1516, _T_1514) @[Mux.scala 27:72] + node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] + wire _T_1519 : UInt<32> @[Mux.scala 27:72] + _T_1519 <= _T_1518 @[Mux.scala 27:72] + node _T_1520 = cat(_T_1519, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1521 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1522 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1523 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1524 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1525 = mux(_T_1521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1522, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = or(_T_1525, _T_1526) @[Mux.scala 27:72] + node _T_1530 = or(_T_1529, _T_1527) @[Mux.scala 27:72] + node _T_1531 = or(_T_1530, _T_1528) @[Mux.scala 27:72] + wire _T_1532 : UInt<32> @[Mux.scala 27:72] + _T_1532 <= _T_1531 @[Mux.scala 27:72] + node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] + node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 336:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 335:26] + node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 337:59] + node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 337:97] + node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 337:80] + node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 337:63] + node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 337:59] + node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 337:97] + node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 337:80] + node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 337:63] + node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 337:59] + node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 337:97] + node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 337:80] + node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 337:63] + node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 337:59] + node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 337:97] + node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 337:80] + node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 337:63] + node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 337:59] + node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 337:97] + node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 337:80] + node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 337:63] + node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 337:59] + node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 337:97] + node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 337:80] + node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 337:63] + node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 337:59] + node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 337:97] + node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 337:80] + node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 337:63] + node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 337:59] + node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 337:97] + node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 337:80] + node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 337:63] + node _T_1567 = cat(_T_1566, _T_1562) @[Cat.scala 29:58] + node _T_1568 = cat(_T_1567, _T_1558) @[Cat.scala 29:58] + node _T_1569 = cat(_T_1568, _T_1554) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1550) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1546) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1542) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1572, _T_1538) @[Cat.scala 29:58] + node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 338:76] + node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 338:59] + node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 338:94] + node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 338:123] + node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 338:44] + node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 338:76] + node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 338:59] + node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 338:94] + node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 338:123] + node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 338:44] + node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 338:76] + node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 338:59] + node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 338:94] + node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 338:123] + node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 338:44] + node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 338:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 338:59] + node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 338:94] + node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 338:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 338:44] + node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 338:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 338:59] + node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 338:94] + node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 338:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 338:44] + node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 338:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 338:59] + node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 338:94] + node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 338:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 338:44] + node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 338:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 338:59] + node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 338:94] + node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 338:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 338:44] + node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 338:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 338:59] + node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 338:94] + node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 338:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 338:44] + node _T_1613 = cat(_T_1612, _T_1607) @[Cat.scala 29:58] + node _T_1614 = cat(_T_1613, _T_1602) @[Cat.scala 29:58] + node _T_1615 = cat(_T_1614, _T_1597) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1592) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1582) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1618, _T_1577) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 340:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 342:30] + node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 342:43] + node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 342:59] + node _T_1622 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1623 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1624 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1625 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1626 = mux(_T_1622, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1627 = mux(_T_1623, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1628 = mux(_T_1624, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = or(_T_1626, _T_1627) @[Mux.scala 27:72] + node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] + node _T_1632 = or(_T_1631, _T_1629) @[Mux.scala 27:72] + wire _T_1633 : UInt<3> @[Mux.scala 27:72] + _T_1633 <= _T_1632 @[Mux.scala 27:72] + node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 342:107] + node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 342:75] + node _T_1636 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1637 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1638 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1639 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1640 = mux(_T_1636, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1641 = mux(_T_1637, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1642 = mux(_T_1638, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = or(_T_1640, _T_1641) @[Mux.scala 27:72] + node _T_1645 = or(_T_1644, _T_1642) @[Mux.scala 27:72] + node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] + wire _T_1647 : UInt<3> @[Mux.scala 27:72] + _T_1647 <= _T_1646 @[Mux.scala 27:72] + node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 342:150] + node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 342:118] + node _T_1650 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1651 = cat(_T_1650, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1652 = cat(_T_1651, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1653 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1654 = bits(_T_1652, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1655 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1656 = bits(_T_1652, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1657 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1658 = bits(_T_1652, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1660 = bits(_T_1652, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1661 = mux(_T_1653, _T_1654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1662 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1663 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = or(_T_1661, _T_1662) @[Mux.scala 27:72] + node _T_1666 = or(_T_1665, _T_1663) @[Mux.scala 27:72] + node _T_1667 = or(_T_1666, _T_1664) @[Mux.scala 27:72] + wire _T_1668 : UInt<1> @[Mux.scala 27:72] + _T_1668 <= _T_1667 @[Mux.scala 27:72] + node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 343:5] + node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 342:161] + node _T_1671 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1672 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1673 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1674 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1675 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1676 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1678 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1679 = mux(_T_1671, _T_1672, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1680 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1681 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = or(_T_1679, _T_1680) @[Mux.scala 27:72] + node _T_1684 = or(_T_1683, _T_1681) @[Mux.scala 27:72] + node _T_1685 = or(_T_1684, _T_1682) @[Mux.scala 27:72] + wire _T_1686 : UInt<1> @[Mux.scala 27:72] + _T_1686 <= _T_1685 @[Mux.scala 27:72] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 343:87] + node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 343:85] + node _T_1689 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1690 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1691 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1692 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1693 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1694 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1696 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1697 = mux(_T_1689, _T_1690, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1698 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1699 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = or(_T_1697, _T_1698) @[Mux.scala 27:72] + node _T_1702 = or(_T_1701, _T_1699) @[Mux.scala 27:72] + node _T_1703 = or(_T_1702, _T_1700) @[Mux.scala 27:72] + wire _T_1704 : UInt<1> @[Mux.scala 27:72] + _T_1704 <= _T_1703 @[Mux.scala 27:72] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:6] + node _T_1706 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1707 = cat(_T_1706, buf_dual[1]) @[Cat.scala 29:58] + node _T_1708 = cat(_T_1707, buf_dual[0]) @[Cat.scala 29:58] + node _T_1709 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1710 = bits(_T_1708, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1711 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1712 = bits(_T_1708, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1713 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1714 = bits(_T_1708, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1715 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1716 = bits(_T_1708, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1717 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1720 = mux(_T_1715, _T_1716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1721 = or(_T_1717, _T_1718) @[Mux.scala 27:72] + node _T_1722 = or(_T_1721, _T_1719) @[Mux.scala 27:72] + node _T_1723 = or(_T_1722, _T_1720) @[Mux.scala 27:72] + wire _T_1724 : UInt<1> @[Mux.scala 27:72] + _T_1724 <= _T_1723 @[Mux.scala 27:72] + node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 344:36] + node _T_1726 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1727 = cat(_T_1726, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1728 = cat(_T_1727, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1729 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1730 = bits(_T_1728, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1731 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1732 = bits(_T_1728, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1733 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1734 = bits(_T_1728, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1735 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1736 = bits(_T_1728, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1737 = mux(_T_1729, _T_1730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1738 = mux(_T_1731, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1739 = mux(_T_1733, _T_1734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1740 = mux(_T_1735, _T_1736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1741 = or(_T_1737, _T_1738) @[Mux.scala 27:72] + node _T_1742 = or(_T_1741, _T_1739) @[Mux.scala 27:72] + node _T_1743 = or(_T_1742, _T_1740) @[Mux.scala 27:72] + wire _T_1744 : UInt<1> @[Mux.scala 27:72] + _T_1744 <= _T_1743 @[Mux.scala 27:72] + node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:107] + node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 344:105] + node _T_1747 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1748 = cat(_T_1747, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1749 = cat(_T_1748, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1750 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1751 = bits(_T_1749, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1752 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1753 = bits(_T_1749, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1754 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1755 = bits(_T_1749, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1756 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1757 = bits(_T_1749, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1758 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1759 = mux(_T_1752, _T_1753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1754, _T_1755, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = or(_T_1758, _T_1759) @[Mux.scala 27:72] + node _T_1763 = or(_T_1762, _T_1760) @[Mux.scala 27:72] + node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] + wire _T_1765 : UInt<1> @[Mux.scala 27:72] + _T_1765 <= _T_1764 @[Mux.scala 27:72] + node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 344:177] + node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 343:122] + node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 345:19] + node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 345:35] + node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 344:250] + obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 342:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 347:55] + node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 348:58] + node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:93] + node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 348:91] + reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 348:54] + obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 348:14] + reg _T_1775 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1775 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 349:15] + reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:54] + _T_1776 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 350:54] + obuf_cmd_done <= _T_1776 @[lsu_bus_buffer.scala 350:17] + reg _T_1777 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] + _T_1777 <= obuf_data_done_in @[lsu_bus_buffer.scala 351:55] + obuf_data_done <= _T_1777 @[lsu_bus_buffer.scala 351:18] + reg _T_1778 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 352:56] + _T_1778 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 352:56] + obuf_rdrsp_pend <= _T_1778 @[lsu_bus_buffer.scala 352:19] + reg _T_1779 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 353:55] + _T_1779 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 353:55] + obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 353:18] + reg _T_1780 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1780 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1780 @[lsu_bus_buffer.scala 354:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1781 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1781 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1781 @[lsu_bus_buffer.scala 357:14] + reg _T_1782 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1782 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1782 @[lsu_bus_buffer.scala 358:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1783 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1783 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1783 @[lsu_bus_buffer.scala 360:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1784 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 363:54] + _T_1784 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 363:54] + obuf_wr_timer <= _T_1784 @[lsu_bus_buffer.scala 363:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1785 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1786 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:30] + node _T_1787 = and(ibuf_valid, _T_1786) @[lsu_bus_buffer.scala 367:19] + node _T_1788 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:18] + node _T_1789 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:57] + node _T_1790 = and(io.ldst_dual_r, _T_1789) @[lsu_bus_buffer.scala 368:45] + node _T_1791 = or(_T_1788, _T_1790) @[lsu_bus_buffer.scala 368:27] + node _T_1792 = and(io.lsu_busreq_r, _T_1791) @[lsu_bus_buffer.scala 367:58] + node _T_1793 = or(_T_1787, _T_1792) @[lsu_bus_buffer.scala 367:39] + node _T_1794 = eq(_T_1793, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1795 = and(_T_1785, _T_1794) @[lsu_bus_buffer.scala 366:76] + node _T_1796 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1797 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 367:30] + node _T_1798 = and(ibuf_valid, _T_1797) @[lsu_bus_buffer.scala 367:19] + node _T_1799 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:18] + node _T_1800 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:57] + node _T_1801 = and(io.ldst_dual_r, _T_1800) @[lsu_bus_buffer.scala 368:45] + node _T_1802 = or(_T_1799, _T_1801) @[lsu_bus_buffer.scala 368:27] + node _T_1803 = and(io.lsu_busreq_r, _T_1802) @[lsu_bus_buffer.scala 367:58] + node _T_1804 = or(_T_1798, _T_1803) @[lsu_bus_buffer.scala 367:39] + node _T_1805 = eq(_T_1804, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1806 = and(_T_1796, _T_1805) @[lsu_bus_buffer.scala 366:76] + node _T_1807 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1808 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 367:30] + node _T_1809 = and(ibuf_valid, _T_1808) @[lsu_bus_buffer.scala 367:19] + node _T_1810 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:18] + node _T_1811 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:57] + node _T_1812 = and(io.ldst_dual_r, _T_1811) @[lsu_bus_buffer.scala 368:45] + node _T_1813 = or(_T_1810, _T_1812) @[lsu_bus_buffer.scala 368:27] + node _T_1814 = and(io.lsu_busreq_r, _T_1813) @[lsu_bus_buffer.scala 367:58] + node _T_1815 = or(_T_1809, _T_1814) @[lsu_bus_buffer.scala 367:39] + node _T_1816 = eq(_T_1815, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1817 = and(_T_1807, _T_1816) @[lsu_bus_buffer.scala 366:76] + node _T_1818 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1819 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 367:30] + node _T_1820 = and(ibuf_valid, _T_1819) @[lsu_bus_buffer.scala 367:19] + node _T_1821 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:18] + node _T_1822 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:57] + node _T_1823 = and(io.ldst_dual_r, _T_1822) @[lsu_bus_buffer.scala 368:45] + node _T_1824 = or(_T_1821, _T_1823) @[lsu_bus_buffer.scala 368:27] + node _T_1825 = and(io.lsu_busreq_r, _T_1824) @[lsu_bus_buffer.scala 367:58] + node _T_1826 = or(_T_1820, _T_1825) @[lsu_bus_buffer.scala 367:39] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1828 = and(_T_1818, _T_1827) @[lsu_bus_buffer.scala 366:76] + node _T_1829 = mux(_T_1828, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1830 = mux(_T_1817, UInt<2>("h02"), _T_1829) @[Mux.scala 98:16] + node _T_1831 = mux(_T_1806, UInt<1>("h01"), _T_1830) @[Mux.scala 98:16] + node _T_1832 = mux(_T_1795, UInt<1>("h00"), _T_1831) @[Mux.scala 98:16] + WrPtr0_m <= _T_1832 @[lsu_bus_buffer.scala 366:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1834 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:103] + node _T_1835 = and(ibuf_valid, _T_1834) @[lsu_bus_buffer.scala 372:92] + node _T_1836 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:33] + node _T_1837 = and(io.lsu_busreq_m, _T_1836) @[lsu_bus_buffer.scala 373:22] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 372:112] + node _T_1839 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:36] + node _T_1840 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:34] + node _T_1841 = and(io.ldst_dual_r, _T_1840) @[lsu_bus_buffer.scala 375:23] + node _T_1842 = or(_T_1839, _T_1841) @[lsu_bus_buffer.scala 374:46] + node _T_1843 = and(io.lsu_busreq_r, _T_1842) @[lsu_bus_buffer.scala 374:22] + node _T_1844 = or(_T_1838, _T_1843) @[lsu_bus_buffer.scala 373:42] + node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1846 = and(_T_1833, _T_1845) @[lsu_bus_buffer.scala 372:76] + node _T_1847 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1848 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:103] + node _T_1849 = and(ibuf_valid, _T_1848) @[lsu_bus_buffer.scala 372:92] + node _T_1850 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:33] + node _T_1851 = and(io.lsu_busreq_m, _T_1850) @[lsu_bus_buffer.scala 373:22] + node _T_1852 = or(_T_1849, _T_1851) @[lsu_bus_buffer.scala 372:112] + node _T_1853 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:36] + node _T_1854 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:34] + node _T_1855 = and(io.ldst_dual_r, _T_1854) @[lsu_bus_buffer.scala 375:23] + node _T_1856 = or(_T_1853, _T_1855) @[lsu_bus_buffer.scala 374:46] + node _T_1857 = and(io.lsu_busreq_r, _T_1856) @[lsu_bus_buffer.scala 374:22] + node _T_1858 = or(_T_1852, _T_1857) @[lsu_bus_buffer.scala 373:42] + node _T_1859 = eq(_T_1858, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1860 = and(_T_1847, _T_1859) @[lsu_bus_buffer.scala 372:76] + node _T_1861 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1862 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:103] + node _T_1863 = and(ibuf_valid, _T_1862) @[lsu_bus_buffer.scala 372:92] + node _T_1864 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:33] + node _T_1865 = and(io.lsu_busreq_m, _T_1864) @[lsu_bus_buffer.scala 373:22] + node _T_1866 = or(_T_1863, _T_1865) @[lsu_bus_buffer.scala 372:112] + node _T_1867 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:36] + node _T_1868 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:34] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 375:23] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 374:46] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 374:22] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 373:42] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1874 = and(_T_1861, _T_1873) @[lsu_bus_buffer.scala 372:76] + node _T_1875 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:103] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 372:92] + node _T_1878 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:33] + node _T_1879 = and(io.lsu_busreq_m, _T_1878) @[lsu_bus_buffer.scala 373:22] + node _T_1880 = or(_T_1877, _T_1879) @[lsu_bus_buffer.scala 372:112] + node _T_1881 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:36] + node _T_1882 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:34] + node _T_1883 = and(io.ldst_dual_r, _T_1882) @[lsu_bus_buffer.scala 375:23] + node _T_1884 = or(_T_1881, _T_1883) @[lsu_bus_buffer.scala 374:46] + node _T_1885 = and(io.lsu_busreq_r, _T_1884) @[lsu_bus_buffer.scala 374:22] + node _T_1886 = or(_T_1880, _T_1885) @[lsu_bus_buffer.scala 373:42] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1888 = and(_T_1875, _T_1887) @[lsu_bus_buffer.scala 372:76] + node _T_1889 = mux(_T_1888, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1890 = mux(_T_1874, UInt<2>("h02"), _T_1889) @[Mux.scala 98:16] + node _T_1891 = mux(_T_1860, UInt<1>("h01"), _T_1890) @[Mux.scala 98:16] + node _T_1892 = mux(_T_1846, UInt<1>("h00"), _T_1891) @[Mux.scala 98:16] + WrPtr1_m <= _T_1892 @[lsu_bus_buffer.scala 372:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 377:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + node _T_1893 = orr(buf_age[0]) @[lsu_bus_buffer.scala 380:58] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1895 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1896 = and(_T_1894, _T_1895) @[lsu_bus_buffer.scala 380:63] + node _T_1897 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1898 = and(_T_1896, _T_1897) @[lsu_bus_buffer.scala 380:88] + node _T_1899 = orr(buf_age[1]) @[lsu_bus_buffer.scala 380:58] + node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1901 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1902 = and(_T_1900, _T_1901) @[lsu_bus_buffer.scala 380:63] + node _T_1903 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1904 = and(_T_1902, _T_1903) @[lsu_bus_buffer.scala 380:88] + node _T_1905 = orr(buf_age[2]) @[lsu_bus_buffer.scala 380:58] + node _T_1906 = eq(_T_1905, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1907 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1908 = and(_T_1906, _T_1907) @[lsu_bus_buffer.scala 380:63] + node _T_1909 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1910 = and(_T_1908, _T_1909) @[lsu_bus_buffer.scala 380:88] + node _T_1911 = orr(buf_age[3]) @[lsu_bus_buffer.scala 380:58] + node _T_1912 = eq(_T_1911, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1913 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1914 = and(_T_1912, _T_1913) @[lsu_bus_buffer.scala 380:63] + node _T_1915 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1916 = and(_T_1914, _T_1915) @[lsu_bus_buffer.scala 380:88] + node _T_1917 = cat(_T_1916, _T_1910) @[Cat.scala 29:58] + node _T_1918 = cat(_T_1917, _T_1904) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1918, _T_1898) @[Cat.scala 29:58] + node _T_1919 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1920 = and(buf_age[0], _T_1919) @[lsu_bus_buffer.scala 381:59] + node _T_1921 = orr(_T_1920) @[lsu_bus_buffer.scala 381:76] + node _T_1922 = eq(_T_1921, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1923 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 381:94] + node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1925 = and(_T_1922, _T_1924) @[lsu_bus_buffer.scala 381:81] + node _T_1926 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1927 = and(_T_1925, _T_1926) @[lsu_bus_buffer.scala 381:98] + node _T_1928 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1929 = and(_T_1927, _T_1928) @[lsu_bus_buffer.scala 381:123] + node _T_1930 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1931 = and(buf_age[1], _T_1930) @[lsu_bus_buffer.scala 381:59] + node _T_1932 = orr(_T_1931) @[lsu_bus_buffer.scala 381:76] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1934 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 381:94] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1936 = and(_T_1933, _T_1935) @[lsu_bus_buffer.scala 381:81] + node _T_1937 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1938 = and(_T_1936, _T_1937) @[lsu_bus_buffer.scala 381:98] + node _T_1939 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1940 = and(_T_1938, _T_1939) @[lsu_bus_buffer.scala 381:123] + node _T_1941 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1942 = and(buf_age[2], _T_1941) @[lsu_bus_buffer.scala 381:59] + node _T_1943 = orr(_T_1942) @[lsu_bus_buffer.scala 381:76] + node _T_1944 = eq(_T_1943, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1945 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 381:94] + node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1947 = and(_T_1944, _T_1946) @[lsu_bus_buffer.scala 381:81] + node _T_1948 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1949 = and(_T_1947, _T_1948) @[lsu_bus_buffer.scala 381:98] + node _T_1950 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1951 = and(_T_1949, _T_1950) @[lsu_bus_buffer.scala 381:123] + node _T_1952 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1953 = and(buf_age[3], _T_1952) @[lsu_bus_buffer.scala 381:59] + node _T_1954 = orr(_T_1953) @[lsu_bus_buffer.scala 381:76] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1956 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 381:94] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1958 = and(_T_1955, _T_1957) @[lsu_bus_buffer.scala 381:81] + node _T_1959 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1960 = and(_T_1958, _T_1959) @[lsu_bus_buffer.scala 381:98] + node _T_1961 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1962 = and(_T_1960, _T_1961) @[lsu_bus_buffer.scala 381:123] + node _T_1963 = cat(_T_1962, _T_1951) @[Cat.scala 29:58] + node _T_1964 = cat(_T_1963, _T_1940) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_1964, _T_1929) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 382:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + node _T_1965 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 384:65] + node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1967 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1968 = and(_T_1966, _T_1967) @[lsu_bus_buffer.scala 384:70] + node _T_1969 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 384:65] + node _T_1970 = eq(_T_1969, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1971 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 384:70] + node _T_1973 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 384:65] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1975 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 384:70] + node _T_1977 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 384:65] + node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1979 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1980 = and(_T_1978, _T_1979) @[lsu_bus_buffer.scala 384:70] + node _T_1981 = cat(_T_1980, _T_1976) @[Cat.scala 29:58] + node _T_1982 = cat(_T_1981, _T_1972) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_1982, _T_1968) @[Cat.scala 29:58] + node _T_1983 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 385:31] + found_cmdptr0 <= _T_1983 @[lsu_bus_buffer.scala 385:17] + node _T_1984 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 386:31] + found_cmdptr1 <= _T_1984 @[lsu_bus_buffer.scala 386:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_1985 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1986 = cat(_T_1985, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_1987 = bits(_T_1986, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_1988 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_1989 = or(_T_1987, _T_1988) @[lsu_bus_buffer.scala 388:42] + node _T_1990 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_1991 = or(_T_1989, _T_1990) @[lsu_bus_buffer.scala 388:48] + node _T_1992 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_1993 = or(_T_1991, _T_1992) @[lsu_bus_buffer.scala 388:54] + node _T_1994 = bits(_T_1986, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_1995 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 388:67] + node _T_1997 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 388:73] + node _T_1999 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 388:79] + node _T_2001 = bits(_T_1986, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2002 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 388:92] + node _T_2004 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 388:98] + node _T_2006 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 388:104] + node _T_2008 = cat(_T_1993, _T_2000) @[Cat.scala 29:58] + node _T_2009 = cat(_T_2008, _T_2007) @[Cat.scala 29:58] + CmdPtr0 <= _T_2009 @[lsu_bus_buffer.scala 393:11] + node _T_2010 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2011 = cat(_T_2010, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2012 = bits(_T_2011, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2013 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 388:42] + node _T_2015 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2016 = or(_T_2014, _T_2015) @[lsu_bus_buffer.scala 388:48] + node _T_2017 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2018 = or(_T_2016, _T_2017) @[lsu_bus_buffer.scala 388:54] + node _T_2019 = bits(_T_2011, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2020 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 388:67] + node _T_2022 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 388:73] + node _T_2024 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 388:79] + node _T_2026 = bits(_T_2011, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2027 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 388:92] + node _T_2029 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 388:98] + node _T_2031 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 388:104] + node _T_2033 = cat(_T_2018, _T_2025) @[Cat.scala 29:58] + node _T_2034 = cat(_T_2033, _T_2032) @[Cat.scala 29:58] + CmdPtr1 <= _T_2034 @[lsu_bus_buffer.scala 395:11] + node _T_2035 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2036 = cat(_T_2035, RspPtrDec) @[Cat.scala 29:58] + node _T_2037 = bits(_T_2036, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2038 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 388:42] + node _T_2040 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2041 = or(_T_2039, _T_2040) @[lsu_bus_buffer.scala 388:48] + node _T_2042 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2043 = or(_T_2041, _T_2042) @[lsu_bus_buffer.scala 388:54] + node _T_2044 = bits(_T_2036, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2045 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 388:67] + node _T_2047 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 388:73] + node _T_2049 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 388:79] + node _T_2051 = bits(_T_2036, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2052 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 388:92] + node _T_2054 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 388:98] + node _T_2056 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 388:104] + node _T_2058 = cat(_T_2043, _T_2050) @[Cat.scala 29:58] + node _T_2059 = cat(_T_2058, _T_2057) @[Cat.scala 29:58] + RspPtr <= _T_2059 @[lsu_bus_buffer.scala 396:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 397:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 399:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 401:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 403:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 405:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + node _T_2060 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2061 = and(_T_2060, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2062 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2063 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2064 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2065 = and(_T_2063, _T_2064) @[lsu_bus_buffer.scala 409:57] + node _T_2066 = or(_T_2062, _T_2065) @[lsu_bus_buffer.scala 409:31] + node _T_2067 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2068 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2069 = and(_T_2067, _T_2068) @[lsu_bus_buffer.scala 410:41] + node _T_2070 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2071 = and(_T_2069, _T_2070) @[lsu_bus_buffer.scala 410:71] + node _T_2072 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2073 = and(_T_2071, _T_2072) @[lsu_bus_buffer.scala 410:92] + node _T_2074 = or(_T_2066, _T_2073) @[lsu_bus_buffer.scala 409:86] + node _T_2075 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2076 = and(_T_2075, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2077 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 411:52] + node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 411:73] + node _T_2081 = or(_T_2074, _T_2080) @[lsu_bus_buffer.scala 410:114] + node _T_2082 = and(_T_2061, _T_2081) @[lsu_bus_buffer.scala 408:113] + node _T_2083 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 411:97] + node _T_2085 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2086 = and(_T_2085, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2087 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2088 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2089 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2090 = and(_T_2088, _T_2089) @[lsu_bus_buffer.scala 409:57] + node _T_2091 = or(_T_2087, _T_2090) @[lsu_bus_buffer.scala 409:31] + node _T_2092 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2093 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2094 = and(_T_2092, _T_2093) @[lsu_bus_buffer.scala 410:41] + node _T_2095 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2096 = and(_T_2094, _T_2095) @[lsu_bus_buffer.scala 410:71] + node _T_2097 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2098 = and(_T_2096, _T_2097) @[lsu_bus_buffer.scala 410:92] + node _T_2099 = or(_T_2091, _T_2098) @[lsu_bus_buffer.scala 409:86] + node _T_2100 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2101 = and(_T_2100, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2102 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 411:52] + node _T_2104 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 411:73] + node _T_2106 = or(_T_2099, _T_2105) @[lsu_bus_buffer.scala 410:114] + node _T_2107 = and(_T_2086, _T_2106) @[lsu_bus_buffer.scala 408:113] + node _T_2108 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 411:97] + node _T_2110 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2111 = and(_T_2110, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2112 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2113 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2114 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2115 = and(_T_2113, _T_2114) @[lsu_bus_buffer.scala 409:57] + node _T_2116 = or(_T_2112, _T_2115) @[lsu_bus_buffer.scala 409:31] + node _T_2117 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2118 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2119 = and(_T_2117, _T_2118) @[lsu_bus_buffer.scala 410:41] + node _T_2120 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2121 = and(_T_2119, _T_2120) @[lsu_bus_buffer.scala 410:71] + node _T_2122 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2123 = and(_T_2121, _T_2122) @[lsu_bus_buffer.scala 410:92] + node _T_2124 = or(_T_2116, _T_2123) @[lsu_bus_buffer.scala 409:86] + node _T_2125 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2126 = and(_T_2125, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2127 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 411:52] + node _T_2129 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 411:73] + node _T_2131 = or(_T_2124, _T_2130) @[lsu_bus_buffer.scala 410:114] + node _T_2132 = and(_T_2111, _T_2131) @[lsu_bus_buffer.scala 408:113] + node _T_2133 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2134 = or(_T_2132, _T_2133) @[lsu_bus_buffer.scala 411:97] + node _T_2135 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2136 = and(_T_2135, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2137 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2138 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2139 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2140 = and(_T_2138, _T_2139) @[lsu_bus_buffer.scala 409:57] + node _T_2141 = or(_T_2137, _T_2140) @[lsu_bus_buffer.scala 409:31] + node _T_2142 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2143 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2144 = and(_T_2142, _T_2143) @[lsu_bus_buffer.scala 410:41] + node _T_2145 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 410:71] + node _T_2147 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 410:92] + node _T_2149 = or(_T_2141, _T_2148) @[lsu_bus_buffer.scala 409:86] + node _T_2150 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2151 = and(_T_2150, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2152 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 411:52] + node _T_2154 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 411:73] + node _T_2156 = or(_T_2149, _T_2155) @[lsu_bus_buffer.scala 410:114] + node _T_2157 = and(_T_2136, _T_2156) @[lsu_bus_buffer.scala 408:113] + node _T_2158 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2159 = or(_T_2157, _T_2158) @[lsu_bus_buffer.scala 411:97] + node _T_2160 = cat(_T_2159, _T_2134) @[Cat.scala 29:58] + node _T_2161 = cat(_T_2160, _T_2109) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2161, _T_2084) @[Cat.scala 29:58] + node _T_2162 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2163 = and(_T_2162, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2164 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2165 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2166 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2167 = and(_T_2165, _T_2166) @[lsu_bus_buffer.scala 409:57] + node _T_2168 = or(_T_2164, _T_2167) @[lsu_bus_buffer.scala 409:31] + node _T_2169 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2170 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 410:41] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 410:71] + node _T_2174 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2175 = and(_T_2173, _T_2174) @[lsu_bus_buffer.scala 410:92] + node _T_2176 = or(_T_2168, _T_2175) @[lsu_bus_buffer.scala 409:86] + node _T_2177 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2178 = and(_T_2177, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2179 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 411:52] + node _T_2181 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 411:73] + node _T_2183 = or(_T_2176, _T_2182) @[lsu_bus_buffer.scala 410:114] + node _T_2184 = and(_T_2163, _T_2183) @[lsu_bus_buffer.scala 408:113] + node _T_2185 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2186 = or(_T_2184, _T_2185) @[lsu_bus_buffer.scala 411:97] + node _T_2187 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2188 = and(_T_2187, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2189 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2190 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2191 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2192 = and(_T_2190, _T_2191) @[lsu_bus_buffer.scala 409:57] + node _T_2193 = or(_T_2189, _T_2192) @[lsu_bus_buffer.scala 409:31] + node _T_2194 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2195 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 410:41] + node _T_2197 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 410:71] + node _T_2199 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2200 = and(_T_2198, _T_2199) @[lsu_bus_buffer.scala 410:92] + node _T_2201 = or(_T_2193, _T_2200) @[lsu_bus_buffer.scala 409:86] + node _T_2202 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2203 = and(_T_2202, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2204 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 411:52] + node _T_2206 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 411:73] + node _T_2208 = or(_T_2201, _T_2207) @[lsu_bus_buffer.scala 410:114] + node _T_2209 = and(_T_2188, _T_2208) @[lsu_bus_buffer.scala 408:113] + node _T_2210 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2211 = or(_T_2209, _T_2210) @[lsu_bus_buffer.scala 411:97] + node _T_2212 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2213 = and(_T_2212, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2214 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2215 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2216 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2217 = and(_T_2215, _T_2216) @[lsu_bus_buffer.scala 409:57] + node _T_2218 = or(_T_2214, _T_2217) @[lsu_bus_buffer.scala 409:31] + node _T_2219 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2220 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 410:41] + node _T_2222 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 410:71] + node _T_2224 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2225 = and(_T_2223, _T_2224) @[lsu_bus_buffer.scala 410:92] + node _T_2226 = or(_T_2218, _T_2225) @[lsu_bus_buffer.scala 409:86] + node _T_2227 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2228 = and(_T_2227, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2229 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 411:52] + node _T_2231 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 411:73] + node _T_2233 = or(_T_2226, _T_2232) @[lsu_bus_buffer.scala 410:114] + node _T_2234 = and(_T_2213, _T_2233) @[lsu_bus_buffer.scala 408:113] + node _T_2235 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2236 = or(_T_2234, _T_2235) @[lsu_bus_buffer.scala 411:97] + node _T_2237 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2238 = and(_T_2237, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2239 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2240 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2241 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2242 = and(_T_2240, _T_2241) @[lsu_bus_buffer.scala 409:57] + node _T_2243 = or(_T_2239, _T_2242) @[lsu_bus_buffer.scala 409:31] + node _T_2244 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2245 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2246 = and(_T_2244, _T_2245) @[lsu_bus_buffer.scala 410:41] + node _T_2247 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 410:71] + node _T_2249 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 410:92] + node _T_2251 = or(_T_2243, _T_2250) @[lsu_bus_buffer.scala 409:86] + node _T_2252 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2253 = and(_T_2252, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2254 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 411:52] + node _T_2256 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 411:73] + node _T_2258 = or(_T_2251, _T_2257) @[lsu_bus_buffer.scala 410:114] + node _T_2259 = and(_T_2238, _T_2258) @[lsu_bus_buffer.scala 408:113] + node _T_2260 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2261 = or(_T_2259, _T_2260) @[lsu_bus_buffer.scala 411:97] + node _T_2262 = cat(_T_2261, _T_2236) @[Cat.scala 29:58] + node _T_2263 = cat(_T_2262, _T_2211) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2263, _T_2186) @[Cat.scala 29:58] + node _T_2264 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2265 = and(_T_2264, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2266 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2267 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2268 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2269 = and(_T_2267, _T_2268) @[lsu_bus_buffer.scala 409:57] + node _T_2270 = or(_T_2266, _T_2269) @[lsu_bus_buffer.scala 409:31] + node _T_2271 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2272 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 410:41] + node _T_2274 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 410:71] + node _T_2276 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2277 = and(_T_2275, _T_2276) @[lsu_bus_buffer.scala 410:92] + node _T_2278 = or(_T_2270, _T_2277) @[lsu_bus_buffer.scala 409:86] + node _T_2279 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2280 = and(_T_2279, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2281 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 411:52] + node _T_2283 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 411:73] + node _T_2285 = or(_T_2278, _T_2284) @[lsu_bus_buffer.scala 410:114] + node _T_2286 = and(_T_2265, _T_2285) @[lsu_bus_buffer.scala 408:113] + node _T_2287 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2288 = or(_T_2286, _T_2287) @[lsu_bus_buffer.scala 411:97] + node _T_2289 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2290 = and(_T_2289, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2291 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2292 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2293 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2294 = and(_T_2292, _T_2293) @[lsu_bus_buffer.scala 409:57] + node _T_2295 = or(_T_2291, _T_2294) @[lsu_bus_buffer.scala 409:31] + node _T_2296 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2297 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 410:41] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 410:71] + node _T_2301 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2302 = and(_T_2300, _T_2301) @[lsu_bus_buffer.scala 410:92] + node _T_2303 = or(_T_2295, _T_2302) @[lsu_bus_buffer.scala 409:86] + node _T_2304 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2305 = and(_T_2304, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2306 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 411:52] + node _T_2308 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 411:73] + node _T_2310 = or(_T_2303, _T_2309) @[lsu_bus_buffer.scala 410:114] + node _T_2311 = and(_T_2290, _T_2310) @[lsu_bus_buffer.scala 408:113] + node _T_2312 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2313 = or(_T_2311, _T_2312) @[lsu_bus_buffer.scala 411:97] + node _T_2314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2315 = and(_T_2314, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2316 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2317 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2318 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2319 = and(_T_2317, _T_2318) @[lsu_bus_buffer.scala 409:57] + node _T_2320 = or(_T_2316, _T_2319) @[lsu_bus_buffer.scala 409:31] + node _T_2321 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2322 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 410:41] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 410:71] + node _T_2326 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2327 = and(_T_2325, _T_2326) @[lsu_bus_buffer.scala 410:92] + node _T_2328 = or(_T_2320, _T_2327) @[lsu_bus_buffer.scala 409:86] + node _T_2329 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2330 = and(_T_2329, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2331 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 411:52] + node _T_2333 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 411:73] + node _T_2335 = or(_T_2328, _T_2334) @[lsu_bus_buffer.scala 410:114] + node _T_2336 = and(_T_2315, _T_2335) @[lsu_bus_buffer.scala 408:113] + node _T_2337 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2338 = or(_T_2336, _T_2337) @[lsu_bus_buffer.scala 411:97] + node _T_2339 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2340 = and(_T_2339, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2341 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2342 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2343 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2344 = and(_T_2342, _T_2343) @[lsu_bus_buffer.scala 409:57] + node _T_2345 = or(_T_2341, _T_2344) @[lsu_bus_buffer.scala 409:31] + node _T_2346 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2347 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2348 = and(_T_2346, _T_2347) @[lsu_bus_buffer.scala 410:41] + node _T_2349 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 410:71] + node _T_2351 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 410:92] + node _T_2353 = or(_T_2345, _T_2352) @[lsu_bus_buffer.scala 409:86] + node _T_2354 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2355 = and(_T_2354, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 411:52] + node _T_2358 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 411:73] + node _T_2360 = or(_T_2353, _T_2359) @[lsu_bus_buffer.scala 410:114] + node _T_2361 = and(_T_2340, _T_2360) @[lsu_bus_buffer.scala 408:113] + node _T_2362 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2363 = or(_T_2361, _T_2362) @[lsu_bus_buffer.scala 411:97] + node _T_2364 = cat(_T_2363, _T_2338) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2364, _T_2313) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2365, _T_2288) @[Cat.scala 29:58] + node _T_2366 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2367 = and(_T_2366, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2368 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2369 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2371 = and(_T_2369, _T_2370) @[lsu_bus_buffer.scala 409:57] + node _T_2372 = or(_T_2368, _T_2371) @[lsu_bus_buffer.scala 409:31] + node _T_2373 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2374 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 410:41] + node _T_2376 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 410:71] + node _T_2378 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2379 = and(_T_2377, _T_2378) @[lsu_bus_buffer.scala 410:92] + node _T_2380 = or(_T_2372, _T_2379) @[lsu_bus_buffer.scala 409:86] + node _T_2381 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2382 = and(_T_2381, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2383 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 411:52] + node _T_2385 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 411:73] + node _T_2387 = or(_T_2380, _T_2386) @[lsu_bus_buffer.scala 410:114] + node _T_2388 = and(_T_2367, _T_2387) @[lsu_bus_buffer.scala 408:113] + node _T_2389 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2390 = or(_T_2388, _T_2389) @[lsu_bus_buffer.scala 411:97] + node _T_2391 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2392 = and(_T_2391, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2393 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2394 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2395 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2396 = and(_T_2394, _T_2395) @[lsu_bus_buffer.scala 409:57] + node _T_2397 = or(_T_2393, _T_2396) @[lsu_bus_buffer.scala 409:31] + node _T_2398 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2399 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 410:41] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 410:71] + node _T_2403 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2404 = and(_T_2402, _T_2403) @[lsu_bus_buffer.scala 410:92] + node _T_2405 = or(_T_2397, _T_2404) @[lsu_bus_buffer.scala 409:86] + node _T_2406 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2407 = and(_T_2406, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2408 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 411:52] + node _T_2410 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 411:73] + node _T_2412 = or(_T_2405, _T_2411) @[lsu_bus_buffer.scala 410:114] + node _T_2413 = and(_T_2392, _T_2412) @[lsu_bus_buffer.scala 408:113] + node _T_2414 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2415 = or(_T_2413, _T_2414) @[lsu_bus_buffer.scala 411:97] + node _T_2416 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2417 = and(_T_2416, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2418 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2419 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2420 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2421 = and(_T_2419, _T_2420) @[lsu_bus_buffer.scala 409:57] + node _T_2422 = or(_T_2418, _T_2421) @[lsu_bus_buffer.scala 409:31] + node _T_2423 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2424 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 410:41] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 410:71] + node _T_2428 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2429 = and(_T_2427, _T_2428) @[lsu_bus_buffer.scala 410:92] + node _T_2430 = or(_T_2422, _T_2429) @[lsu_bus_buffer.scala 409:86] + node _T_2431 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2432 = and(_T_2431, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2433 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 411:52] + node _T_2435 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 411:73] + node _T_2437 = or(_T_2430, _T_2436) @[lsu_bus_buffer.scala 410:114] + node _T_2438 = and(_T_2417, _T_2437) @[lsu_bus_buffer.scala 408:113] + node _T_2439 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2440 = or(_T_2438, _T_2439) @[lsu_bus_buffer.scala 411:97] + node _T_2441 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2442 = and(_T_2441, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2443 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2444 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2446 = and(_T_2444, _T_2445) @[lsu_bus_buffer.scala 409:57] + node _T_2447 = or(_T_2443, _T_2446) @[lsu_bus_buffer.scala 409:31] + node _T_2448 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2449 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2450 = and(_T_2448, _T_2449) @[lsu_bus_buffer.scala 410:41] + node _T_2451 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 410:71] + node _T_2453 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 410:92] + node _T_2455 = or(_T_2447, _T_2454) @[lsu_bus_buffer.scala 409:86] + node _T_2456 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2457 = and(_T_2456, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2458 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 411:52] + node _T_2460 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 411:73] + node _T_2462 = or(_T_2455, _T_2461) @[lsu_bus_buffer.scala 410:114] + node _T_2463 = and(_T_2442, _T_2462) @[lsu_bus_buffer.scala 408:113] + node _T_2464 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2465 = or(_T_2463, _T_2464) @[lsu_bus_buffer.scala 411:97] + node _T_2466 = cat(_T_2465, _T_2440) @[Cat.scala 29:58] + node _T_2467 = cat(_T_2466, _T_2415) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2467, _T_2390) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 412:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + node _T_2468 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2469 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2470 = and(_T_2469, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2471 = eq(_T_2470, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2472 = and(_T_2468, _T_2471) @[lsu_bus_buffer.scala 414:76] + node _T_2473 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2474 = and(_T_2472, _T_2473) @[lsu_bus_buffer.scala 414:138] + node _T_2475 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2476 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 414:76] + node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 414:138] + node _T_2482 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2483 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 414:76] + node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 414:138] + node _T_2489 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2490 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 414:76] + node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 414:138] + node _T_2496 = cat(_T_2495, _T_2488) @[Cat.scala 29:58] + node _T_2497 = cat(_T_2496, _T_2481) @[Cat.scala 29:58] + node _T_2498 = cat(_T_2497, _T_2474) @[Cat.scala 29:58] + node _T_2499 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2502 = eq(_T_2501, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2503 = and(_T_2499, _T_2502) @[lsu_bus_buffer.scala 414:76] + node _T_2504 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2505 = and(_T_2503, _T_2504) @[lsu_bus_buffer.scala 414:138] + node _T_2506 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2507 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 414:76] + node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 414:138] + node _T_2513 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2514 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 414:76] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 414:138] + node _T_2520 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2521 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 414:76] + node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 414:138] + node _T_2527 = cat(_T_2526, _T_2519) @[Cat.scala 29:58] + node _T_2528 = cat(_T_2527, _T_2512) @[Cat.scala 29:58] + node _T_2529 = cat(_T_2528, _T_2505) @[Cat.scala 29:58] + node _T_2530 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2531 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2533 = eq(_T_2532, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2534 = and(_T_2530, _T_2533) @[lsu_bus_buffer.scala 414:76] + node _T_2535 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2536 = and(_T_2534, _T_2535) @[lsu_bus_buffer.scala 414:138] + node _T_2537 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2538 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 414:76] + node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 414:138] + node _T_2544 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2545 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 414:76] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 414:138] + node _T_2551 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 414:76] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 414:138] + node _T_2558 = cat(_T_2557, _T_2550) @[Cat.scala 29:58] + node _T_2559 = cat(_T_2558, _T_2543) @[Cat.scala 29:58] + node _T_2560 = cat(_T_2559, _T_2536) @[Cat.scala 29:58] + node _T_2561 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2562 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2565 = and(_T_2561, _T_2564) @[lsu_bus_buffer.scala 414:76] + node _T_2566 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2567 = and(_T_2565, _T_2566) @[lsu_bus_buffer.scala 414:138] + node _T_2568 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2569 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 414:76] + node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 414:138] + node _T_2575 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 414:76] + node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 414:138] + node _T_2582 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2583 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 414:76] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 414:138] + node _T_2589 = cat(_T_2588, _T_2581) @[Cat.scala 29:58] + node _T_2590 = cat(_T_2589, _T_2574) @[Cat.scala 29:58] + node _T_2591 = cat(_T_2590, _T_2567) @[Cat.scala 29:58] + buf_age[0] <= _T_2498 @[lsu_bus_buffer.scala 414:11] + buf_age[1] <= _T_2529 @[lsu_bus_buffer.scala 414:11] + buf_age[2] <= _T_2560 @[lsu_bus_buffer.scala 414:11] + buf_age[3] <= _T_2591 @[lsu_bus_buffer.scala 414:11] + node _T_2592 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2593 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2594 = eq(_T_2593, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2595 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2596 = and(_T_2594, _T_2595) @[lsu_bus_buffer.scala 415:104] + node _T_2597 = mux(_T_2592, UInt<1>("h00"), _T_2596) @[lsu_bus_buffer.scala 415:72] + node _T_2598 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2599 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2601 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2602 = and(_T_2600, _T_2601) @[lsu_bus_buffer.scala 415:104] + node _T_2603 = mux(_T_2598, UInt<1>("h00"), _T_2602) @[lsu_bus_buffer.scala 415:72] + node _T_2604 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2605 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2607 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2608 = and(_T_2606, _T_2607) @[lsu_bus_buffer.scala 415:104] + node _T_2609 = mux(_T_2604, UInt<1>("h00"), _T_2608) @[lsu_bus_buffer.scala 415:72] + node _T_2610 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2611 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2613 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2614 = and(_T_2612, _T_2613) @[lsu_bus_buffer.scala 415:104] + node _T_2615 = mux(_T_2610, UInt<1>("h00"), _T_2614) @[lsu_bus_buffer.scala 415:72] + node _T_2616 = cat(_T_2615, _T_2609) @[Cat.scala 29:58] + node _T_2617 = cat(_T_2616, _T_2603) @[Cat.scala 29:58] + node _T_2618 = cat(_T_2617, _T_2597) @[Cat.scala 29:58] + node _T_2619 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2620 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2622 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2623 = and(_T_2621, _T_2622) @[lsu_bus_buffer.scala 415:104] + node _T_2624 = mux(_T_2619, UInt<1>("h00"), _T_2623) @[lsu_bus_buffer.scala 415:72] + node _T_2625 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2626 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2627 = eq(_T_2626, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2628 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2629 = and(_T_2627, _T_2628) @[lsu_bus_buffer.scala 415:104] + node _T_2630 = mux(_T_2625, UInt<1>("h00"), _T_2629) @[lsu_bus_buffer.scala 415:72] + node _T_2631 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2632 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2634 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2635 = and(_T_2633, _T_2634) @[lsu_bus_buffer.scala 415:104] + node _T_2636 = mux(_T_2631, UInt<1>("h00"), _T_2635) @[lsu_bus_buffer.scala 415:72] + node _T_2637 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2638 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2640 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2641 = and(_T_2639, _T_2640) @[lsu_bus_buffer.scala 415:104] + node _T_2642 = mux(_T_2637, UInt<1>("h00"), _T_2641) @[lsu_bus_buffer.scala 415:72] + node _T_2643 = cat(_T_2642, _T_2636) @[Cat.scala 29:58] + node _T_2644 = cat(_T_2643, _T_2630) @[Cat.scala 29:58] + node _T_2645 = cat(_T_2644, _T_2624) @[Cat.scala 29:58] + node _T_2646 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2647 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2649 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 415:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 415:72] + node _T_2652 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2653 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2655 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2656 = and(_T_2654, _T_2655) @[lsu_bus_buffer.scala 415:104] + node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[lsu_bus_buffer.scala 415:72] + node _T_2658 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2659 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2661 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2662 = and(_T_2660, _T_2661) @[lsu_bus_buffer.scala 415:104] + node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[lsu_bus_buffer.scala 415:72] + node _T_2664 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2665 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2666 = eq(_T_2665, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2667 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2668 = and(_T_2666, _T_2667) @[lsu_bus_buffer.scala 415:104] + node _T_2669 = mux(_T_2664, UInt<1>("h00"), _T_2668) @[lsu_bus_buffer.scala 415:72] + node _T_2670 = cat(_T_2669, _T_2663) @[Cat.scala 29:58] + node _T_2671 = cat(_T_2670, _T_2657) @[Cat.scala 29:58] + node _T_2672 = cat(_T_2671, _T_2651) @[Cat.scala 29:58] + node _T_2673 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2674 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2676 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 415:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 415:72] + node _T_2679 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2680 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2682 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2683 = and(_T_2681, _T_2682) @[lsu_bus_buffer.scala 415:104] + node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[lsu_bus_buffer.scala 415:72] + node _T_2685 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2686 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2688 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2689 = and(_T_2687, _T_2688) @[lsu_bus_buffer.scala 415:104] + node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[lsu_bus_buffer.scala 415:72] + node _T_2691 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2692 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2694 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2695 = and(_T_2693, _T_2694) @[lsu_bus_buffer.scala 415:104] + node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[lsu_bus_buffer.scala 415:72] + node _T_2697 = cat(_T_2696, _T_2690) @[Cat.scala 29:58] + node _T_2698 = cat(_T_2697, _T_2684) @[Cat.scala 29:58] + node _T_2699 = cat(_T_2698, _T_2678) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2618 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[1] <= _T_2645 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[2] <= _T_2672 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[3] <= _T_2699 @[lsu_bus_buffer.scala 415:19] + node _T_2700 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2701 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 416:87] + node _T_2703 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2704 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2705 = and(_T_2703, _T_2704) @[lsu_bus_buffer.scala 416:87] + node _T_2706 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2707 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2708 = and(_T_2706, _T_2707) @[lsu_bus_buffer.scala 416:87] + node _T_2709 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2710 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2711 = and(_T_2709, _T_2710) @[lsu_bus_buffer.scala 416:87] + node _T_2712 = cat(_T_2711, _T_2708) @[Cat.scala 29:58] + node _T_2713 = cat(_T_2712, _T_2705) @[Cat.scala 29:58] + node _T_2714 = cat(_T_2713, _T_2702) @[Cat.scala 29:58] + node _T_2715 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2716 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2717 = and(_T_2715, _T_2716) @[lsu_bus_buffer.scala 416:87] + node _T_2718 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2719 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2720 = and(_T_2718, _T_2719) @[lsu_bus_buffer.scala 416:87] + node _T_2721 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2722 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2723 = and(_T_2721, _T_2722) @[lsu_bus_buffer.scala 416:87] + node _T_2724 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2725 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2726 = and(_T_2724, _T_2725) @[lsu_bus_buffer.scala 416:87] + node _T_2727 = cat(_T_2726, _T_2723) @[Cat.scala 29:58] + node _T_2728 = cat(_T_2727, _T_2720) @[Cat.scala 29:58] + node _T_2729 = cat(_T_2728, _T_2717) @[Cat.scala 29:58] + node _T_2730 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2731 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2732 = and(_T_2730, _T_2731) @[lsu_bus_buffer.scala 416:87] + node _T_2733 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2734 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2735 = and(_T_2733, _T_2734) @[lsu_bus_buffer.scala 416:87] + node _T_2736 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2737 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 416:87] + node _T_2739 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2740 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 416:87] + node _T_2742 = cat(_T_2741, _T_2738) @[Cat.scala 29:58] + node _T_2743 = cat(_T_2742, _T_2735) @[Cat.scala 29:58] + node _T_2744 = cat(_T_2743, _T_2732) @[Cat.scala 29:58] + node _T_2745 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2746 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 416:87] + node _T_2748 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2749 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2750 = and(_T_2748, _T_2749) @[lsu_bus_buffer.scala 416:87] + node _T_2751 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2752 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 416:87] + node _T_2754 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2755 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 416:87] + node _T_2757 = cat(_T_2756, _T_2753) @[Cat.scala 29:58] + node _T_2758 = cat(_T_2757, _T_2750) @[Cat.scala 29:58] + node _T_2759 = cat(_T_2758, _T_2747) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2714 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[1] <= _T_2729 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[2] <= _T_2744 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[3] <= _T_2759 @[lsu_bus_buffer.scala 416:19] + node _T_2760 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2761 = and(_T_2760, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2762 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2763 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2764 = or(_T_2762, _T_2763) @[lsu_bus_buffer.scala 419:32] + node _T_2765 = eq(_T_2764, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2766 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2767 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 420:41] + node _T_2769 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2770 = and(_T_2768, _T_2769) @[lsu_bus_buffer.scala 420:71] + node _T_2771 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2772 = and(_T_2770, _T_2771) @[lsu_bus_buffer.scala 420:90] + node _T_2773 = or(_T_2765, _T_2772) @[lsu_bus_buffer.scala 419:59] + node _T_2774 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2775 = and(_T_2774, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2776 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 421:52] + node _T_2778 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 421:71] + node _T_2780 = or(_T_2773, _T_2779) @[lsu_bus_buffer.scala 420:110] + node _T_2781 = and(_T_2761, _T_2780) @[lsu_bus_buffer.scala 418:112] + node _T_2782 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2783 = and(_T_2782, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2784 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2785 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2786 = or(_T_2784, _T_2785) @[lsu_bus_buffer.scala 419:32] + node _T_2787 = eq(_T_2786, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2788 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2789 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2790 = and(_T_2788, _T_2789) @[lsu_bus_buffer.scala 420:41] + node _T_2791 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 420:71] + node _T_2793 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2794 = and(_T_2792, _T_2793) @[lsu_bus_buffer.scala 420:90] + node _T_2795 = or(_T_2787, _T_2794) @[lsu_bus_buffer.scala 419:59] + node _T_2796 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2797 = and(_T_2796, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2798 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 421:52] + node _T_2800 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 421:71] + node _T_2802 = or(_T_2795, _T_2801) @[lsu_bus_buffer.scala 420:110] + node _T_2803 = and(_T_2783, _T_2802) @[lsu_bus_buffer.scala 418:112] + node _T_2804 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2805 = and(_T_2804, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2806 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2807 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2808 = or(_T_2806, _T_2807) @[lsu_bus_buffer.scala 419:32] + node _T_2809 = eq(_T_2808, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2810 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2811 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2812 = and(_T_2810, _T_2811) @[lsu_bus_buffer.scala 420:41] + node _T_2813 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2814 = and(_T_2812, _T_2813) @[lsu_bus_buffer.scala 420:71] + node _T_2815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2816 = and(_T_2814, _T_2815) @[lsu_bus_buffer.scala 420:90] + node _T_2817 = or(_T_2809, _T_2816) @[lsu_bus_buffer.scala 419:59] + node _T_2818 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2819 = and(_T_2818, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2820 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 421:52] + node _T_2822 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 421:71] + node _T_2824 = or(_T_2817, _T_2823) @[lsu_bus_buffer.scala 420:110] + node _T_2825 = and(_T_2805, _T_2824) @[lsu_bus_buffer.scala 418:112] + node _T_2826 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2827 = and(_T_2826, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2828 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2829 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2830 = or(_T_2828, _T_2829) @[lsu_bus_buffer.scala 419:32] + node _T_2831 = eq(_T_2830, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2832 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2833 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2834 = and(_T_2832, _T_2833) @[lsu_bus_buffer.scala 420:41] + node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2836 = and(_T_2834, _T_2835) @[lsu_bus_buffer.scala 420:71] + node _T_2837 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2838 = and(_T_2836, _T_2837) @[lsu_bus_buffer.scala 420:90] + node _T_2839 = or(_T_2831, _T_2838) @[lsu_bus_buffer.scala 419:59] + node _T_2840 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2841 = and(_T_2840, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2842 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 421:52] + node _T_2844 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 421:71] + node _T_2846 = or(_T_2839, _T_2845) @[lsu_bus_buffer.scala 420:110] + node _T_2847 = and(_T_2827, _T_2846) @[lsu_bus_buffer.scala 418:112] + node _T_2848 = cat(_T_2847, _T_2825) @[Cat.scala 29:58] + node _T_2849 = cat(_T_2848, _T_2803) @[Cat.scala 29:58] + node _T_2850 = cat(_T_2849, _T_2781) @[Cat.scala 29:58] + node _T_2851 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2852 = and(_T_2851, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2854 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2855 = or(_T_2853, _T_2854) @[lsu_bus_buffer.scala 419:32] + node _T_2856 = eq(_T_2855, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2857 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2858 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 420:41] + node _T_2860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2861 = and(_T_2859, _T_2860) @[lsu_bus_buffer.scala 420:71] + node _T_2862 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2863 = and(_T_2861, _T_2862) @[lsu_bus_buffer.scala 420:90] + node _T_2864 = or(_T_2856, _T_2863) @[lsu_bus_buffer.scala 419:59] + node _T_2865 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2866 = and(_T_2865, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2867 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 421:52] + node _T_2869 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 421:71] + node _T_2871 = or(_T_2864, _T_2870) @[lsu_bus_buffer.scala 420:110] + node _T_2872 = and(_T_2852, _T_2871) @[lsu_bus_buffer.scala 418:112] + node _T_2873 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2874 = and(_T_2873, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2876 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2877 = or(_T_2875, _T_2876) @[lsu_bus_buffer.scala 419:32] + node _T_2878 = eq(_T_2877, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2879 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2880 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 420:41] + node _T_2882 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2883 = and(_T_2881, _T_2882) @[lsu_bus_buffer.scala 420:71] + node _T_2884 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2885 = and(_T_2883, _T_2884) @[lsu_bus_buffer.scala 420:90] + node _T_2886 = or(_T_2878, _T_2885) @[lsu_bus_buffer.scala 419:59] + node _T_2887 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2888 = and(_T_2887, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2889 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 421:52] + node _T_2891 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 421:71] + node _T_2893 = or(_T_2886, _T_2892) @[lsu_bus_buffer.scala 420:110] + node _T_2894 = and(_T_2874, _T_2893) @[lsu_bus_buffer.scala 418:112] + node _T_2895 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2896 = and(_T_2895, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2897 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2898 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2899 = or(_T_2897, _T_2898) @[lsu_bus_buffer.scala 419:32] + node _T_2900 = eq(_T_2899, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2901 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2902 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2903 = and(_T_2901, _T_2902) @[lsu_bus_buffer.scala 420:41] + node _T_2904 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2905 = and(_T_2903, _T_2904) @[lsu_bus_buffer.scala 420:71] + node _T_2906 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2907 = and(_T_2905, _T_2906) @[lsu_bus_buffer.scala 420:90] + node _T_2908 = or(_T_2900, _T_2907) @[lsu_bus_buffer.scala 419:59] + node _T_2909 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2910 = and(_T_2909, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2911 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 421:52] + node _T_2913 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 421:71] + node _T_2915 = or(_T_2908, _T_2914) @[lsu_bus_buffer.scala 420:110] + node _T_2916 = and(_T_2896, _T_2915) @[lsu_bus_buffer.scala 418:112] + node _T_2917 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2918 = and(_T_2917, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2920 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2921 = or(_T_2919, _T_2920) @[lsu_bus_buffer.scala 419:32] + node _T_2922 = eq(_T_2921, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2923 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2924 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2925 = and(_T_2923, _T_2924) @[lsu_bus_buffer.scala 420:41] + node _T_2926 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2927 = and(_T_2925, _T_2926) @[lsu_bus_buffer.scala 420:71] + node _T_2928 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2929 = and(_T_2927, _T_2928) @[lsu_bus_buffer.scala 420:90] + node _T_2930 = or(_T_2922, _T_2929) @[lsu_bus_buffer.scala 419:59] + node _T_2931 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2932 = and(_T_2931, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2933 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 421:52] + node _T_2935 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 421:71] + node _T_2937 = or(_T_2930, _T_2936) @[lsu_bus_buffer.scala 420:110] + node _T_2938 = and(_T_2918, _T_2937) @[lsu_bus_buffer.scala 418:112] + node _T_2939 = cat(_T_2938, _T_2916) @[Cat.scala 29:58] + node _T_2940 = cat(_T_2939, _T_2894) @[Cat.scala 29:58] + node _T_2941 = cat(_T_2940, _T_2872) @[Cat.scala 29:58] + node _T_2942 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2943 = and(_T_2942, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2944 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2945 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2946 = or(_T_2944, _T_2945) @[lsu_bus_buffer.scala 419:32] + node _T_2947 = eq(_T_2946, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2948 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2949 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 420:41] + node _T_2951 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2952 = and(_T_2950, _T_2951) @[lsu_bus_buffer.scala 420:71] + node _T_2953 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2954 = and(_T_2952, _T_2953) @[lsu_bus_buffer.scala 420:90] + node _T_2955 = or(_T_2947, _T_2954) @[lsu_bus_buffer.scala 419:59] + node _T_2956 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2957 = and(_T_2956, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2958 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 421:52] + node _T_2960 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 421:71] + node _T_2962 = or(_T_2955, _T_2961) @[lsu_bus_buffer.scala 420:110] + node _T_2963 = and(_T_2943, _T_2962) @[lsu_bus_buffer.scala 418:112] + node _T_2964 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2965 = and(_T_2964, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2966 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2967 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2968 = or(_T_2966, _T_2967) @[lsu_bus_buffer.scala 419:32] + node _T_2969 = eq(_T_2968, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2970 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2971 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 420:41] + node _T_2973 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2974 = and(_T_2972, _T_2973) @[lsu_bus_buffer.scala 420:71] + node _T_2975 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2976 = and(_T_2974, _T_2975) @[lsu_bus_buffer.scala 420:90] + node _T_2977 = or(_T_2969, _T_2976) @[lsu_bus_buffer.scala 419:59] + node _T_2978 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2979 = and(_T_2978, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2980 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 421:52] + node _T_2982 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 421:71] + node _T_2984 = or(_T_2977, _T_2983) @[lsu_bus_buffer.scala 420:110] + node _T_2985 = and(_T_2965, _T_2984) @[lsu_bus_buffer.scala 418:112] + node _T_2986 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2987 = and(_T_2986, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2989 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2990 = or(_T_2988, _T_2989) @[lsu_bus_buffer.scala 419:32] + node _T_2991 = eq(_T_2990, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2992 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2993 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2994 = and(_T_2992, _T_2993) @[lsu_bus_buffer.scala 420:41] + node _T_2995 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2996 = and(_T_2994, _T_2995) @[lsu_bus_buffer.scala 420:71] + node _T_2997 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2998 = and(_T_2996, _T_2997) @[lsu_bus_buffer.scala 420:90] + node _T_2999 = or(_T_2991, _T_2998) @[lsu_bus_buffer.scala 419:59] + node _T_3000 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3001 = and(_T_3000, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3002 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 421:52] + node _T_3004 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 421:71] + node _T_3006 = or(_T_2999, _T_3005) @[lsu_bus_buffer.scala 420:110] + node _T_3007 = and(_T_2987, _T_3006) @[lsu_bus_buffer.scala 418:112] + node _T_3008 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3009 = and(_T_3008, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_3010 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3011 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3012 = or(_T_3010, _T_3011) @[lsu_bus_buffer.scala 419:32] + node _T_3013 = eq(_T_3012, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3014 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3015 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3016 = and(_T_3014, _T_3015) @[lsu_bus_buffer.scala 420:41] + node _T_3017 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_3018 = and(_T_3016, _T_3017) @[lsu_bus_buffer.scala 420:71] + node _T_3019 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3020 = and(_T_3018, _T_3019) @[lsu_bus_buffer.scala 420:90] + node _T_3021 = or(_T_3013, _T_3020) @[lsu_bus_buffer.scala 419:59] + node _T_3022 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3023 = and(_T_3022, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3024 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 421:52] + node _T_3026 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 421:71] + node _T_3028 = or(_T_3021, _T_3027) @[lsu_bus_buffer.scala 420:110] + node _T_3029 = and(_T_3009, _T_3028) @[lsu_bus_buffer.scala 418:112] + node _T_3030 = cat(_T_3029, _T_3007) @[Cat.scala 29:58] + node _T_3031 = cat(_T_3030, _T_2985) @[Cat.scala 29:58] + node _T_3032 = cat(_T_3031, _T_2963) @[Cat.scala 29:58] + node _T_3033 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3034 = and(_T_3033, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3035 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3036 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3037 = or(_T_3035, _T_3036) @[lsu_bus_buffer.scala 419:32] + node _T_3038 = eq(_T_3037, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3039 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3040 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 420:41] + node _T_3042 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3043 = and(_T_3041, _T_3042) @[lsu_bus_buffer.scala 420:71] + node _T_3044 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_3045 = and(_T_3043, _T_3044) @[lsu_bus_buffer.scala 420:90] + node _T_3046 = or(_T_3038, _T_3045) @[lsu_bus_buffer.scala 419:59] + node _T_3047 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3048 = and(_T_3047, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3049 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 421:52] + node _T_3051 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 421:71] + node _T_3053 = or(_T_3046, _T_3052) @[lsu_bus_buffer.scala 420:110] + node _T_3054 = and(_T_3034, _T_3053) @[lsu_bus_buffer.scala 418:112] + node _T_3055 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3056 = and(_T_3055, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3057 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3058 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3059 = or(_T_3057, _T_3058) @[lsu_bus_buffer.scala 419:32] + node _T_3060 = eq(_T_3059, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3061 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3062 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 420:41] + node _T_3064 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3065 = and(_T_3063, _T_3064) @[lsu_bus_buffer.scala 420:71] + node _T_3066 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_3067 = and(_T_3065, _T_3066) @[lsu_bus_buffer.scala 420:90] + node _T_3068 = or(_T_3060, _T_3067) @[lsu_bus_buffer.scala 419:59] + node _T_3069 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3070 = and(_T_3069, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3071 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 421:52] + node _T_3073 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 421:71] + node _T_3075 = or(_T_3068, _T_3074) @[lsu_bus_buffer.scala 420:110] + node _T_3076 = and(_T_3056, _T_3075) @[lsu_bus_buffer.scala 418:112] + node _T_3077 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3078 = and(_T_3077, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3079 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3080 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3081 = or(_T_3079, _T_3080) @[lsu_bus_buffer.scala 419:32] + node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3083 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3084 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3085 = and(_T_3083, _T_3084) @[lsu_bus_buffer.scala 420:41] + node _T_3086 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3087 = and(_T_3085, _T_3086) @[lsu_bus_buffer.scala 420:71] + node _T_3088 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_3089 = and(_T_3087, _T_3088) @[lsu_bus_buffer.scala 420:90] + node _T_3090 = or(_T_3082, _T_3089) @[lsu_bus_buffer.scala 419:59] + node _T_3091 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3092 = and(_T_3091, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3093 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 421:52] + node _T_3095 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 421:71] + node _T_3097 = or(_T_3090, _T_3096) @[lsu_bus_buffer.scala 420:110] + node _T_3098 = and(_T_3078, _T_3097) @[lsu_bus_buffer.scala 418:112] + node _T_3099 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3100 = and(_T_3099, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3102 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3103 = or(_T_3101, _T_3102) @[lsu_bus_buffer.scala 419:32] + node _T_3104 = eq(_T_3103, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3106 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3107 = and(_T_3105, _T_3106) @[lsu_bus_buffer.scala 420:41] + node _T_3108 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3109 = and(_T_3107, _T_3108) @[lsu_bus_buffer.scala 420:71] + node _T_3110 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3111 = and(_T_3109, _T_3110) @[lsu_bus_buffer.scala 420:90] + node _T_3112 = or(_T_3104, _T_3111) @[lsu_bus_buffer.scala 419:59] + node _T_3113 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3114 = and(_T_3113, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3115 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 421:52] + node _T_3117 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 421:71] + node _T_3119 = or(_T_3112, _T_3118) @[lsu_bus_buffer.scala 420:110] + node _T_3120 = and(_T_3100, _T_3119) @[lsu_bus_buffer.scala 418:112] + node _T_3121 = cat(_T_3120, _T_3098) @[Cat.scala 29:58] + node _T_3122 = cat(_T_3121, _T_3076) @[Cat.scala 29:58] + node _T_3123 = cat(_T_3122, _T_3054) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2850 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[1] <= _T_2941 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[2] <= _T_3032 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[3] <= _T_3123 @[lsu_bus_buffer.scala 418:18] + node _T_3124 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3125 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3126 = or(_T_3124, _T_3125) @[lsu_bus_buffer.scala 422:88] + node _T_3127 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3128 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3129 = or(_T_3127, _T_3128) @[lsu_bus_buffer.scala 422:88] + node _T_3130 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3131 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3132 = or(_T_3130, _T_3131) @[lsu_bus_buffer.scala 422:88] + node _T_3133 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3134 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3135 = or(_T_3133, _T_3134) @[lsu_bus_buffer.scala 422:88] + node _T_3136 = cat(_T_3135, _T_3132) @[Cat.scala 29:58] + node _T_3137 = cat(_T_3136, _T_3129) @[Cat.scala 29:58] + node _T_3138 = cat(_T_3137, _T_3126) @[Cat.scala 29:58] + node _T_3139 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3140 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3141 = or(_T_3139, _T_3140) @[lsu_bus_buffer.scala 422:88] + node _T_3142 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3143 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3144 = or(_T_3142, _T_3143) @[lsu_bus_buffer.scala 422:88] + node _T_3145 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3146 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3147 = or(_T_3145, _T_3146) @[lsu_bus_buffer.scala 422:88] + node _T_3148 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3149 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3150 = or(_T_3148, _T_3149) @[lsu_bus_buffer.scala 422:88] + node _T_3151 = cat(_T_3150, _T_3147) @[Cat.scala 29:58] + node _T_3152 = cat(_T_3151, _T_3144) @[Cat.scala 29:58] + node _T_3153 = cat(_T_3152, _T_3141) @[Cat.scala 29:58] + node _T_3154 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3155 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3156 = or(_T_3154, _T_3155) @[lsu_bus_buffer.scala 422:88] + node _T_3157 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3158 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3159 = or(_T_3157, _T_3158) @[lsu_bus_buffer.scala 422:88] + node _T_3160 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3161 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 422:88] + node _T_3163 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3164 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 422:88] + node _T_3166 = cat(_T_3165, _T_3162) @[Cat.scala 29:58] + node _T_3167 = cat(_T_3166, _T_3159) @[Cat.scala 29:58] + node _T_3168 = cat(_T_3167, _T_3156) @[Cat.scala 29:58] + node _T_3169 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3170 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 422:88] + node _T_3172 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3173 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3174 = or(_T_3172, _T_3173) @[lsu_bus_buffer.scala 422:88] + node _T_3175 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3176 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 422:88] + node _T_3178 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3179 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 422:88] + node _T_3181 = cat(_T_3180, _T_3177) @[Cat.scala 29:58] + node _T_3182 = cat(_T_3181, _T_3174) @[Cat.scala 29:58] + node _T_3183 = cat(_T_3182, _T_3171) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3138 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[1] <= _T_3153 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[2] <= _T_3168 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[3] <= _T_3183 @[lsu_bus_buffer.scala 422:17] + node _T_3184 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3185 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3186 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 423:110] + node _T_3188 = eq(_T_3187, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3189 = and(_T_3184, _T_3188) @[lsu_bus_buffer.scala 423:82] + node _T_3190 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3191 = and(_T_3189, _T_3190) @[lsu_bus_buffer.scala 423:145] + node _T_3192 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3193 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 423:110] + node _T_3196 = eq(_T_3195, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3197 = and(_T_3192, _T_3196) @[lsu_bus_buffer.scala 423:82] + node _T_3198 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3199 = and(_T_3197, _T_3198) @[lsu_bus_buffer.scala 423:145] + node _T_3200 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3201 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3202 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3203 = or(_T_3201, _T_3202) @[lsu_bus_buffer.scala 423:110] + node _T_3204 = eq(_T_3203, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3205 = and(_T_3200, _T_3204) @[lsu_bus_buffer.scala 423:82] + node _T_3206 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3207 = and(_T_3205, _T_3206) @[lsu_bus_buffer.scala 423:145] + node _T_3208 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3209 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3210 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3211 = or(_T_3209, _T_3210) @[lsu_bus_buffer.scala 423:110] + node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3213 = and(_T_3208, _T_3212) @[lsu_bus_buffer.scala 423:82] + node _T_3214 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3215 = and(_T_3213, _T_3214) @[lsu_bus_buffer.scala 423:145] + node _T_3216 = cat(_T_3215, _T_3207) @[Cat.scala 29:58] + node _T_3217 = cat(_T_3216, _T_3199) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3191) @[Cat.scala 29:58] + node _T_3219 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3220 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3221 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3222 = or(_T_3220, _T_3221) @[lsu_bus_buffer.scala 423:110] + node _T_3223 = eq(_T_3222, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3224 = and(_T_3219, _T_3223) @[lsu_bus_buffer.scala 423:82] + node _T_3225 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3226 = and(_T_3224, _T_3225) @[lsu_bus_buffer.scala 423:145] + node _T_3227 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3228 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3229 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3230 = or(_T_3228, _T_3229) @[lsu_bus_buffer.scala 423:110] + node _T_3231 = eq(_T_3230, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3232 = and(_T_3227, _T_3231) @[lsu_bus_buffer.scala 423:82] + node _T_3233 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3234 = and(_T_3232, _T_3233) @[lsu_bus_buffer.scala 423:145] + node _T_3235 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3236 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3237 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3238 = or(_T_3236, _T_3237) @[lsu_bus_buffer.scala 423:110] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3240 = and(_T_3235, _T_3239) @[lsu_bus_buffer.scala 423:82] + node _T_3241 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3242 = and(_T_3240, _T_3241) @[lsu_bus_buffer.scala 423:145] + node _T_3243 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3244 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3245 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3246 = or(_T_3244, _T_3245) @[lsu_bus_buffer.scala 423:110] + node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3248 = and(_T_3243, _T_3247) @[lsu_bus_buffer.scala 423:82] + node _T_3249 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3250 = and(_T_3248, _T_3249) @[lsu_bus_buffer.scala 423:145] + node _T_3251 = cat(_T_3250, _T_3242) @[Cat.scala 29:58] + node _T_3252 = cat(_T_3251, _T_3234) @[Cat.scala 29:58] + node _T_3253 = cat(_T_3252, _T_3226) @[Cat.scala 29:58] + node _T_3254 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3255 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3256 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3257 = or(_T_3255, _T_3256) @[lsu_bus_buffer.scala 423:110] + node _T_3258 = eq(_T_3257, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3259 = and(_T_3254, _T_3258) @[lsu_bus_buffer.scala 423:82] + node _T_3260 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3261 = and(_T_3259, _T_3260) @[lsu_bus_buffer.scala 423:145] + node _T_3262 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3263 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3264 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3265 = or(_T_3263, _T_3264) @[lsu_bus_buffer.scala 423:110] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3267 = and(_T_3262, _T_3266) @[lsu_bus_buffer.scala 423:82] + node _T_3268 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3269 = and(_T_3267, _T_3268) @[lsu_bus_buffer.scala 423:145] + node _T_3270 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3271 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3272 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3273 = or(_T_3271, _T_3272) @[lsu_bus_buffer.scala 423:110] + node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3275 = and(_T_3270, _T_3274) @[lsu_bus_buffer.scala 423:82] + node _T_3276 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3277 = and(_T_3275, _T_3276) @[lsu_bus_buffer.scala 423:145] + node _T_3278 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3279 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3280 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3281 = or(_T_3279, _T_3280) @[lsu_bus_buffer.scala 423:110] + node _T_3282 = eq(_T_3281, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3283 = and(_T_3278, _T_3282) @[lsu_bus_buffer.scala 423:82] + node _T_3284 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3285 = and(_T_3283, _T_3284) @[lsu_bus_buffer.scala 423:145] + node _T_3286 = cat(_T_3285, _T_3277) @[Cat.scala 29:58] + node _T_3287 = cat(_T_3286, _T_3269) @[Cat.scala 29:58] + node _T_3288 = cat(_T_3287, _T_3261) @[Cat.scala 29:58] + node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3292 = or(_T_3290, _T_3291) @[lsu_bus_buffer.scala 423:110] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3294 = and(_T_3289, _T_3293) @[lsu_bus_buffer.scala 423:82] + node _T_3295 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3296 = and(_T_3294, _T_3295) @[lsu_bus_buffer.scala 423:145] + node _T_3297 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3298 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3299 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3300 = or(_T_3298, _T_3299) @[lsu_bus_buffer.scala 423:110] + node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3302 = and(_T_3297, _T_3301) @[lsu_bus_buffer.scala 423:82] + node _T_3303 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3304 = and(_T_3302, _T_3303) @[lsu_bus_buffer.scala 423:145] + node _T_3305 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3306 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3307 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3308 = or(_T_3306, _T_3307) @[lsu_bus_buffer.scala 423:110] + node _T_3309 = eq(_T_3308, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3310 = and(_T_3305, _T_3309) @[lsu_bus_buffer.scala 423:82] + node _T_3311 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3312 = and(_T_3310, _T_3311) @[lsu_bus_buffer.scala 423:145] + node _T_3313 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 423:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 423:82] + node _T_3319 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3320 = and(_T_3318, _T_3319) @[lsu_bus_buffer.scala 423:145] + node _T_3321 = cat(_T_3320, _T_3312) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3304) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3296) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3218 @[lsu_bus_buffer.scala 423:14] + buf_rspage[1] <= _T_3253 @[lsu_bus_buffer.scala 423:14] + buf_rspage[2] <= _T_3288 @[lsu_bus_buffer.scala 423:14] + buf_rspage[3] <= _T_3323 @[lsu_bus_buffer.scala 423:14] + node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:75] + node _T_3325 = and(ibuf_drain_vld, _T_3324) @[lsu_bus_buffer.scala 428:63] + node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:75] + node _T_3327 = and(ibuf_drain_vld, _T_3326) @[lsu_bus_buffer.scala 428:63] + node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 428:63] + node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 428:63] + node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] + node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] + node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3334 @[lsu_bus_buffer.scala 428:21] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:64] + node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:46] + node _T_3339 = and(_T_3337, _T_3338) @[lsu_bus_buffer.scala 430:35] + node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[lsu_bus_buffer.scala 430:8] + node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[lsu_bus_buffer.scala 429:46] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:64] + node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:46] + node _T_3348 = and(_T_3346, _T_3347) @[lsu_bus_buffer.scala 430:35] + node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[lsu_bus_buffer.scala 430:8] + node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[lsu_bus_buffer.scala 429:46] + node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:64] + node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:46] + node _T_3357 = and(_T_3355, _T_3356) @[lsu_bus_buffer.scala 430:35] + node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[lsu_bus_buffer.scala 430:8] + node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[lsu_bus_buffer.scala 429:46] + node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:64] + node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:46] + node _T_3366 = and(_T_3364, _T_3365) @[lsu_bus_buffer.scala 430:35] + node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[lsu_bus_buffer.scala 430:8] + node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[lsu_bus_buffer.scala 429:46] + buf_byteen_in[0] <= _T_3343 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[1] <= _T_3352 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[2] <= _T_3361 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[3] <= _T_3370 @[lsu_bus_buffer.scala 429:17] + node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:62] + node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:119] + node _T_3374 = and(_T_3372, _T_3373) @[lsu_bus_buffer.scala 431:108] + node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[lsu_bus_buffer.scala 431:44] + node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:62] + node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:119] + node _T_3380 = and(_T_3378, _T_3379) @[lsu_bus_buffer.scala 431:108] + node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[lsu_bus_buffer.scala 431:44] + node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:62] + node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:119] + node _T_3386 = and(_T_3384, _T_3385) @[lsu_bus_buffer.scala 431:108] + node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[lsu_bus_buffer.scala 431:44] + node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:62] + node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:119] + node _T_3392 = and(_T_3390, _T_3391) @[lsu_bus_buffer.scala 431:108] + node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[lsu_bus_buffer.scala 431:44] + buf_addr_in[0] <= _T_3376 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[1] <= _T_3382 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[2] <= _T_3388 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[3] <= _T_3394 @[lsu_bus_buffer.scala 431:15] + node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:63] + node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:63] + node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] + node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] + node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] + buf_dual_in <= _T_3405 @[lsu_bus_buffer.scala 432:15] + node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] + node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] + node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] + buf_samedw_in <= _T_3416 @[lsu_bus_buffer.scala 433:17] + node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:66] + node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:66] + node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:66] + node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:66] + node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] + node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3431 @[lsu_bus_buffer.scala 434:18] + node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 435:118] + node _T_3435 = and(_T_3433, _T_3434) @[lsu_bus_buffer.scala 435:107] + node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[lsu_bus_buffer.scala 435:47] + node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 435:118] + node _T_3440 = and(_T_3438, _T_3439) @[lsu_bus_buffer.scala 435:107] + node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[lsu_bus_buffer.scala 435:47] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 435:118] + node _T_3445 = and(_T_3443, _T_3444) @[lsu_bus_buffer.scala 435:107] + node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[lsu_bus_buffer.scala 435:47] + node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 435:118] + node _T_3450 = and(_T_3448, _T_3449) @[lsu_bus_buffer.scala 435:107] + node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[lsu_bus_buffer.scala 435:47] + node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3454 @[lsu_bus_buffer.scala 435:17] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 436:125] + node _T_3458 = and(_T_3456, _T_3457) @[lsu_bus_buffer.scala 436:114] + node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[lsu_bus_buffer.scala 436:47] + node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 436:125] + node _T_3464 = and(_T_3462, _T_3463) @[lsu_bus_buffer.scala 436:114] + node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[lsu_bus_buffer.scala 436:47] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 436:125] + node _T_3470 = and(_T_3468, _T_3469) @[lsu_bus_buffer.scala 436:114] + node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[lsu_bus_buffer.scala 436:47] + node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 436:125] + node _T_3476 = and(_T_3474, _T_3475) @[lsu_bus_buffer.scala 436:114] + node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[lsu_bus_buffer.scala 436:47] + buf_dualtag_in[0] <= _T_3460 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[1] <= _T_3466 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[2] <= _T_3472 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[3] <= _T_3478 @[lsu_bus_buffer.scala 436:18] + node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:69] + node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:69] + node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] + node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] + node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3489 @[lsu_bus_buffer.scala 437:21] + node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] + node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] + node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] + node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] + node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] + buf_unsign_in <= _T_3500 @[lsu_bus_buffer.scala 438:17] + node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:60] + node _T_3502 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[lsu_bus_buffer.scala 439:42] + node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:60] + node _T_3505 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[lsu_bus_buffer.scala 439:42] + node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:60] + node _T_3508 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[lsu_bus_buffer.scala 439:42] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:60] + node _T_3511 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[lsu_bus_buffer.scala 439:42] + buf_sz_in[0] <= _T_3503 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[1] <= _T_3506 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[2] <= _T_3509 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[3] <= _T_3512 @[lsu_bus_buffer.scala 439:13] + node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:64] + node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:64] + node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] + node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] + node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] + buf_write_in <= _T_3523 @[lsu_bus_buffer.scala 440:16] + node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3524 : @[Conditional.scala 40:58] + node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[0] <= _T_3526 @[lsu_bus_buffer.scala 445:25] + node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3530 = and(_T_3528, _T_3529) @[lsu_bus_buffer.scala 446:95] + node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3532 = and(_T_3530, _T_3531) @[lsu_bus_buffer.scala 446:112] + node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3535 = and(_T_3533, _T_3534) @[lsu_bus_buffer.scala 446:161] + node _T_3536 = or(_T_3532, _T_3535) @[lsu_bus_buffer.scala 446:132] + node _T_3537 = and(_T_3527, _T_3536) @[lsu_bus_buffer.scala 446:63] + node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3539 = and(ibuf_drain_vld, _T_3538) @[lsu_bus_buffer.scala 446:201] + node _T_3540 = or(_T_3537, _T_3539) @[lsu_bus_buffer.scala 446:183] + buf_state_en[0] <= _T_3540 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 447:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 448:24] + node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3542 = and(ibuf_drain_vld, _T_3541) @[lsu_bus_buffer.scala 449:47] + node _T_3543 = bits(_T_3542, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3544 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3545 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[lsu_bus_buffer.scala 449:30] + buf_data_in[0] <= _T_3546 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3547 : @[Conditional.scala 39:67] + node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3549 @[lsu_bus_buffer.scala 453:25] + node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[0] <= _T_3550 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3553 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3555 = and(_T_3553, _T_3554) @[lsu_bus_buffer.scala 458:104] + node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[0] <= _T_3557 @[lsu_bus_buffer.scala 458:25] + node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:48] + node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:104] + node _T_3560 = and(obuf_merge, _T_3559) @[lsu_bus_buffer.scala 459:91] + node _T_3561 = or(_T_3558, _T_3560) @[lsu_bus_buffer.scala 459:77] + node _T_3562 = and(_T_3561, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3563 = and(_T_3562, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[0] <= _T_3563 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 460:29] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[0] <= _T_3565 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3566 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 463:56] + node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3568 = and(buf_state_en[0], _T_3567) @[lsu_bus_buffer.scala 463:44] + node _T_3569 = and(_T_3568, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3571 = and(_T_3569, _T_3570) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[0] <= _T_3571 @[lsu_bus_buffer.scala 463:25] + node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[0] <= _T_3572 @[lsu_bus_buffer.scala 464:28] + node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3574 = and(_T_3573, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3575 = and(_T_3574, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[0] <= _T_3575 @[lsu_bus_buffer.scala 465:24] + node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3577 = and(_T_3576, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3578 = and(_T_3577, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] + node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3580 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[lsu_bus_buffer.scala 467:73] + node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[lsu_bus_buffer.scala 467:30] + buf_data_in[0] <= _T_3584 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:67] + node _T_3587 = and(_T_3586, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3588 = or(io.dec_tlu_force_halt, _T_3587) @[lsu_bus_buffer.scala 470:55] + node _T_3589 = bits(_T_3588, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3590 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3591 = and(buf_dual[0], _T_3590) @[lsu_bus_buffer.scala 471:28] + node _T_3592 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] + node _T_3593 = eq(_T_3592, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3594 = and(_T_3591, _T_3593) @[lsu_bus_buffer.scala 471:45] + node _T_3595 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3596 = and(_T_3594, _T_3595) @[lsu_bus_buffer.scala 471:61] + node _T_3597 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] + node _T_3598 = or(_T_3597, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3599 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3600 = and(buf_dual[0], _T_3599) @[lsu_bus_buffer.scala 472:68] + node _T_3601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] + node _T_3602 = eq(_T_3601, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3603 = and(_T_3600, _T_3602) @[lsu_bus_buffer.scala 472:85] + node _T_3604 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3606 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3607 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3608 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3609 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3610 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3611 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3612 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3613 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3614 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3615 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3616 = or(_T_3612, _T_3613) @[Mux.scala 27:72] + node _T_3617 = or(_T_3616, _T_3614) @[Mux.scala 27:72] + node _T_3618 = or(_T_3617, _T_3615) @[Mux.scala 27:72] + wire _T_3619 : UInt<1> @[Mux.scala 27:72] + _T_3619 <= _T_3618 @[Mux.scala 27:72] + node _T_3620 = and(_T_3603, _T_3619) @[lsu_bus_buffer.scala 472:101] + node _T_3621 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3622 = and(_T_3620, _T_3621) @[lsu_bus_buffer.scala 472:138] + node _T_3623 = and(_T_3622, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3624 = or(_T_3598, _T_3623) @[lsu_bus_buffer.scala 472:53] + node _T_3625 = mux(_T_3624, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3626 = mux(_T_3596, UInt<3>("h04"), _T_3625) @[lsu_bus_buffer.scala 471:14] + node _T_3627 = mux(_T_3589, UInt<3>("h00"), _T_3626) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[0] <= _T_3627 @[lsu_bus_buffer.scala 470:25] + node _T_3628 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] + node _T_3629 = and(bus_rsp_write, _T_3628) @[lsu_bus_buffer.scala 473:52] + node _T_3630 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] + node _T_3631 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] + node _T_3632 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] + node _T_3633 = and(_T_3631, _T_3632) @[lsu_bus_buffer.scala 475:27] + node _T_3634 = or(_T_3630, _T_3633) @[lsu_bus_buffer.scala 474:77] + node _T_3635 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] + node _T_3636 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] + node _T_3637 = not(_T_3636) @[lsu_bus_buffer.scala 476:44] + node _T_3638 = and(_T_3635, _T_3637) @[lsu_bus_buffer.scala 476:42] + node _T_3639 = and(_T_3638, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] + node _T_3640 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 476:74] + node _T_3642 = or(_T_3634, _T_3641) @[lsu_bus_buffer.scala 475:71] + node _T_3643 = and(bus_rsp_read, _T_3642) @[lsu_bus_buffer.scala 474:25] + node _T_3644 = or(_T_3629, _T_3643) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[0] <= _T_3644 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 477:29] + node _T_3645 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3646 = or(_T_3645, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[0] <= _T_3646 @[lsu_bus_buffer.scala 478:25] + node _T_3647 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3648 = and(_T_3647, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[0] <= _T_3648 @[lsu_bus_buffer.scala 479:24] + node _T_3649 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3650 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] + node _T_3651 = and(bus_rsp_read_error, _T_3650) @[lsu_bus_buffer.scala 480:91] + node _T_3652 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] + node _T_3653 = and(bus_rsp_read_error, _T_3652) @[lsu_bus_buffer.scala 481:31] + node _T_3654 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] + node _T_3655 = and(_T_3653, _T_3654) @[lsu_bus_buffer.scala 481:46] + node _T_3656 = or(_T_3651, _T_3655) @[lsu_bus_buffer.scala 480:143] + node _T_3657 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] + node _T_3658 = and(bus_rsp_write_error, _T_3657) @[lsu_bus_buffer.scala 482:33] + node _T_3659 = or(_T_3656, _T_3658) @[lsu_bus_buffer.scala 481:88] + node _T_3660 = and(_T_3649, _T_3659) @[lsu_bus_buffer.scala 480:68] + buf_error_en[0] <= _T_3660 @[lsu_bus_buffer.scala 480:25] + node _T_3661 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3662 = and(buf_state_en[0], _T_3661) @[lsu_bus_buffer.scala 483:48] + node _T_3663 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3664 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3665 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3666 = mux(_T_3663, _T_3664, _T_3665) @[lsu_bus_buffer.scala 483:72] + node _T_3667 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3668 = mux(_T_3662, _T_3666, _T_3667) @[lsu_bus_buffer.scala 483:30] + buf_data_in[0] <= _T_3668 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3669 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3669 : @[Conditional.scala 39:67] + node _T_3670 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3671 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 487:86] + node _T_3672 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 487:101] + node _T_3673 = bits(_T_3672, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3674 = or(_T_3671, _T_3673) @[lsu_bus_buffer.scala 487:90] + node _T_3675 = or(_T_3674, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3677 = mux(_T_3670, UInt<3>("h00"), _T_3676) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3677 @[lsu_bus_buffer.scala 487:25] + node _T_3678 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:66] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:21] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 489:58] + node _T_3682 = and(_T_3680, _T_3681) @[lsu_bus_buffer.scala 489:38] + node _T_3683 = or(_T_3678, _T_3682) @[lsu_bus_buffer.scala 488:95] + node _T_3684 = and(bus_rsp_read, _T_3683) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[0] <= _T_3684 @[lsu_bus_buffer.scala 488:29] + node _T_3685 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3686 = or(_T_3685, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[0] <= _T_3686 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3687 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3687 : @[Conditional.scala 39:67] + node _T_3688 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3689 = mux(_T_3688, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3689 @[lsu_bus_buffer.scala 494:25] + node _T_3690 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 495:37] + node _T_3691 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3692 = and(buf_dual[0], _T_3691) @[lsu_bus_buffer.scala 495:80] + node _T_3693 = or(_T_3690, _T_3692) @[lsu_bus_buffer.scala 495:65] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3695 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3695 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_3696 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3697 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3696 : @[Reg.scala 28:19] + _T_3697 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3697 @[lsu_bus_buffer.scala 507:18] + reg _T_3698 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3698 <= buf_age_in_0 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[0] <= _T_3698 @[lsu_bus_buffer.scala 508:17] + reg _T_3699 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3699 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[0] <= _T_3699 @[lsu_bus_buffer.scala 509:20] + node _T_3700 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3700 : @[Reg.scala 28:19] + _T_3701 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3701 @[lsu_bus_buffer.scala 510:20] + node _T_3702 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 511:74] + node _T_3703 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3704 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= _T_3702 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3704 @[lsu_bus_buffer.scala 511:17] + node _T_3705 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 512:78] + node _T_3706 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3706 : @[Reg.scala 28:19] + _T_3707 <= _T_3705 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3707 @[lsu_bus_buffer.scala 512:19] + node _T_3708 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 513:80] + node _T_3709 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3709 : @[Reg.scala 28:19] + _T_3710 <= _T_3708 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3710 @[lsu_bus_buffer.scala 513:20] + node _T_3711 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 514:78] + node _T_3712 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3712 : @[Reg.scala 28:19] + _T_3713 <= _T_3711 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3713 @[lsu_bus_buffer.scala 514:19] + node _T_3714 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3714 : @[Conditional.scala 40:58] + node _T_3715 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3716 = mux(_T_3715, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[1] <= _T_3716 @[lsu_bus_buffer.scala 445:25] + node _T_3717 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3718 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3719 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3720 = and(_T_3718, _T_3719) @[lsu_bus_buffer.scala 446:95] + node _T_3721 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3722 = and(_T_3720, _T_3721) @[lsu_bus_buffer.scala 446:112] + node _T_3723 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3724 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3725 = and(_T_3723, _T_3724) @[lsu_bus_buffer.scala 446:161] + node _T_3726 = or(_T_3722, _T_3725) @[lsu_bus_buffer.scala 446:132] + node _T_3727 = and(_T_3717, _T_3726) @[lsu_bus_buffer.scala 446:63] + node _T_3728 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3729 = and(ibuf_drain_vld, _T_3728) @[lsu_bus_buffer.scala 446:201] + node _T_3730 = or(_T_3727, _T_3729) @[lsu_bus_buffer.scala 446:183] + buf_state_en[1] <= _T_3730 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 447:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 448:24] + node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3732 = and(ibuf_drain_vld, _T_3731) @[lsu_bus_buffer.scala 449:47] + node _T_3733 = bits(_T_3732, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3734 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3735 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3736 = mux(_T_3733, _T_3734, _T_3735) @[lsu_bus_buffer.scala 449:30] + buf_data_in[1] <= _T_3736 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3737 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3737 : @[Conditional.scala 39:67] + node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3739 @[lsu_bus_buffer.scala 453:25] + node _T_3740 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[1] <= _T_3740 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3741 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3741 : @[Conditional.scala 39:67] + node _T_3742 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3743 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3744 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3745 = and(_T_3743, _T_3744) @[lsu_bus_buffer.scala 458:104] + node _T_3746 = mux(_T_3745, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3747 = mux(_T_3742, UInt<3>("h00"), _T_3746) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 458:25] + node _T_3748 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:48] + node _T_3749 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:104] + node _T_3750 = and(obuf_merge, _T_3749) @[lsu_bus_buffer.scala 459:91] + node _T_3751 = or(_T_3748, _T_3750) @[lsu_bus_buffer.scala 459:77] + node _T_3752 = and(_T_3751, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3753 = and(_T_3752, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[1] <= _T_3753 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 460:29] + node _T_3754 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3755 = or(_T_3754, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3756 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 463:56] + node _T_3757 = eq(_T_3756, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3758 = and(buf_state_en[1], _T_3757) @[lsu_bus_buffer.scala 463:44] + node _T_3759 = and(_T_3758, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3760 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3761 = and(_T_3759, _T_3760) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[1] <= _T_3761 @[lsu_bus_buffer.scala 463:25] + node _T_3762 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[1] <= _T_3762 @[lsu_bus_buffer.scala 464:28] + node _T_3763 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3764 = and(_T_3763, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3765 = and(_T_3764, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[1] <= _T_3765 @[lsu_bus_buffer.scala 465:24] + node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3768 = and(_T_3767, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[1] <= _T_3768 @[lsu_bus_buffer.scala 466:25] + node _T_3769 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3770 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3771 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3773 = mux(_T_3770, _T_3771, _T_3772) @[lsu_bus_buffer.scala 467:73] + node _T_3774 = mux(buf_error_en[1], _T_3769, _T_3773) @[lsu_bus_buffer.scala 467:30] + buf_data_in[1] <= _T_3774 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3775 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3775 : @[Conditional.scala 39:67] + node _T_3776 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:67] + node _T_3777 = and(_T_3776, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3778 = or(io.dec_tlu_force_halt, _T_3777) @[lsu_bus_buffer.scala 470:55] + node _T_3779 = bits(_T_3778, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3780 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3781 = and(buf_dual[1], _T_3780) @[lsu_bus_buffer.scala 471:28] + node _T_3782 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] + node _T_3783 = eq(_T_3782, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3784 = and(_T_3781, _T_3783) @[lsu_bus_buffer.scala 471:45] + node _T_3785 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 471:61] + node _T_3787 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] + node _T_3788 = or(_T_3787, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 472:68] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 472:85] + node _T_3794 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3795 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3796 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3797 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3798 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3799 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3800 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3801 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3802 = mux(_T_3794, _T_3795, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3803 = mux(_T_3796, _T_3797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3804 = mux(_T_3798, _T_3799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3805 = mux(_T_3800, _T_3801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3806 = or(_T_3802, _T_3803) @[Mux.scala 27:72] + node _T_3807 = or(_T_3806, _T_3804) @[Mux.scala 27:72] + node _T_3808 = or(_T_3807, _T_3805) @[Mux.scala 27:72] + wire _T_3809 : UInt<1> @[Mux.scala 27:72] + _T_3809 <= _T_3808 @[Mux.scala 27:72] + node _T_3810 = and(_T_3793, _T_3809) @[lsu_bus_buffer.scala 472:101] + node _T_3811 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3812 = and(_T_3810, _T_3811) @[lsu_bus_buffer.scala 472:138] + node _T_3813 = and(_T_3812, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3814 = or(_T_3788, _T_3813) @[lsu_bus_buffer.scala 472:53] + node _T_3815 = mux(_T_3814, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3816 = mux(_T_3786, UInt<3>("h04"), _T_3815) @[lsu_bus_buffer.scala 471:14] + node _T_3817 = mux(_T_3779, UInt<3>("h00"), _T_3816) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[1] <= _T_3817 @[lsu_bus_buffer.scala 470:25] + node _T_3818 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] + node _T_3819 = and(bus_rsp_write, _T_3818) @[lsu_bus_buffer.scala 473:52] + node _T_3820 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] + node _T_3821 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] + node _T_3822 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] + node _T_3823 = and(_T_3821, _T_3822) @[lsu_bus_buffer.scala 475:27] + node _T_3824 = or(_T_3820, _T_3823) @[lsu_bus_buffer.scala 474:77] + node _T_3825 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] + node _T_3826 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] + node _T_3827 = not(_T_3826) @[lsu_bus_buffer.scala 476:44] + node _T_3828 = and(_T_3825, _T_3827) @[lsu_bus_buffer.scala 476:42] + node _T_3829 = and(_T_3828, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] + node _T_3830 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] + node _T_3831 = and(_T_3829, _T_3830) @[lsu_bus_buffer.scala 476:74] + node _T_3832 = or(_T_3824, _T_3831) @[lsu_bus_buffer.scala 475:71] + node _T_3833 = and(bus_rsp_read, _T_3832) @[lsu_bus_buffer.scala 474:25] + node _T_3834 = or(_T_3819, _T_3833) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[1] <= _T_3834 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 477:29] + node _T_3835 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3836 = or(_T_3835, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[1] <= _T_3836 @[lsu_bus_buffer.scala 478:25] + node _T_3837 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3838 = and(_T_3837, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[1] <= _T_3838 @[lsu_bus_buffer.scala 479:24] + node _T_3839 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3840 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] + node _T_3841 = and(bus_rsp_read_error, _T_3840) @[lsu_bus_buffer.scala 480:91] + node _T_3842 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] + node _T_3843 = and(bus_rsp_read_error, _T_3842) @[lsu_bus_buffer.scala 481:31] + node _T_3844 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] + node _T_3845 = and(_T_3843, _T_3844) @[lsu_bus_buffer.scala 481:46] + node _T_3846 = or(_T_3841, _T_3845) @[lsu_bus_buffer.scala 480:143] + node _T_3847 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] + node _T_3848 = and(bus_rsp_write_error, _T_3847) @[lsu_bus_buffer.scala 482:33] + node _T_3849 = or(_T_3846, _T_3848) @[lsu_bus_buffer.scala 481:88] + node _T_3850 = and(_T_3839, _T_3849) @[lsu_bus_buffer.scala 480:68] + buf_error_en[1] <= _T_3850 @[lsu_bus_buffer.scala 480:25] + node _T_3851 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3852 = and(buf_state_en[1], _T_3851) @[lsu_bus_buffer.scala 483:48] + node _T_3853 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3854 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3856 = mux(_T_3853, _T_3854, _T_3855) @[lsu_bus_buffer.scala 483:72] + node _T_3857 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3858 = mux(_T_3852, _T_3856, _T_3857) @[lsu_bus_buffer.scala 483:30] + buf_data_in[1] <= _T_3858 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3859 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3859 : @[Conditional.scala 39:67] + node _T_3860 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3861 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 487:86] + node _T_3862 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 487:101] + node _T_3863 = bits(_T_3862, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3864 = or(_T_3861, _T_3863) @[lsu_bus_buffer.scala 487:90] + node _T_3865 = or(_T_3864, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3866 = mux(_T_3865, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3867 = mux(_T_3860, UInt<3>("h00"), _T_3866) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3867 @[lsu_bus_buffer.scala 487:25] + node _T_3868 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:66] + node _T_3869 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:21] + node _T_3870 = bits(_T_3869, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3871 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 489:58] + node _T_3872 = and(_T_3870, _T_3871) @[lsu_bus_buffer.scala 489:38] + node _T_3873 = or(_T_3868, _T_3872) @[lsu_bus_buffer.scala 488:95] + node _T_3874 = and(bus_rsp_read, _T_3873) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[1] <= _T_3874 @[lsu_bus_buffer.scala 488:29] + node _T_3875 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3876 = or(_T_3875, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3877 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3877 : @[Conditional.scala 39:67] + node _T_3878 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3879 = mux(_T_3878, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3879 @[lsu_bus_buffer.scala 494:25] + node _T_3880 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 495:37] + node _T_3881 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3882 = and(buf_dual[1], _T_3881) @[lsu_bus_buffer.scala 495:80] + node _T_3883 = or(_T_3880, _T_3882) @[lsu_bus_buffer.scala 495:65] + node _T_3884 = or(_T_3883, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[1] <= _T_3884 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3885 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3885 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_3886 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3886 : @[Reg.scala 28:19] + _T_3887 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3887 @[lsu_bus_buffer.scala 507:18] + reg _T_3888 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3888 <= buf_age_in_1 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[1] <= _T_3888 @[lsu_bus_buffer.scala 508:17] + reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3889 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[1] <= _T_3889 @[lsu_bus_buffer.scala 509:20] + node _T_3890 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3891 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3890 : @[Reg.scala 28:19] + _T_3891 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3891 @[lsu_bus_buffer.scala 510:20] + node _T_3892 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 511:74] + node _T_3893 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3894 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3893 : @[Reg.scala 28:19] + _T_3894 <= _T_3892 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3894 @[lsu_bus_buffer.scala 511:17] + node _T_3895 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 512:78] + node _T_3896 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3897 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= _T_3895 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3897 @[lsu_bus_buffer.scala 512:19] + node _T_3898 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 513:80] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3899 : @[Reg.scala 28:19] + _T_3900 <= _T_3898 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] + node _T_3901 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 514:78] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3902 : @[Reg.scala 28:19] + _T_3903 <= _T_3901 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3903 @[lsu_bus_buffer.scala 514:19] + node _T_3904 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3904 : @[Conditional.scala 40:58] + node _T_3905 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3906 = mux(_T_3905, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[2] <= _T_3906 @[lsu_bus_buffer.scala 445:25] + node _T_3907 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3908 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3909 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3910 = and(_T_3908, _T_3909) @[lsu_bus_buffer.scala 446:95] + node _T_3911 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3912 = and(_T_3910, _T_3911) @[lsu_bus_buffer.scala 446:112] + node _T_3913 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3914 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3915 = and(_T_3913, _T_3914) @[lsu_bus_buffer.scala 446:161] + node _T_3916 = or(_T_3912, _T_3915) @[lsu_bus_buffer.scala 446:132] + node _T_3917 = and(_T_3907, _T_3916) @[lsu_bus_buffer.scala 446:63] + node _T_3918 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3919 = and(ibuf_drain_vld, _T_3918) @[lsu_bus_buffer.scala 446:201] + node _T_3920 = or(_T_3917, _T_3919) @[lsu_bus_buffer.scala 446:183] + buf_state_en[2] <= _T_3920 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 447:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 448:24] + node _T_3921 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3922 = and(ibuf_drain_vld, _T_3921) @[lsu_bus_buffer.scala 449:47] + node _T_3923 = bits(_T_3922, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3924 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3925 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3926 = mux(_T_3923, _T_3924, _T_3925) @[lsu_bus_buffer.scala 449:30] + buf_data_in[2] <= _T_3926 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3927 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3927 : @[Conditional.scala 39:67] + node _T_3928 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3929 = mux(_T_3928, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3929 @[lsu_bus_buffer.scala 453:25] + node _T_3930 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3931 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3931 : @[Conditional.scala 39:67] + node _T_3932 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3933 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3934 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3935 = and(_T_3933, _T_3934) @[lsu_bus_buffer.scala 458:104] + node _T_3936 = mux(_T_3935, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3937 = mux(_T_3932, UInt<3>("h00"), _T_3936) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[2] <= _T_3937 @[lsu_bus_buffer.scala 458:25] + node _T_3938 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:48] + node _T_3939 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:104] + node _T_3940 = and(obuf_merge, _T_3939) @[lsu_bus_buffer.scala 459:91] + node _T_3941 = or(_T_3938, _T_3940) @[lsu_bus_buffer.scala 459:77] + node _T_3942 = and(_T_3941, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3943 = and(_T_3942, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[2] <= _T_3943 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 460:29] + node _T_3944 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3945 = or(_T_3944, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[2] <= _T_3945 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3946 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 463:56] + node _T_3947 = eq(_T_3946, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3948 = and(buf_state_en[2], _T_3947) @[lsu_bus_buffer.scala 463:44] + node _T_3949 = and(_T_3948, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3950 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3951 = and(_T_3949, _T_3950) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[2] <= _T_3951 @[lsu_bus_buffer.scala 463:25] + node _T_3952 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[2] <= _T_3952 @[lsu_bus_buffer.scala 464:28] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3954 = and(_T_3953, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3955 = and(_T_3954, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[2] <= _T_3955 @[lsu_bus_buffer.scala 465:24] + node _T_3956 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3957 = and(_T_3956, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3958 = and(_T_3957, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[2] <= _T_3958 @[lsu_bus_buffer.scala 466:25] + node _T_3959 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3960 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3961 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3962 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3963 = mux(_T_3960, _T_3961, _T_3962) @[lsu_bus_buffer.scala 467:73] + node _T_3964 = mux(buf_error_en[2], _T_3959, _T_3963) @[lsu_bus_buffer.scala 467:30] + buf_data_in[2] <= _T_3964 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3965 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3965 : @[Conditional.scala 39:67] + node _T_3966 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:67] + node _T_3967 = and(_T_3966, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[lsu_bus_buffer.scala 470:55] + node _T_3969 = bits(_T_3968, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3971 = and(buf_dual[2], _T_3970) @[lsu_bus_buffer.scala 471:28] + node _T_3972 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] + node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3974 = and(_T_3971, _T_3973) @[lsu_bus_buffer.scala 471:45] + node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3976 = and(_T_3974, _T_3975) @[lsu_bus_buffer.scala 471:61] + node _T_3977 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] + node _T_3978 = or(_T_3977, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3980 = and(buf_dual[2], _T_3979) @[lsu_bus_buffer.scala 472:68] + node _T_3981 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] + node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3983 = and(_T_3980, _T_3982) @[lsu_bus_buffer.scala 472:85] + node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3985 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3987 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3991 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] + node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] + node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] + wire _T_3999 : UInt<1> @[Mux.scala 27:72] + _T_3999 <= _T_3998 @[Mux.scala 27:72] + node _T_4000 = and(_T_3983, _T_3999) @[lsu_bus_buffer.scala 472:101] + node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4002 = and(_T_4000, _T_4001) @[lsu_bus_buffer.scala 472:138] + node _T_4003 = and(_T_4002, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4004 = or(_T_3978, _T_4003) @[lsu_bus_buffer.scala 472:53] + node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[lsu_bus_buffer.scala 471:14] + node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[2] <= _T_4007 @[lsu_bus_buffer.scala 470:25] + node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] + node _T_4009 = and(bus_rsp_write, _T_4008) @[lsu_bus_buffer.scala 473:52] + node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] + node _T_4011 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] + node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] + node _T_4013 = and(_T_4011, _T_4012) @[lsu_bus_buffer.scala 475:27] + node _T_4014 = or(_T_4010, _T_4013) @[lsu_bus_buffer.scala 474:77] + node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] + node _T_4016 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] + node _T_4017 = not(_T_4016) @[lsu_bus_buffer.scala 476:44] + node _T_4018 = and(_T_4015, _T_4017) @[lsu_bus_buffer.scala 476:42] + node _T_4019 = and(_T_4018, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] + node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] + node _T_4021 = and(_T_4019, _T_4020) @[lsu_bus_buffer.scala 476:74] + node _T_4022 = or(_T_4014, _T_4021) @[lsu_bus_buffer.scala 475:71] + node _T_4023 = and(bus_rsp_read, _T_4022) @[lsu_bus_buffer.scala 474:25] + node _T_4024 = or(_T_4009, _T_4023) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[2] <= _T_4024 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 477:29] + node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[2] <= _T_4026 @[lsu_bus_buffer.scala 478:25] + node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[2] <= _T_4028 @[lsu_bus_buffer.scala 479:24] + node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] + node _T_4031 = and(bus_rsp_read_error, _T_4030) @[lsu_bus_buffer.scala 480:91] + node _T_4032 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] + node _T_4033 = and(bus_rsp_read_error, _T_4032) @[lsu_bus_buffer.scala 481:31] + node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] + node _T_4035 = and(_T_4033, _T_4034) @[lsu_bus_buffer.scala 481:46] + node _T_4036 = or(_T_4031, _T_4035) @[lsu_bus_buffer.scala 480:143] + node _T_4037 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] + node _T_4038 = and(bus_rsp_write_error, _T_4037) @[lsu_bus_buffer.scala 482:33] + node _T_4039 = or(_T_4036, _T_4038) @[lsu_bus_buffer.scala 481:88] + node _T_4040 = and(_T_4029, _T_4039) @[lsu_bus_buffer.scala 480:68] + buf_error_en[2] <= _T_4040 @[lsu_bus_buffer.scala 480:25] + node _T_4041 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4042 = and(buf_state_en[2], _T_4041) @[lsu_bus_buffer.scala 483:48] + node _T_4043 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4044 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4045 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4046 = mux(_T_4043, _T_4044, _T_4045) @[lsu_bus_buffer.scala 483:72] + node _T_4047 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4048 = mux(_T_4042, _T_4046, _T_4047) @[lsu_bus_buffer.scala 483:30] + buf_data_in[2] <= _T_4048 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4049 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4049 : @[Conditional.scala 39:67] + node _T_4050 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4051 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 487:86] + node _T_4052 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 487:101] + node _T_4053 = bits(_T_4052, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4054 = or(_T_4051, _T_4053) @[lsu_bus_buffer.scala 487:90] + node _T_4055 = or(_T_4054, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4056 = mux(_T_4055, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4057 = mux(_T_4050, UInt<3>("h00"), _T_4056) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4057 @[lsu_bus_buffer.scala 487:25] + node _T_4058 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:66] + node _T_4059 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:21] + node _T_4060 = bits(_T_4059, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 489:58] + node _T_4062 = and(_T_4060, _T_4061) @[lsu_bus_buffer.scala 489:38] + node _T_4063 = or(_T_4058, _T_4062) @[lsu_bus_buffer.scala 488:95] + node _T_4064 = and(bus_rsp_read, _T_4063) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[2] <= _T_4064 @[lsu_bus_buffer.scala 488:29] + node _T_4065 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4066 = or(_T_4065, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[2] <= _T_4066 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4067 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4067 : @[Conditional.scala 39:67] + node _T_4068 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4069 = mux(_T_4068, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_4069 @[lsu_bus_buffer.scala 494:25] + node _T_4070 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 495:37] + node _T_4071 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4072 = and(buf_dual[2], _T_4071) @[lsu_bus_buffer.scala 495:80] + node _T_4073 = or(_T_4070, _T_4072) @[lsu_bus_buffer.scala 495:65] + node _T_4074 = or(_T_4073, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[2] <= _T_4074 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4075 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4075 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_4076 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4077 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4076 : @[Reg.scala 28:19] + _T_4077 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4077 @[lsu_bus_buffer.scala 507:18] + reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4078 <= buf_age_in_2 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[2] <= _T_4078 @[lsu_bus_buffer.scala 508:17] + reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4079 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[2] <= _T_4079 @[lsu_bus_buffer.scala 509:20] + node _T_4080 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4081 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4080 : @[Reg.scala 28:19] + _T_4081 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4081 @[lsu_bus_buffer.scala 510:20] + node _T_4082 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 511:74] + node _T_4083 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4084 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4083 : @[Reg.scala 28:19] + _T_4084 <= _T_4082 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4084 @[lsu_bus_buffer.scala 511:17] + node _T_4085 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 512:78] + node _T_4086 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4087 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4086 : @[Reg.scala 28:19] + _T_4087 <= _T_4085 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4087 @[lsu_bus_buffer.scala 512:19] + node _T_4088 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 513:80] + node _T_4089 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4090 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= _T_4088 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4090 @[lsu_bus_buffer.scala 513:20] + node _T_4091 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 514:78] + node _T_4092 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4092 : @[Reg.scala 28:19] + _T_4093 <= _T_4091 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4093 @[lsu_bus_buffer.scala 514:19] + node _T_4094 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4094 : @[Conditional.scala 40:58] + node _T_4095 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_4096 = mux(_T_4095, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[3] <= _T_4096 @[lsu_bus_buffer.scala 445:25] + node _T_4097 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_4098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_4099 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_4100 = and(_T_4098, _T_4099) @[lsu_bus_buffer.scala 446:95] + node _T_4101 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_4102 = and(_T_4100, _T_4101) @[lsu_bus_buffer.scala 446:112] + node _T_4103 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_4104 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_4105 = and(_T_4103, _T_4104) @[lsu_bus_buffer.scala 446:161] + node _T_4106 = or(_T_4102, _T_4105) @[lsu_bus_buffer.scala 446:132] + node _T_4107 = and(_T_4097, _T_4106) @[lsu_bus_buffer.scala 446:63] + node _T_4108 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_4109 = and(ibuf_drain_vld, _T_4108) @[lsu_bus_buffer.scala 446:201] + node _T_4110 = or(_T_4107, _T_4109) @[lsu_bus_buffer.scala 446:183] + buf_state_en[3] <= _T_4110 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 447:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 448:24] + node _T_4111 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_4112 = and(ibuf_drain_vld, _T_4111) @[lsu_bus_buffer.scala 449:47] + node _T_4113 = bits(_T_4112, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_4114 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_4115 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_4116 = mux(_T_4113, _T_4114, _T_4115) @[lsu_bus_buffer.scala 449:30] + buf_data_in[3] <= _T_4116 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4117 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4117 : @[Conditional.scala 39:67] + node _T_4118 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4119 = mux(_T_4118, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4119 @[lsu_bus_buffer.scala 453:25] + node _T_4120 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4121 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4121 : @[Conditional.scala 39:67] + node _T_4122 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_4123 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_4124 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_4125 = and(_T_4123, _T_4124) @[lsu_bus_buffer.scala 458:104] + node _T_4126 = mux(_T_4125, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_4127 = mux(_T_4122, UInt<3>("h00"), _T_4126) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[3] <= _T_4127 @[lsu_bus_buffer.scala 458:25] + node _T_4128 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:48] + node _T_4129 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:104] + node _T_4130 = and(obuf_merge, _T_4129) @[lsu_bus_buffer.scala 459:91] + node _T_4131 = or(_T_4128, _T_4130) @[lsu_bus_buffer.scala 459:77] + node _T_4132 = and(_T_4131, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_4133 = and(_T_4132, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[3] <= _T_4133 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 460:29] + node _T_4134 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_4135 = or(_T_4134, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[3] <= _T_4135 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_4136 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 463:56] + node _T_4137 = eq(_T_4136, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_4138 = and(buf_state_en[3], _T_4137) @[lsu_bus_buffer.scala 463:44] + node _T_4139 = and(_T_4138, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_4140 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_4141 = and(_T_4139, _T_4140) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[3] <= _T_4141 @[lsu_bus_buffer.scala 463:25] + node _T_4142 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[3] <= _T_4142 @[lsu_bus_buffer.scala 464:28] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_4144 = and(_T_4143, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_4145 = and(_T_4144, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[3] <= _T_4145 @[lsu_bus_buffer.scala 465:24] + node _T_4146 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_4147 = and(_T_4146, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_4148 = and(_T_4147, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[3] <= _T_4148 @[lsu_bus_buffer.scala 466:25] + node _T_4149 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_4150 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_4151 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_4152 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_4153 = mux(_T_4150, _T_4151, _T_4152) @[lsu_bus_buffer.scala 467:73] + node _T_4154 = mux(buf_error_en[3], _T_4149, _T_4153) @[lsu_bus_buffer.scala 467:30] + buf_data_in[3] <= _T_4154 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4155 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4155 : @[Conditional.scala 39:67] + node _T_4156 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:67] + node _T_4157 = and(_T_4156, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_4158 = or(io.dec_tlu_force_halt, _T_4157) @[lsu_bus_buffer.scala 470:55] + node _T_4159 = bits(_T_4158, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_4160 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_4161 = and(buf_dual[3], _T_4160) @[lsu_bus_buffer.scala 471:28] + node _T_4162 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] + node _T_4163 = eq(_T_4162, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_4164 = and(_T_4161, _T_4163) @[lsu_bus_buffer.scala 471:45] + node _T_4165 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_4166 = and(_T_4164, _T_4165) @[lsu_bus_buffer.scala 471:61] + node _T_4167 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] + node _T_4168 = or(_T_4167, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_4169 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_4170 = and(buf_dual[3], _T_4169) @[lsu_bus_buffer.scala 472:68] + node _T_4171 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] + node _T_4172 = eq(_T_4171, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_4173 = and(_T_4170, _T_4172) @[lsu_bus_buffer.scala 472:85] + node _T_4174 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4175 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4176 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4177 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4178 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4179 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4180 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4181 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4182 = mux(_T_4174, _T_4175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4183 = mux(_T_4176, _T_4177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4184 = mux(_T_4178, _T_4179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4185 = mux(_T_4180, _T_4181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4186 = or(_T_4182, _T_4183) @[Mux.scala 27:72] + node _T_4187 = or(_T_4186, _T_4184) @[Mux.scala 27:72] + node _T_4188 = or(_T_4187, _T_4185) @[Mux.scala 27:72] + wire _T_4189 : UInt<1> @[Mux.scala 27:72] + _T_4189 <= _T_4188 @[Mux.scala 27:72] + node _T_4190 = and(_T_4173, _T_4189) @[lsu_bus_buffer.scala 472:101] + node _T_4191 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4192 = and(_T_4190, _T_4191) @[lsu_bus_buffer.scala 472:138] + node _T_4193 = and(_T_4192, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4194 = or(_T_4168, _T_4193) @[lsu_bus_buffer.scala 472:53] + node _T_4195 = mux(_T_4194, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4196 = mux(_T_4166, UInt<3>("h04"), _T_4195) @[lsu_bus_buffer.scala 471:14] + node _T_4197 = mux(_T_4159, UInt<3>("h00"), _T_4196) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[3] <= _T_4197 @[lsu_bus_buffer.scala 470:25] + node _T_4198 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] + node _T_4199 = and(bus_rsp_write, _T_4198) @[lsu_bus_buffer.scala 473:52] + node _T_4200 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] + node _T_4201 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] + node _T_4202 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 475:27] + node _T_4204 = or(_T_4200, _T_4203) @[lsu_bus_buffer.scala 474:77] + node _T_4205 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] + node _T_4206 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] + node _T_4207 = not(_T_4206) @[lsu_bus_buffer.scala 476:44] + node _T_4208 = and(_T_4205, _T_4207) @[lsu_bus_buffer.scala 476:42] + node _T_4209 = and(_T_4208, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] + node _T_4210 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] + node _T_4211 = and(_T_4209, _T_4210) @[lsu_bus_buffer.scala 476:74] + node _T_4212 = or(_T_4204, _T_4211) @[lsu_bus_buffer.scala 475:71] + node _T_4213 = and(bus_rsp_read, _T_4212) @[lsu_bus_buffer.scala 474:25] + node _T_4214 = or(_T_4199, _T_4213) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[3] <= _T_4214 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 477:29] + node _T_4215 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4216 = or(_T_4215, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[3] <= _T_4216 @[lsu_bus_buffer.scala 478:25] + node _T_4217 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4218 = and(_T_4217, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[3] <= _T_4218 @[lsu_bus_buffer.scala 479:24] + node _T_4219 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4220 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] + node _T_4221 = and(bus_rsp_read_error, _T_4220) @[lsu_bus_buffer.scala 480:91] + node _T_4222 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] + node _T_4223 = and(bus_rsp_read_error, _T_4222) @[lsu_bus_buffer.scala 481:31] + node _T_4224 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] + node _T_4225 = and(_T_4223, _T_4224) @[lsu_bus_buffer.scala 481:46] + node _T_4226 = or(_T_4221, _T_4225) @[lsu_bus_buffer.scala 480:143] + node _T_4227 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] + node _T_4228 = and(bus_rsp_write_error, _T_4227) @[lsu_bus_buffer.scala 482:33] + node _T_4229 = or(_T_4226, _T_4228) @[lsu_bus_buffer.scala 481:88] + node _T_4230 = and(_T_4219, _T_4229) @[lsu_bus_buffer.scala 480:68] + buf_error_en[3] <= _T_4230 @[lsu_bus_buffer.scala 480:25] + node _T_4231 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4232 = and(buf_state_en[3], _T_4231) @[lsu_bus_buffer.scala 483:48] + node _T_4233 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4234 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4235 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4236 = mux(_T_4233, _T_4234, _T_4235) @[lsu_bus_buffer.scala 483:72] + node _T_4237 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4238 = mux(_T_4232, _T_4236, _T_4237) @[lsu_bus_buffer.scala 483:30] + buf_data_in[3] <= _T_4238 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4239 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4239 : @[Conditional.scala 39:67] + node _T_4240 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4241 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 487:86] + node _T_4242 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 487:101] + node _T_4243 = bits(_T_4242, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4244 = or(_T_4241, _T_4243) @[lsu_bus_buffer.scala 487:90] + node _T_4245 = or(_T_4244, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4246 = mux(_T_4245, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4247 = mux(_T_4240, UInt<3>("h00"), _T_4246) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4247 @[lsu_bus_buffer.scala 487:25] + node _T_4248 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:66] + node _T_4249 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:21] + node _T_4250 = bits(_T_4249, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 489:58] + node _T_4252 = and(_T_4250, _T_4251) @[lsu_bus_buffer.scala 489:38] + node _T_4253 = or(_T_4248, _T_4252) @[lsu_bus_buffer.scala 488:95] + node _T_4254 = and(bus_rsp_read, _T_4253) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[3] <= _T_4254 @[lsu_bus_buffer.scala 488:29] + node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4256 = or(_T_4255, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[3] <= _T_4256 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4257 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4257 : @[Conditional.scala 39:67] + node _T_4258 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4259 = mux(_T_4258, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4259 @[lsu_bus_buffer.scala 494:25] + node _T_4260 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 495:37] + node _T_4261 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4262 = and(buf_dual[3], _T_4261) @[lsu_bus_buffer.scala 495:80] + node _T_4263 = or(_T_4260, _T_4262) @[lsu_bus_buffer.scala 495:65] + node _T_4264 = or(_T_4263, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[3] <= _T_4264 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4265 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4265 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_4266 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4267 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4266 : @[Reg.scala 28:19] + _T_4267 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4267 @[lsu_bus_buffer.scala 507:18] + reg _T_4268 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4268 <= buf_age_in_3 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[3] <= _T_4268 @[lsu_bus_buffer.scala 508:17] + reg _T_4269 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4269 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[3] <= _T_4269 @[lsu_bus_buffer.scala 509:20] + node _T_4270 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4270 : @[Reg.scala 28:19] + _T_4271 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4271 @[lsu_bus_buffer.scala 510:20] + node _T_4272 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 511:74] + node _T_4273 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4274 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= _T_4272 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4274 @[lsu_bus_buffer.scala 511:17] + node _T_4275 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 512:78] + node _T_4276 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4277 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4276 : @[Reg.scala 28:19] + _T_4277 <= _T_4275 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4277 @[lsu_bus_buffer.scala 512:19] + node _T_4278 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 513:80] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4280 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4279 : @[Reg.scala 28:19] + _T_4280 <= _T_4278 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4280 @[lsu_bus_buffer.scala 513:20] + node _T_4281 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 514:78] + node _T_4282 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4283 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= _T_4281 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4283 @[lsu_bus_buffer.scala 514:19] + node _T_4284 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4284 : @[Reg.scala 28:19] + _T_4285 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4286 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4288 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4288 : @[Reg.scala 28:19] + _T_4289 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4290 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4290 : @[Reg.scala 28:19] + _T_4291 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4292 = cat(_T_4291, _T_4289) @[Cat.scala 29:58] + node _T_4293 = cat(_T_4292, _T_4287) @[Cat.scala 29:58] + node _T_4294 = cat(_T_4293, _T_4285) @[Cat.scala 29:58] + buf_ldfwd <= _T_4294 @[lsu_bus_buffer.scala 517:13] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4297 : @[Reg.scala 28:19] + _T_4298 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4299 : @[Reg.scala 28:19] + _T_4300 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4301 : @[Reg.scala 28:19] + _T_4302 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4296 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[1] <= _T_4298 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[2] <= _T_4300 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[3] <= _T_4302 @[lsu_bus_buffer.scala 518:16] + node _T_4303 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 519:105] + node _T_4304 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= _T_4303 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 519:105] + node _T_4307 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= _T_4306 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4309 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 519:105] + node _T_4310 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4310 : @[Reg.scala 28:19] + _T_4311 <= _T_4309 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4312 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 519:105] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= _T_4312 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = cat(_T_4314, _T_4311) @[Cat.scala 29:58] + node _T_4316 = cat(_T_4315, _T_4308) @[Cat.scala 29:58] + node _T_4317 = cat(_T_4316, _T_4305) @[Cat.scala 29:58] + buf_sideeffect <= _T_4317 @[lsu_bus_buffer.scala 519:18] + node _T_4318 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 520:97] + node _T_4319 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= _T_4318 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4321 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 520:97] + node _T_4322 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4322 : @[Reg.scala 28:19] + _T_4323 <= _T_4321 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4324 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 520:97] + node _T_4325 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= _T_4324 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4327 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 520:97] + node _T_4328 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4329 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4328 : @[Reg.scala 28:19] + _T_4329 <= _T_4327 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4330 = cat(_T_4329, _T_4326) @[Cat.scala 29:58] + node _T_4331 = cat(_T_4330, _T_4323) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4320) @[Cat.scala 29:58] + buf_unsign <= _T_4332 @[lsu_bus_buffer.scala 520:14] + node _T_4333 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 521:95] + node _T_4334 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= _T_4333 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4336 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 521:95] + node _T_4337 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= _T_4336 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4339 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 521:95] + node _T_4340 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4340 : @[Reg.scala 28:19] + _T_4341 <= _T_4339 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4342 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 521:95] + node _T_4343 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4344 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4343 : @[Reg.scala 28:19] + _T_4344 <= _T_4342 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4345 = cat(_T_4344, _T_4341) @[Cat.scala 29:58] + node _T_4346 = cat(_T_4345, _T_4338) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4335) @[Cat.scala 29:58] + buf_write <= _T_4347 @[lsu_bus_buffer.scala 521:13] + node _T_4348 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4349 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4348 : @[Reg.scala 28:19] + _T_4349 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4350 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4351 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4352 : @[Reg.scala 28:19] + _T_4353 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4354 : @[Reg.scala 28:19] + _T_4355 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4349 @[lsu_bus_buffer.scala 522:10] + buf_sz[1] <= _T_4351 @[lsu_bus_buffer.scala 522:10] + buf_sz[2] <= _T_4353 @[lsu_bus_buffer.scala 522:10] + buf_sz[3] <= _T_4355 @[lsu_bus_buffer.scala 522:10] + node _T_4356 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4356 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4357 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4357 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4358 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4358 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4359 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4359 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4360 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4360 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4361 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4361 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4362 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4362 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4363 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4363 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4357 @[lsu_bus_buffer.scala 523:12] + buf_addr[1] <= _T_4359 @[lsu_bus_buffer.scala 523:12] + buf_addr[2] <= _T_4361 @[lsu_bus_buffer.scala 523:12] + buf_addr[3] <= _T_4363 @[lsu_bus_buffer.scala 523:12] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4365 @[lsu_bus_buffer.scala 524:14] + buf_byteen[1] <= _T_4367 @[lsu_bus_buffer.scala 524:14] + buf_byteen[2] <= _T_4369 @[lsu_bus_buffer.scala 524:14] + buf_byteen[3] <= _T_4371 @[lsu_bus_buffer.scala 524:14] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4372 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4372 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4374 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4374 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4372 @[lsu_bus_buffer.scala 525:12] + buf_data[1] <= _T_4373 @[lsu_bus_buffer.scala 525:12] + buf_data[2] <= _T_4374 @[lsu_bus_buffer.scala 525:12] + buf_data[3] <= _T_4375 @[lsu_bus_buffer.scala 525:12] + node _T_4376 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 526:119] + node _T_4377 = mux(buf_error_en[0], UInt<1>("h01"), _T_4376) @[lsu_bus_buffer.scala 526:84] + node _T_4378 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4379 = and(_T_4377, _T_4378) @[lsu_bus_buffer.scala 526:124] + reg _T_4380 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4380 <= _T_4379 @[lsu_bus_buffer.scala 526:80] + node _T_4381 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 526:119] + node _T_4382 = mux(buf_error_en[1], UInt<1>("h01"), _T_4381) @[lsu_bus_buffer.scala 526:84] + node _T_4383 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4384 = and(_T_4382, _T_4383) @[lsu_bus_buffer.scala 526:124] + reg _T_4385 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4385 <= _T_4384 @[lsu_bus_buffer.scala 526:80] + node _T_4386 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 526:119] + node _T_4387 = mux(buf_error_en[2], UInt<1>("h01"), _T_4386) @[lsu_bus_buffer.scala 526:84] + node _T_4388 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4389 = and(_T_4387, _T_4388) @[lsu_bus_buffer.scala 526:124] + reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4390 <= _T_4389 @[lsu_bus_buffer.scala 526:80] + node _T_4391 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 526:119] + node _T_4392 = mux(buf_error_en[3], UInt<1>("h01"), _T_4391) @[lsu_bus_buffer.scala 526:84] + node _T_4393 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4394 = and(_T_4392, _T_4393) @[lsu_bus_buffer.scala 526:124] + reg _T_4395 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4395 <= _T_4394 @[lsu_bus_buffer.scala 526:80] + node _T_4396 = cat(_T_4395, _T_4390) @[Cat.scala 29:58] + node _T_4397 = cat(_T_4396, _T_4385) @[Cat.scala 29:58] + node _T_4398 = cat(_T_4397, _T_4380) @[Cat.scala 29:58] + buf_error <= _T_4398 @[lsu_bus_buffer.scala 526:13] + node _T_4399 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4400 = mux(io.ldst_dual_m, _T_4399, io.lsu_busreq_m) @[lsu_bus_buffer.scala 528:28] + node _T_4401 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4402 = mux(io.ldst_dual_r, _T_4401, io.lsu_busreq_r) @[lsu_bus_buffer.scala 528:94] + node _T_4403 = add(_T_4400, _T_4402) @[lsu_bus_buffer.scala 528:88] + node _T_4404 = add(_T_4403, ibuf_valid) @[lsu_bus_buffer.scala 528:154] + node _T_4405 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4406 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4407 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4408 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4409 = add(_T_4405, _T_4406) @[lsu_bus_buffer.scala 528:217] + node _T_4410 = add(_T_4409, _T_4407) @[lsu_bus_buffer.scala 528:217] + node _T_4411 = add(_T_4410, _T_4408) @[lsu_bus_buffer.scala 528:217] + node _T_4412 = add(_T_4404, _T_4411) @[lsu_bus_buffer.scala 528:169] + node buf_numvld_any = tail(_T_4412, 1) @[lsu_bus_buffer.scala 528:169] + node _T_4413 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 529:60] + node _T_4414 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4415 = and(_T_4413, _T_4414) @[lsu_bus_buffer.scala 529:64] + node _T_4416 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4417 = and(_T_4415, _T_4416) @[lsu_bus_buffer.scala 529:89] + node _T_4418 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 529:60] + node _T_4419 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4420 = and(_T_4418, _T_4419) @[lsu_bus_buffer.scala 529:64] + node _T_4421 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 529:89] + node _T_4423 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 529:60] + node _T_4424 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4425 = and(_T_4423, _T_4424) @[lsu_bus_buffer.scala 529:64] + node _T_4426 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 529:89] + node _T_4428 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 529:60] + node _T_4429 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4430 = and(_T_4428, _T_4429) @[lsu_bus_buffer.scala 529:64] + node _T_4431 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 529:89] + node _T_4433 = add(_T_4432, _T_4427) @[lsu_bus_buffer.scala 529:142] + node _T_4434 = add(_T_4433, _T_4422) @[lsu_bus_buffer.scala 529:142] + node _T_4435 = add(_T_4434, _T_4417) @[lsu_bus_buffer.scala 529:142] + buf_numvld_wrcmd_any <= _T_4435 @[lsu_bus_buffer.scala 529:24] + node _T_4436 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4437 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 530:73] + node _T_4439 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4440 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 530:73] + node _T_4442 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4443 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4444 = and(_T_4442, _T_4443) @[lsu_bus_buffer.scala 530:73] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4446 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4447 = and(_T_4445, _T_4446) @[lsu_bus_buffer.scala 530:73] + node _T_4448 = add(_T_4447, _T_4444) @[lsu_bus_buffer.scala 530:126] + node _T_4449 = add(_T_4448, _T_4441) @[lsu_bus_buffer.scala 530:126] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 530:126] + buf_numvld_cmd_any <= _T_4450 @[lsu_bus_buffer.scala 530:22] + node _T_4451 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 531:100] + node _T_4455 = or(_T_4451, _T_4454) @[lsu_bus_buffer.scala 531:74] + node _T_4456 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4457 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4459 = and(_T_4457, _T_4458) @[lsu_bus_buffer.scala 531:100] + node _T_4460 = or(_T_4456, _T_4459) @[lsu_bus_buffer.scala 531:74] + node _T_4461 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4462 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4464 = and(_T_4462, _T_4463) @[lsu_bus_buffer.scala 531:100] + node _T_4465 = or(_T_4461, _T_4464) @[lsu_bus_buffer.scala 531:74] + node _T_4466 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4467 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4469 = and(_T_4467, _T_4468) @[lsu_bus_buffer.scala 531:100] + node _T_4470 = or(_T_4466, _T_4469) @[lsu_bus_buffer.scala 531:74] + node _T_4471 = add(_T_4470, _T_4465) @[lsu_bus_buffer.scala 531:154] + node _T_4472 = add(_T_4471, _T_4460) @[lsu_bus_buffer.scala 531:154] + node _T_4473 = add(_T_4472, _T_4455) @[lsu_bus_buffer.scala 531:154] + buf_numvld_pend_any <= _T_4473 @[lsu_bus_buffer.scala 531:23] + node _T_4474 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4475 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4476 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4477 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4478 = or(_T_4477, _T_4476) @[lsu_bus_buffer.scala 532:93] + node _T_4479 = or(_T_4478, _T_4475) @[lsu_bus_buffer.scala 532:93] + node _T_4480 = or(_T_4479, _T_4474) @[lsu_bus_buffer.scala 532:93] + any_done_wait_state <= _T_4480 @[lsu_bus_buffer.scala 532:23] + node _T_4481 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 533:53] + io.lsu_bus_buffer_pend_any <= _T_4481 @[lsu_bus_buffer.scala 533:30] + node _T_4482 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 534:52] + node _T_4483 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 534:92] + node _T_4484 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 534:121] + node _T_4485 = mux(_T_4482, _T_4483, _T_4484) @[lsu_bus_buffer.scala 534:36] + io.lsu_bus_buffer_full_any <= _T_4485 @[lsu_bus_buffer.scala 534:30] + node _T_4486 = orr(buf_state[0]) @[lsu_bus_buffer.scala 535:52] + node _T_4487 = orr(buf_state[1]) @[lsu_bus_buffer.scala 535:52] + node _T_4488 = orr(buf_state[2]) @[lsu_bus_buffer.scala 535:52] + node _T_4489 = orr(buf_state[3]) @[lsu_bus_buffer.scala 535:52] + node _T_4490 = or(_T_4486, _T_4487) @[lsu_bus_buffer.scala 535:65] + node _T_4491 = or(_T_4490, _T_4488) @[lsu_bus_buffer.scala 535:65] + node _T_4492 = or(_T_4491, _T_4489) @[lsu_bus_buffer.scala 535:65] + node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:34] + node _T_4494 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:72] + node _T_4495 = and(_T_4493, _T_4494) @[lsu_bus_buffer.scala 535:70] + node _T_4496 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:86] + node _T_4497 = and(_T_4495, _T_4496) @[lsu_bus_buffer.scala 535:84] + io.lsu_bus_buffer_empty_any <= _T_4497 @[lsu_bus_buffer.scala 535:31] + node _T_4498 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 537:64] + node _T_4499 = and(_T_4498, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 537:85] + node _T_4500 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:112] + node _T_4501 = and(_T_4499, _T_4500) @[lsu_bus_buffer.scala 537:110] + node _T_4502 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:129] + node _T_4503 = and(_T_4501, _T_4502) @[lsu_bus_buffer.scala 537:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4503 @[lsu_bus_buffer.scala 537:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 538:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4504 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:74] + node _T_4505 = and(lsu_nonblock_load_valid_r, _T_4504) @[lsu_bus_buffer.scala 540:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4505 @[lsu_bus_buffer.scala 540:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 541:47] + node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4507 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:106] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4510 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:106] + node _T_4511 = eq(_T_4510, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4512 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4513 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:106] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4515 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4516 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:106] + node _T_4517 = eq(_T_4516, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4518 = mux(_T_4506, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4519 = mux(_T_4509, _T_4511, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4520 = mux(_T_4512, _T_4514, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4521 = mux(_T_4515, _T_4517, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4522 = or(_T_4518, _T_4519) @[Mux.scala 27:72] + node _T_4523 = or(_T_4522, _T_4520) @[Mux.scala 27:72] + node _T_4524 = or(_T_4523, _T_4521) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4524 @[Mux.scala 27:72] + node _T_4525 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4526 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 543:117] + node _T_4527 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 543:133] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4529 = and(_T_4526, _T_4528) @[lsu_bus_buffer.scala 543:121] + node _T_4530 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4531 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 543:117] + node _T_4532 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 543:133] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4534 = and(_T_4531, _T_4533) @[lsu_bus_buffer.scala 543:121] + node _T_4535 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4536 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 543:117] + node _T_4537 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 543:133] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4539 = and(_T_4536, _T_4538) @[lsu_bus_buffer.scala 543:121] + node _T_4540 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4541 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 543:117] + node _T_4542 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 543:133] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4544 = and(_T_4541, _T_4543) @[lsu_bus_buffer.scala 543:121] + node _T_4545 = mux(_T_4525, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4546 = mux(_T_4530, _T_4534, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4547 = mux(_T_4535, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4548 = mux(_T_4540, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = or(_T_4545, _T_4546) @[Mux.scala 27:72] + node _T_4550 = or(_T_4549, _T_4547) @[Mux.scala 27:72] + node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] + wire _T_4552 : UInt<1> @[Mux.scala 27:72] + _T_4552 <= _T_4551 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4552 @[lsu_bus_buffer.scala 543:48] + node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4554 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:115] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4556 = and(_T_4553, _T_4555) @[lsu_bus_buffer.scala 544:103] + node _T_4557 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4558 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4559 = or(_T_4557, _T_4558) @[lsu_bus_buffer.scala 544:135] + node _T_4560 = and(_T_4556, _T_4559) @[lsu_bus_buffer.scala 544:119] + node _T_4561 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4562 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:115] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 544:103] + node _T_4565 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4566 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4567 = or(_T_4565, _T_4566) @[lsu_bus_buffer.scala 544:135] + node _T_4568 = and(_T_4564, _T_4567) @[lsu_bus_buffer.scala 544:119] + node _T_4569 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4570 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:115] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4572 = and(_T_4569, _T_4571) @[lsu_bus_buffer.scala 544:103] + node _T_4573 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4574 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4575 = or(_T_4573, _T_4574) @[lsu_bus_buffer.scala 544:135] + node _T_4576 = and(_T_4572, _T_4575) @[lsu_bus_buffer.scala 544:119] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4578 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:115] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4580 = and(_T_4577, _T_4579) @[lsu_bus_buffer.scala 544:103] + node _T_4581 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4582 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4583 = or(_T_4581, _T_4582) @[lsu_bus_buffer.scala 544:135] + node _T_4584 = and(_T_4580, _T_4583) @[lsu_bus_buffer.scala 544:119] + node _T_4585 = mux(_T_4560, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4586 = mux(_T_4568, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = mux(_T_4576, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4584, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = or(_T_4585, _T_4586) @[Mux.scala 27:72] + node _T_4590 = or(_T_4589, _T_4587) @[Mux.scala 27:72] + node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] + wire _T_4592 : UInt<2> @[Mux.scala 27:72] + _T_4592 <= _T_4591 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4592 @[lsu_bus_buffer.scala 544:46] + node _T_4593 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4594 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:101] + node _T_4595 = eq(_T_4594, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4596 = and(_T_4593, _T_4595) @[lsu_bus_buffer.scala 545:89] + node _T_4597 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4598 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4599 = or(_T_4597, _T_4598) @[lsu_bus_buffer.scala 545:121] + node _T_4600 = and(_T_4596, _T_4599) @[lsu_bus_buffer.scala 545:105] + node _T_4601 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4602 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:101] + node _T_4603 = eq(_T_4602, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4604 = and(_T_4601, _T_4603) @[lsu_bus_buffer.scala 545:89] + node _T_4605 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4606 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4607 = or(_T_4605, _T_4606) @[lsu_bus_buffer.scala 545:121] + node _T_4608 = and(_T_4604, _T_4607) @[lsu_bus_buffer.scala 545:105] + node _T_4609 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4610 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:101] + node _T_4611 = eq(_T_4610, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4612 = and(_T_4609, _T_4611) @[lsu_bus_buffer.scala 545:89] + node _T_4613 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4614 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4615 = or(_T_4613, _T_4614) @[lsu_bus_buffer.scala 545:121] + node _T_4616 = and(_T_4612, _T_4615) @[lsu_bus_buffer.scala 545:105] + node _T_4617 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4618 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:101] + node _T_4619 = eq(_T_4618, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4620 = and(_T_4617, _T_4619) @[lsu_bus_buffer.scala 545:89] + node _T_4621 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4622 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4623 = or(_T_4621, _T_4622) @[lsu_bus_buffer.scala 545:121] + node _T_4624 = and(_T_4620, _T_4623) @[lsu_bus_buffer.scala 545:105] + node _T_4625 = mux(_T_4600, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4626 = mux(_T_4608, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4627 = mux(_T_4616, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4628 = mux(_T_4624, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4629 = or(_T_4625, _T_4626) @[Mux.scala 27:72] + node _T_4630 = or(_T_4629, _T_4627) @[Mux.scala 27:72] + node _T_4631 = or(_T_4630, _T_4628) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4631 @[Mux.scala 27:72] + node _T_4632 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4633 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:101] + node _T_4634 = eq(_T_4633, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4635 = and(_T_4632, _T_4634) @[lsu_bus_buffer.scala 546:89] + node _T_4636 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 546:120] + node _T_4637 = and(_T_4635, _T_4636) @[lsu_bus_buffer.scala 546:105] + node _T_4638 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4639 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:101] + node _T_4640 = eq(_T_4639, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4641 = and(_T_4638, _T_4640) @[lsu_bus_buffer.scala 546:89] + node _T_4642 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 546:120] + node _T_4643 = and(_T_4641, _T_4642) @[lsu_bus_buffer.scala 546:105] + node _T_4644 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4645 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:101] + node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4647 = and(_T_4644, _T_4646) @[lsu_bus_buffer.scala 546:89] + node _T_4648 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 546:120] + node _T_4649 = and(_T_4647, _T_4648) @[lsu_bus_buffer.scala 546:105] + node _T_4650 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4651 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:101] + node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4653 = and(_T_4650, _T_4652) @[lsu_bus_buffer.scala 546:89] + node _T_4654 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 546:120] + node _T_4655 = and(_T_4653, _T_4654) @[lsu_bus_buffer.scala 546:105] + node _T_4656 = mux(_T_4637, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4657 = mux(_T_4643, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4658 = mux(_T_4649, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4659 = mux(_T_4655, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4660 = or(_T_4656, _T_4657) @[Mux.scala 27:72] + node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] + node _T_4662 = or(_T_4661, _T_4659) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4662 @[Mux.scala 27:72] + node _T_4663 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4664 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4665 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4666 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4667 = mux(_T_4663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] + wire _T_4674 : UInt<32> @[Mux.scala 27:72] + _T_4674 <= _T_4673 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4674, 1, 0) @[lsu_bus_buffer.scala 547:96] + node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4678 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4679 = mux(_T_4675, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = mux(_T_4678, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = or(_T_4679, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + node _T_4685 = or(_T_4684, _T_4682) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4685 @[Mux.scala 27:72] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4687 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4689 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4690 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4691 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4692 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4693 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4694 = mux(_T_4686, _T_4687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4688, _T_4689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4690, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = mux(_T_4692, _T_4693, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] + node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] + node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4700 @[Mux.scala 27:72] + node _T_4701 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4702 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 551:121] + node lsu_nonblock_data_unalgn = dshr(_T_4701, _T_4702) @[lsu_bus_buffer.scala 551:92] + node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:82] + node _T_4704 = and(lsu_nonblock_load_data_ready, _T_4703) @[lsu_bus_buffer.scala 553:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4704 @[lsu_bus_buffer.scala 553:48] + node _T_4705 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:94] + node _T_4706 = and(lsu_nonblock_unsign, _T_4705) @[lsu_bus_buffer.scala 554:76] + node _T_4707 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 554:144] + node _T_4708 = cat(UInt<24>("h00"), _T_4707) @[Cat.scala 29:58] + node _T_4709 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 555:45] + node _T_4710 = and(lsu_nonblock_unsign, _T_4709) @[lsu_bus_buffer.scala 555:26] + node _T_4711 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 555:95] + node _T_4712 = cat(UInt<16>("h00"), _T_4711) @[Cat.scala 29:58] + node _T_4713 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:6] + node _T_4714 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:45] + node _T_4715 = and(_T_4713, _T_4714) @[lsu_bus_buffer.scala 556:27] + node _T_4716 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 556:93] + node _T_4717 = bits(_T_4716, 0, 0) @[Bitwise.scala 72:15] + node _T_4718 = mux(_T_4717, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4719 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:123] + node _T_4720 = cat(_T_4718, _T_4719) @[Cat.scala 29:58] + node _T_4721 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:6] + node _T_4722 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4723 = and(_T_4721, _T_4722) @[lsu_bus_buffer.scala 557:27] + node _T_4724 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 557:93] + node _T_4725 = bits(_T_4724, 0, 0) @[Bitwise.scala 72:15] + node _T_4726 = mux(_T_4725, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:124] + node _T_4728 = cat(_T_4726, _T_4727) @[Cat.scala 29:58] + node _T_4729 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 558:21] + node _T_4730 = mux(_T_4706, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4731 = mux(_T_4710, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4732 = mux(_T_4715, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4723, _T_4728, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4729, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = or(_T_4730, _T_4731) @[Mux.scala 27:72] + node _T_4736 = or(_T_4735, _T_4732) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4733) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4734) @[Mux.scala 27:72] + wire _T_4739 : UInt<64> @[Mux.scala 27:72] + _T_4739 <= _T_4738 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4739 @[lsu_bus_buffer.scala 554:42] + node _T_4740 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4741 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 559:89] + node _T_4742 = and(_T_4740, _T_4741) @[lsu_bus_buffer.scala 559:73] + node _T_4743 = and(_T_4742, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4744 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4745 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 559:89] + node _T_4746 = and(_T_4744, _T_4745) @[lsu_bus_buffer.scala 559:73] + node _T_4747 = and(_T_4746, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4748 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4749 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 559:89] + node _T_4750 = and(_T_4748, _T_4749) @[lsu_bus_buffer.scala 559:73] + node _T_4751 = and(_T_4750, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4752 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4753 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 559:89] + node _T_4754 = and(_T_4752, _T_4753) @[lsu_bus_buffer.scala 559:73] + node _T_4755 = and(_T_4754, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4756 = or(_T_4743, _T_4747) @[lsu_bus_buffer.scala 559:153] + node _T_4757 = or(_T_4756, _T_4751) @[lsu_bus_buffer.scala 559:153] + node _T_4758 = or(_T_4757, _T_4755) @[lsu_bus_buffer.scala 559:153] + node _T_4759 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 559:171] + node _T_4760 = and(_T_4759, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:189] + node _T_4761 = or(_T_4758, _T_4760) @[lsu_bus_buffer.scala 559:157] + bus_sideeffect_pend <= _T_4761 @[lsu_bus_buffer.scala 559:23] + node _T_4762 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4763 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4764 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4765 = eq(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:37] + node _T_4766 = and(obuf_valid, _T_4765) @[lsu_bus_buffer.scala 561:19] + node _T_4767 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:73] + node _T_4768 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:107] + node _T_4769 = and(obuf_merge, _T_4768) @[lsu_bus_buffer.scala 561:95] + node _T_4770 = or(_T_4767, _T_4769) @[lsu_bus_buffer.scala 561:81] + node _T_4771 = eq(_T_4770, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4772 = and(_T_4766, _T_4771) @[lsu_bus_buffer.scala 561:59] + node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4775 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 561:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 561:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 561:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 561:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 561:59] + node _T_4784 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4786 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 561:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 561:19] + node _T_4789 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:73] + node _T_4790 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 561:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 561:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 561:59] + node _T_4795 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4797 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 561:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 561:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 561:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 561:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 561:59] + node _T_4806 = mux(_T_4762, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4807 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4808 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4809 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4810 = or(_T_4806, _T_4807) @[Mux.scala 27:72] + node _T_4811 = or(_T_4810, _T_4808) @[Mux.scala 27:72] + node _T_4812 = or(_T_4811, _T_4809) @[Mux.scala 27:72] + wire _T_4813 : UInt<1> @[Mux.scala 27:72] + _T_4813 <= _T_4812 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4813 @[lsu_bus_buffer.scala 560:26] + node _T_4814 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 563:54] + node _T_4815 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 563:75] + node _T_4816 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 563:153] + node _T_4817 = mux(_T_4814, _T_4815, _T_4816) @[lsu_bus_buffer.scala 563:39] + node _T_4818 = mux(obuf_write, _T_4817, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 563:23] + bus_cmd_ready <= _T_4818 @[lsu_bus_buffer.scala 563:17] + node _T_4819 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 564:40] + bus_wcmd_sent <= _T_4819 @[lsu_bus_buffer.scala 564:17] + node _T_4820 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:40] + bus_wdata_sent <= _T_4820 @[lsu_bus_buffer.scala 565:18] + node _T_4821 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 566:35] + node _T_4822 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 566:70] + node _T_4823 = and(_T_4821, _T_4822) @[lsu_bus_buffer.scala 566:52] + node _T_4824 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 566:112] + node _T_4825 = or(_T_4823, _T_4824) @[lsu_bus_buffer.scala 566:89] + bus_cmd_sent <= _T_4825 @[lsu_bus_buffer.scala 566:16] + node _T_4826 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 567:38] + bus_rsp_read <= _T_4826 @[lsu_bus_buffer.scala 567:16] + node _T_4827 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 568:39] + bus_rsp_write <= _T_4827 @[lsu_bus_buffer.scala 568:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 569:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 570:21] + node _T_4828 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 571:66] + node _T_4829 = and(bus_rsp_write, _T_4828) @[lsu_bus_buffer.scala 571:40] + bus_rsp_write_error <= _T_4829 @[lsu_bus_buffer.scala 571:23] + node _T_4830 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:64] + node _T_4831 = and(bus_rsp_read, _T_4830) @[lsu_bus_buffer.scala 572:38] + bus_rsp_read_error <= _T_4831 @[lsu_bus_buffer.scala 572:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 573:17] + node _T_4832 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 576:37] + node _T_4833 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:52] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 576:50] + node _T_4835 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:69] + node _T_4836 = and(_T_4834, _T_4835) @[lsu_bus_buffer.scala 576:67] + io.lsu_axi.aw.valid <= _T_4836 @[lsu_bus_buffer.scala 576:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 577:25] + node _T_4837 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 578:75] + node _T_4838 = cat(_T_4837, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4839 = mux(obuf_sideeffect, obuf_addr, _T_4838) @[lsu_bus_buffer.scala 578:33] + io.lsu_axi.aw.bits.addr <= _T_4839 @[lsu_bus_buffer.scala 578:27] + node _T_4840 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4841 = mux(obuf_sideeffect, _T_4840, UInt<3>("h03")) @[lsu_bus_buffer.scala 579:33] + io.lsu_axi.aw.bits.size <= _T_4841 @[lsu_bus_buffer.scala 579:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 580:27] + node _T_4842 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 581:34] + io.lsu_axi.aw.bits.cache <= _T_4842 @[lsu_bus_buffer.scala 581:28] + node _T_4843 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.aw.bits.region <= _T_4843 @[lsu_bus_buffer.scala 582:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 583:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 584:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 586:27] + node _T_4844 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 588:36] + node _T_4845 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:51] + node _T_4846 = and(_T_4844, _T_4845) @[lsu_bus_buffer.scala 588:49] + node _T_4847 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:69] + node _T_4848 = and(_T_4846, _T_4847) @[lsu_bus_buffer.scala 588:67] + io.lsu_axi.w.valid <= _T_4848 @[lsu_bus_buffer.scala 588:22] + node _T_4849 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4850 = mux(_T_4849, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4851 = and(obuf_byteen, _T_4850) @[lsu_bus_buffer.scala 589:41] + io.lsu_axi.w.bits.strb <= _T_4851 @[lsu_bus_buffer.scala 589:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 590:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 591:26] + node _T_4852 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:39] + node _T_4853 = and(obuf_valid, _T_4852) @[lsu_bus_buffer.scala 593:37] + node _T_4854 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:53] + node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 593:51] + node _T_4856 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:68] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 593:66] + io.lsu_axi.ar.valid <= _T_4857 @[lsu_bus_buffer.scala 593:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 594:25] + node _T_4858 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 595:75] + node _T_4859 = cat(_T_4858, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4860 = mux(obuf_sideeffect, obuf_addr, _T_4859) @[lsu_bus_buffer.scala 595:33] + io.lsu_axi.ar.bits.addr <= _T_4860 @[lsu_bus_buffer.scala 595:27] + node _T_4861 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4862 = mux(obuf_sideeffect, _T_4861, UInt<3>("h03")) @[lsu_bus_buffer.scala 596:33] + io.lsu_axi.ar.bits.size <= _T_4862 @[lsu_bus_buffer.scala 596:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:27] + node _T_4863 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 598:34] + io.lsu_axi.ar.bits.cache <= _T_4863 @[lsu_bus_buffer.scala 598:28] + node _T_4864 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 599:41] + io.lsu_axi.ar.bits.region <= _T_4864 @[lsu_bus_buffer.scala 599:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 600:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 601:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 604:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:22] + node _T_4865 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4866 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 606:137] + node _T_4867 = and(io.lsu_bus_clk_en_q, _T_4866) @[lsu_bus_buffer.scala 606:126] + node _T_4868 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 606:152] + node _T_4869 = and(_T_4867, _T_4868) @[lsu_bus_buffer.scala 606:141] + node _T_4870 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4871 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 606:137] + node _T_4872 = and(io.lsu_bus_clk_en_q, _T_4871) @[lsu_bus_buffer.scala 606:126] + node _T_4873 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 606:152] + node _T_4874 = and(_T_4872, _T_4873) @[lsu_bus_buffer.scala 606:141] + node _T_4875 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4876 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 606:137] + node _T_4877 = and(io.lsu_bus_clk_en_q, _T_4876) @[lsu_bus_buffer.scala 606:126] + node _T_4878 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 606:152] + node _T_4879 = and(_T_4877, _T_4878) @[lsu_bus_buffer.scala 606:141] + node _T_4880 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4881 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 606:137] + node _T_4882 = and(io.lsu_bus_clk_en_q, _T_4881) @[lsu_bus_buffer.scala 606:126] + node _T_4883 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 606:152] + node _T_4884 = and(_T_4882, _T_4883) @[lsu_bus_buffer.scala 606:141] + node _T_4885 = mux(_T_4865, _T_4869, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4886 = mux(_T_4870, _T_4874, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4887 = mux(_T_4875, _T_4879, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4888 = mux(_T_4880, _T_4884, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4889 = or(_T_4885, _T_4886) @[Mux.scala 27:72] + node _T_4890 = or(_T_4889, _T_4887) @[Mux.scala 27:72] + node _T_4891 = or(_T_4890, _T_4888) @[Mux.scala 27:72] + wire _T_4892 : UInt<1> @[Mux.scala 27:72] + _T_4892 <= _T_4891 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4892 @[lsu_bus_buffer.scala 606:48] + node _T_4893 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4894 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 607:104] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 607:93] + node _T_4896 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 607:119] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 607:108] + node _T_4898 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4899 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 607:104] + node _T_4900 = and(_T_4898, _T_4899) @[lsu_bus_buffer.scala 607:93] + node _T_4901 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 607:119] + node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 607:108] + node _T_4903 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4904 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 607:104] + node _T_4905 = and(_T_4903, _T_4904) @[lsu_bus_buffer.scala 607:93] + node _T_4906 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 607:119] + node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 607:108] + node _T_4908 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4909 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 607:104] + node _T_4910 = and(_T_4908, _T_4909) @[lsu_bus_buffer.scala 607:93] + node _T_4911 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 607:119] + node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 607:108] + node _T_4913 = mux(_T_4897, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4914 = mux(_T_4902, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4915 = mux(_T_4907, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4912, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = or(_T_4913, _T_4914) @[Mux.scala 27:72] + node _T_4918 = or(_T_4917, _T_4915) @[Mux.scala 27:72] + node _T_4919 = or(_T_4918, _T_4916) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4919 @[Mux.scala 27:72] + node _T_4920 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 609:97] + node _T_4921 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4920) @[lsu_bus_buffer.scala 609:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4921 @[lsu_bus_buffer.scala 609:47] + node _T_4922 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 610:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4922 @[lsu_bus_buffer.scala 610:47] + node _T_4923 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 616:59] + node _T_4924 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 616:104] + node _T_4925 = or(_T_4923, _T_4924) @[lsu_bus_buffer.scala 616:82] + node _T_4926 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 616:149] + node _T_4927 = or(_T_4925, _T_4926) @[lsu_bus_buffer.scala 616:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4927 @[lsu_bus_buffer.scala 616:35] + node _T_4928 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 617:60] + node _T_4929 = and(_T_4928, io.lsu_commit_r) @[lsu_bus_buffer.scala 617:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4929 @[lsu_bus_buffer.scala 617:41] + node _T_4930 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 618:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4930 @[lsu_bus_buffer.scala 618:36] + node _T_4931 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:61] + node _T_4932 = and(io.lsu_axi.aw.valid, _T_4931) @[lsu_bus_buffer.scala 620:59] + node _T_4933 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:107] + node _T_4934 = and(io.lsu_axi.w.valid, _T_4933) @[lsu_bus_buffer.scala 620:105] + node _T_4935 = or(_T_4932, _T_4934) @[lsu_bus_buffer.scala 620:83] + node _T_4936 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:153] + node _T_4937 = and(io.lsu_axi.ar.valid, _T_4936) @[lsu_bus_buffer.scala 620:151] + node _T_4938 = or(_T_4935, _T_4937) @[lsu_bus_buffer.scala 620:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4938 @[lsu_bus_buffer.scala 620:35] + reg _T_4939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 622:49] + _T_4939 <= WrPtr0_m @[lsu_bus_buffer.scala 622:49] + WrPtr0_r <= _T_4939 @[lsu_bus_buffer.scala 622:12] + reg _T_4940 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 623:49] + _T_4940 <= WrPtr1_m @[lsu_bus_buffer.scala 623:49] + WrPtr1_r <= _T_4940 @[lsu_bus_buffer.scala 623:12] + node _T_4941 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:75] + node _T_4942 = and(io.lsu_busreq_m, _T_4941) @[lsu_bus_buffer.scala 624:73] + node _T_4943 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:89] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 624:87] + reg _T_4945 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:56] + _T_4945 <= _T_4944 @[lsu_bus_buffer.scala 624:56] + io.lsu_busreq_r <= _T_4945 @[lsu_bus_buffer.scala 624:19] + reg _T_4946 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:66] + _T_4946 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 625:66] + lsu_nonblock_load_valid_r <= _T_4946 @[lsu_bus_buffer.scala 625:29] + diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v new file mode 100644 index 00000000..1ba7b680 --- /dev/null +++ b/lsu_bus_buffer.v @@ -0,0 +1,4665 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_clk_override, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_bus_obuf_c1_clken, + input io_lsu_busm_clken, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [7:0] io_lsu_axi_aw_bits_len, + output [2:0] io_lsu_axi_aw_bits_size, + output [1:0] io_lsu_axi_aw_bits_burst, + output io_lsu_axi_aw_bits_lock, + output [3:0] io_lsu_axi_aw_bits_cache, + output [2:0] io_lsu_axi_aw_bits_prot, + output [3:0] io_lsu_axi_aw_bits_qos, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_w_bits_last, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [7:0] io_lsu_axi_ar_bits_len, + output [2:0] io_lsu_axi_ar_bits_size, + output [1:0] io_lsu_axi_ar_bits_burst, + output io_lsu_axi_ar_bits_lock, + output [3:0] io_lsu_axi_ar_bits_cache, + output [2:0] io_lsu_axi_ar_bits_prot, + output [3:0] io_lsu_axi_ar_bits_qos, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_axi_r_bits_last, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 76:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 77:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 79:74] + reg _T_4344; // @[Reg.scala 27:20] + reg _T_4341; // @[Reg.scala 27:20] + reg _T_4338; // @[Reg.scala 27:20] + reg _T_4335; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4344,_T_4341,_T_4338,_T_4335}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 80:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 80:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 80:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 80:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 508:60] + wire _T_2583 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_4094 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4117 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4121 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1780; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 354:13] + wire _T_4128 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 459:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_362 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 459:104] + wire _T_4129 = _GEN_362 == 3'h3; // @[lsu_bus_buffer.scala 459:104] + wire _T_4130 = obuf_merge & _T_4129; // @[lsu_bus_buffer.scala 459:91] + wire _T_4131 = _T_4128 | _T_4130; // @[lsu_bus_buffer.scala 459:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] + wire _T_4132 = _T_4131 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 347:55] + wire _T_4133 = _T_4132 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_4155 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4239 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4257 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4265 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_284 = _T_4121 & _T_4133; // @[Conditional.scala 39:67] + wire _GEN_297 = _T_4117 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_297; // @[Conditional.scala 40:58] + wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 414:103] + wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 414:78] + wire _T_2586 = buf_ageQ_3[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2587 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 414:140] + wire _T_2588 = _T_2586 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2576 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3904 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3927 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3931 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3938 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 459:48] + wire _T_3939 = _GEN_362 == 3'h2; // @[lsu_bus_buffer.scala 459:104] + wire _T_3940 = obuf_merge & _T_3939; // @[lsu_bus_buffer.scala 459:91] + wire _T_3941 = _T_3938 | _T_3940; // @[lsu_bus_buffer.scala 459:77] + wire _T_3942 = _T_3941 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3943 = _T_3942 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3965 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4049 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4067 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4075 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_207 = _T_3931 & _T_3943; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3927 ? 1'h0 : _GEN_207; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 414:103] + wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 414:78] + wire _T_2579 = buf_ageQ_3[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2581 = _T_2579 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2569 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3714 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3737 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3741 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 459:48] + wire _T_3749 = _GEN_362 == 3'h1; // @[lsu_bus_buffer.scala 459:104] + wire _T_3750 = obuf_merge & _T_3749; // @[lsu_bus_buffer.scala 459:91] + wire _T_3751 = _T_3748 | _T_3750; // @[lsu_bus_buffer.scala 459:77] + wire _T_3752 = _T_3751 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3753 = _T_3752 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3775 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3859 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3877 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3885 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_130 = _T_3741 & _T_3753; // @[Conditional.scala 39:67] + wire _GEN_143 = _T_3737 ? 1'h0 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_143; // @[Conditional.scala 40:58] + wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 414:103] + wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 414:78] + wire _T_2572 = buf_ageQ_3[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2574 = _T_2572 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2562 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3558 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 459:48] + wire _T_3559 = _GEN_362 == 3'h0; // @[lsu_bus_buffer.scala 459:104] + wire _T_3560 = obuf_merge & _T_3559; // @[lsu_bus_buffer.scala 459:91] + wire _T_3561 = _T_3558 | _T_3560; // @[lsu_bus_buffer.scala 459:77] + wire _T_3562 = _T_3561 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3669 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3687 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3695 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_53 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_2563 = _T_2562 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 414:103] + wire _T_2564 = ~_T_2563; // @[lsu_bus_buffer.scala 414:78] + wire _T_2565 = buf_ageQ_3[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2567 = _T_2565 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_3 = {_T_2588,_T_2581,_T_2574,_T_2567}; // @[Cat.scala 29:58] + wire _T_2687 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2689 = _T_2687 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2681 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2683 = _T_2681 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2675 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2677 = _T_2675 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2689,_T_2683,_T_2677}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 149:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 149:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 149:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 155:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 155:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 243:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 155:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 155:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 160:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 508:60] + wire _T_2555 = buf_ageQ_2[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2557 = _T_2555 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2548 = buf_ageQ_2[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2550 = _T_2548 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2541 = buf_ageQ_2[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2543 = _T_2541 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2534 = buf_ageQ_2[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2536 = _T_2534 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_2 = {_T_2557,_T_2550,_T_2543,_T_2536}; // @[Cat.scala 29:58] + wire _T_2666 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2668 = _T_2666 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2654 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2656 = _T_2654 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2648 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2650 = _T_2648 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_2 = {_T_2668,1'h0,_T_2656,_T_2650}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 149:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 508:60] + wire _T_2524 = buf_ageQ_1[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2526 = _T_2524 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2517 = buf_ageQ_1[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2519 = _T_2517 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2510 = buf_ageQ_1[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2512 = _T_2510 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2503 = buf_ageQ_1[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2505 = _T_2503 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_1 = {_T_2526,_T_2519,_T_2512,_T_2505}; // @[Cat.scala 29:58] + wire _T_2639 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2641 = _T_2639 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2633 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2635 = _T_2633 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2621 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2623 = _T_2621 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_1 = {_T_2641,_T_2635,1'h0,_T_2623}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 149:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 508:60] + wire _T_2493 = buf_ageQ_0[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2495 = _T_2493 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2486 = buf_ageQ_0[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2488 = _T_2486 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2479 = buf_ageQ_0[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2481 = _T_2479 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2472 = buf_ageQ_0[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2474 = _T_2472 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_0 = {_T_2495,_T_2488,_T_2481,_T_2474}; // @[Cat.scala 29:58] + wire _T_2612 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2614 = _T_2612 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2606 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2608 = _T_2606 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2600 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2602 = _T_2600 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_0 = {_T_2614,_T_2608,_T_2602,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 149:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 149:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 149:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 141:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 141:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 149:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 149:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 149:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 149:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 149:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 149:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 149:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 149:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 149:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 149:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 149:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 149:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 149:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 141:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 141:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 149:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 149:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 149:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 149:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 149:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 149:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 149:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 149:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 149:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 149:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 149:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 149:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 149:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 141:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 141:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 149:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 149:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 149:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 149:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 149:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 149:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 149:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 149:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 149:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 149:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 149:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 149:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 149:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 141:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 141:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 150:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 150:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 150:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 156:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 156:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 156:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 156:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 161:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 161:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 150:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 150:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 150:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 150:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 150:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 150:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 150:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 150:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 150:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 150:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 142:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 142:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 150:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 150:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 150:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 150:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 150:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 150:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 150:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 150:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 150:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 150:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 150:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 150:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 150:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 142:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 142:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 150:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 150:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 150:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 150:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 150:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 150:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 150:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 150:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 150:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 150:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 150:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 150:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 150:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 142:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 142:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 150:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 150:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 150:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 150:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 150:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 150:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 150:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 150:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 150:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 150:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 150:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 150:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 150:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 142:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 142:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 171:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 172:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 177:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 178:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 185:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 186:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 187:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 188:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 206:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 208:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 210:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 210:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 210:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 210:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 211:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 211:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 211:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 213:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 256:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 219:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 219:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 238:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 238:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 238:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 238:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 238:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 238:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 238:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 238:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 238:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 239:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 219:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 219:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 219:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 220:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 214:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 214:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 214:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 214:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 214:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 214:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 220:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 220:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 220:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 220:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 220:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 623:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 622:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 233:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 236:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 236:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 240:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 240:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 240:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 240:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 240:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 240:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 240:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 240:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 240:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 240:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 241:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 243:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 243:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4430 = buf_write[3] & _T_2583; // @[lsu_bus_buffer.scala 529:64] + wire _T_4431 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 529:91] + wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 529:89] + wire _T_4425 = buf_write[2] & _T_2576; // @[lsu_bus_buffer.scala 529:64] + wire _T_4426 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 529:91] + wire _T_4427 = _T_4425 & _T_4426; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _T_4433 = _T_4432 + _T_4427; // @[lsu_bus_buffer.scala 529:142] + wire _T_4420 = buf_write[1] & _T_2569; // @[lsu_bus_buffer.scala 529:64] + wire _T_4421 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 529:91] + wire _T_4422 = _T_4420 & _T_4421; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _GEN_366 = {{1'd0}, _T_4422}; // @[lsu_bus_buffer.scala 529:142] + wire [2:0] _T_4434 = _T_4433 + _GEN_366; // @[lsu_bus_buffer.scala 529:142] + wire _T_4415 = buf_write[0] & _T_2562; // @[lsu_bus_buffer.scala 529:64] + wire _T_4416 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 529:91] + wire _T_4417 = _T_4415 & _T_4416; // @[lsu_bus_buffer.scala 529:89] + wire [2:0] _GEN_367 = {{2'd0}, _T_4417}; // @[lsu_bus_buffer.scala 529:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4434 + _GEN_367; // @[lsu_bus_buffer.scala 529:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] + wire _T_4447 = _T_2583 & _T_4431; // @[lsu_bus_buffer.scala 530:73] + wire _T_4444 = _T_2576 & _T_4426; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _T_4448 = _T_4447 + _T_4444; // @[lsu_bus_buffer.scala 530:126] + wire _T_4441 = _T_2569 & _T_4421; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _GEN_368 = {{1'd0}, _T_4441}; // @[lsu_bus_buffer.scala 530:126] + wire [2:0] _T_4449 = _T_4448 + _GEN_368; // @[lsu_bus_buffer.scala 530:126] + wire _T_4438 = _T_2562 & _T_4416; // @[lsu_bus_buffer.scala 530:73] + wire [2:0] _GEN_369 = {{2'd0}, _T_4438}; // @[lsu_bus_buffer.scala 530:126] + wire [3:0] buf_numvld_cmd_any = _T_4449 + _GEN_369; // @[lsu_bus_buffer.scala 530:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 363:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 266:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 266:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 266:114] + wire _T_1911 = |buf_age_3; // @[lsu_bus_buffer.scala 380:58] + wire _T_1912 = ~_T_1911; // @[lsu_bus_buffer.scala 380:45] + wire _T_1914 = _T_1912 & _T_2583; // @[lsu_bus_buffer.scala 380:63] + wire _T_1916 = _T_1914 & _T_4431; // @[lsu_bus_buffer.scala 380:88] + wire _T_1905 = |buf_age_2; // @[lsu_bus_buffer.scala 380:58] + wire _T_1906 = ~_T_1905; // @[lsu_bus_buffer.scala 380:45] + wire _T_1908 = _T_1906 & _T_2576; // @[lsu_bus_buffer.scala 380:63] + wire _T_1910 = _T_1908 & _T_4426; // @[lsu_bus_buffer.scala 380:88] + wire _T_1899 = |buf_age_1; // @[lsu_bus_buffer.scala 380:58] + wire _T_1900 = ~_T_1899; // @[lsu_bus_buffer.scala 380:45] + wire _T_1902 = _T_1900 & _T_2569; // @[lsu_bus_buffer.scala 380:63] + wire _T_1904 = _T_1902 & _T_4421; // @[lsu_bus_buffer.scala 380:88] + wire _T_1893 = |buf_age_0; // @[lsu_bus_buffer.scala 380:58] + wire _T_1894 = ~_T_1893; // @[lsu_bus_buffer.scala 380:45] + wire _T_1896 = _T_1894 & _T_2562; // @[lsu_bus_buffer.scala 380:63] + wire _T_1898 = _T_1896 & _T_4416; // @[lsu_bus_buffer.scala 380:88] + wire [3:0] CmdPtr0Dec = {_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] + wire [7:0] _T_1986 = {4'h0,_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] + wire _T_1989 = _T_1986[4] | _T_1986[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_1991 = _T_1989 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_1993 = _T_1991 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_1996 = _T_1986[2] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_1998 = _T_1996 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2000 = _T_1998 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2003 = _T_1986[1] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2005 = _T_2003 | _T_1986[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2007 = _T_2005 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2009 = {_T_1993,_T_2000,_T_2007}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2009[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 267:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 267:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 267:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 267:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 267:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 267:29] + reg _T_4314; // @[Reg.scala 27:20] + reg _T_4311; // @[Reg.scala 27:20] + reg _T_4308; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4314,_T_4311,_T_4308,_T_4305}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 268:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 267:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 270:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 270:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 270:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 269:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 269:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 269:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 269:123] + wire _T_4466 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4470 = _T_4466 | _T_4447; // @[lsu_bus_buffer.scala 531:74] + wire _T_4461 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4465 = _T_4461 | _T_4444; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _T_4471 = _T_4470 + _T_4465; // @[lsu_bus_buffer.scala 531:154] + wire _T_4456 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4460 = _T_4456 | _T_4441; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _GEN_370 = {{1'd0}, _T_4460}; // @[lsu_bus_buffer.scala 531:154] + wire [2:0] _T_4472 = _T_4471 + _GEN_370; // @[lsu_bus_buffer.scala 531:154] + wire _T_4451 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4455 = _T_4451 | _T_4438; // @[lsu_bus_buffer.scala 531:74] + wire [2:0] _GEN_371 = {{2'd0}, _T_4455}; // @[lsu_bus_buffer.scala 531:154] + wire [3:0] buf_numvld_pend_any = _T_4472 + _GEN_371; // @[lsu_bus_buffer.scala 531:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 287:32] + wire _T_4740 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4742 = _T_4740 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4743 = _T_4742 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4744 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4746 = _T_4744 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4747 = _T_4746 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4756 = _T_4743 | _T_4747; // @[lsu_bus_buffer.scala 559:153] + wire _T_4748 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4750 = _T_4748 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4751 = _T_4750 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4757 = _T_4756 | _T_4751; // @[lsu_bus_buffer.scala 559:153] + wire _T_4752 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4754 = _T_4752 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4755 = _T_4754 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4758 = _T_4757 | _T_4755; // @[lsu_bus_buffer.scala 559:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4759 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 559:171] + wire _T_4760 = _T_4759 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:189] + wire bus_sideeffect_pend = _T_4758 | _T_4760; // @[lsu_bus_buffer.scala 559:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 287:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 287:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 287:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 288:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 385:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 288:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 289:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 289:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 289:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 289:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 289:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 290:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 290:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 290:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 290:8] + wire [3:0] _T_1952 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 381:62] + wire [3:0] _T_1953 = buf_age_3 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1954 = |_T_1953; // @[lsu_bus_buffer.scala 381:76] + wire _T_1955 = ~_T_1954; // @[lsu_bus_buffer.scala 381:45] + wire _T_1957 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1958 = _T_1955 & _T_1957; // @[lsu_bus_buffer.scala 381:81] + wire _T_1960 = _T_1958 & _T_2583; // @[lsu_bus_buffer.scala 381:98] + wire _T_1962 = _T_1960 & _T_4431; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1942 = buf_age_2 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1943 = |_T_1942; // @[lsu_bus_buffer.scala 381:76] + wire _T_1944 = ~_T_1943; // @[lsu_bus_buffer.scala 381:45] + wire _T_1946 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1947 = _T_1944 & _T_1946; // @[lsu_bus_buffer.scala 381:81] + wire _T_1949 = _T_1947 & _T_2576; // @[lsu_bus_buffer.scala 381:98] + wire _T_1951 = _T_1949 & _T_4426; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1931 = buf_age_1 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1932 = |_T_1931; // @[lsu_bus_buffer.scala 381:76] + wire _T_1933 = ~_T_1932; // @[lsu_bus_buffer.scala 381:45] + wire _T_1935 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1936 = _T_1933 & _T_1935; // @[lsu_bus_buffer.scala 381:81] + wire _T_1938 = _T_1936 & _T_2569; // @[lsu_bus_buffer.scala 381:98] + wire _T_1940 = _T_1938 & _T_4421; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1920 = buf_age_0 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1921 = |_T_1920; // @[lsu_bus_buffer.scala 381:76] + wire _T_1922 = ~_T_1921; // @[lsu_bus_buffer.scala 381:45] + wire _T_1924 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1925 = _T_1922 & _T_1924; // @[lsu_bus_buffer.scala 381:81] + wire _T_1927 = _T_1925 & _T_2562; // @[lsu_bus_buffer.scala 381:98] + wire _T_1929 = _T_1927 & _T_4416; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] CmdPtr1Dec = {_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 386:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 290:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 290:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 290:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 289:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 287:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 350:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 351:55] + wire _T_4814 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 563:54] + wire _T_4815 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 563:75] + wire _T_4816 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 563:153] + wire _T_4817 = _T_4814 ? _T_4815 : _T_4816; // @[lsu_bus_buffer.scala 563:39] + wire bus_cmd_ready = obuf_write ? _T_4817 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 563:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 291:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 291:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 291:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 291:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 291:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 291:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire _T_4765 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4766 = obuf_valid & _T_4765; // @[lsu_bus_buffer.scala 561:19] + wire _T_4768 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 561:107] + wire _T_4769 = obuf_merge & _T_4768; // @[lsu_bus_buffer.scala 561:95] + wire _T_4770 = _T_3558 | _T_4769; // @[lsu_bus_buffer.scala 561:81] + wire _T_4771 = ~_T_4770; // @[lsu_bus_buffer.scala 561:61] + wire _T_4772 = _T_4766 & _T_4771; // @[lsu_bus_buffer.scala 561:59] + wire _T_4806 = _T_4740 & _T_4772; // @[Mux.scala 27:72] + wire _T_4776 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 561:19] + wire _T_4779 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 561:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 561:95] + wire _T_4781 = _T_3748 | _T_4780; // @[lsu_bus_buffer.scala 561:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 561:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 561:59] + wire _T_4807 = _T_4744 & _T_4783; // @[Mux.scala 27:72] + wire _T_4810 = _T_4806 | _T_4807; // @[Mux.scala 27:72] + wire _T_4787 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 561:19] + wire _T_4790 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 561:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 561:95] + wire _T_4792 = _T_3938 | _T_4791; // @[lsu_bus_buffer.scala 561:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 561:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 561:59] + wire _T_4808 = _T_4748 & _T_4794; // @[Mux.scala 27:72] + wire _T_4811 = _T_4810 | _T_4808; // @[Mux.scala 27:72] + wire _T_4798 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 561:19] + wire _T_4801 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 561:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 561:95] + wire _T_4803 = _T_4128 | _T_4802; // @[lsu_bus_buffer.scala 561:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 561:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 561:59] + wire _T_4809 = _T_4752 & _T_4805; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4811 | _T_4809; // @[Mux.scala 27:72] + wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 291:94] + wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 291:92] + wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 291:118] + wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 293:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 564:40] + wire _T_4821 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 566:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:40] + wire _T_4822 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 566:70] + wire _T_4823 = _T_4821 & _T_4822; // @[lsu_bus_buffer.scala 566:52] + wire _T_4824 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 566:112] + wire bus_cmd_sent = _T_4823 | _T_4824; // @[lsu_bus_buffer.scala 566:89] + wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 293:33] + wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 293:65] + wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 293:63] + wire _T_1244 = _T_1243 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 293:77] + wire obuf_rst = _T_1244 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 293:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 294:26] + wire [31:0] _T_1281 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1282 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1283 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1281 | _T_1282; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1285 | _T_1283; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1286 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1287; // @[lsu_bus_buffer.scala 296:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1294 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1295 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1298 = _T_1294 | _T_1295; // @[Mux.scala 27:72] + wire [1:0] _T_1299 = _T_1298 | _T_1296; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 299:23] + wire [7:0] _T_2011 = {4'h0,_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire _T_2014 = _T_2011[4] | _T_2011[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2016 = _T_2014 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2018 = _T_2016 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2021 = _T_2011[2] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2023 = _T_2021 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2025 = _T_2023 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2028 = _T_2011[1] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2030 = _T_2028 | _T_2011[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2032 = _T_2030 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2034 = {_T_2018,_T_2025,_T_2032}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2034[1:0]; // @[lsu_bus_buffer.scala 395:11] + wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 307:39] + wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 307:26] + wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 311:72] + wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 311:98] + wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 311:96] + wire _T_1314 = _T_1309 | _T_1313; // @[lsu_bus_buffer.scala 311:79] + wire _T_1317 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 311:153] + wire _T_1318 = ~_T_1317; // @[lsu_bus_buffer.scala 311:134] + wire _T_1319 = obuf_sz_in[1] & _T_1318; // @[lsu_bus_buffer.scala 311:132] + wire _T_1320 = _T_1314 | _T_1319; // @[lsu_bus_buffer.scala 311:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1320; // @[lsu_bus_buffer.scala 311:28] + wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 326:40] + wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 326:60] + wire _T_1339 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 326:80] + wire _T_1340 = _T_1338 & _T_1339; // @[lsu_bus_buffer.scala 326:78] + wire _T_1341 = ~obuf_write; // @[lsu_bus_buffer.scala 326:99] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 326:97] + wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 326:113] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 326:111] + wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 326:130] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 326:128] + wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 327:20] + wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 327:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 352:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 567:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 353:55] + wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 327:90] + wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 327:70] + wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 327:55] + wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 327:53] + wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 327:34] + wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 326:177] + wire _T_1321 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 319:45] + wire _T_1322 = obuf_wr_en & _T_1321; // @[lsu_bus_buffer.scala 319:43] + wire _T_1323 = ~_T_1322; // @[lsu_bus_buffer.scala 319:30] + wire _T_1324 = _T_1323 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 319:62] + wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 319:80] + wire _T_1330 = bus_cmd_sent & _T_1341; // @[lsu_bus_buffer.scala 320:19] + wire _T_1331 = _T_1328 | _T_1330; // @[lsu_bus_buffer.scala 319:139] + wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 321:47] + wire [7:0] _T_1356 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1357 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1358 = io_lsu_addr_r[2] ? _T_1356 : _T_1357; // @[lsu_bus_buffer.scala 328:46] + wire [3:0] _T_1377 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1378 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1379 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1377 | _T_1378; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1381 | _T_1379; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1382 | _T_1380; // @[Mux.scala 27:72] + wire [7:0] _T_1385 = {_T_1383,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1398 = {4'h0,_T_1383}; // @[Cat.scala 29:58] + wire [7:0] _T_1399 = _T_1287[2] ? _T_1385 : _T_1398; // @[lsu_bus_buffer.scala 329:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1358 : _T_1399; // @[lsu_bus_buffer.scala 328:28] + wire [7:0] _T_1401 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1402 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 330:46] + wire _T_1404 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_1405 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_1406 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_1407 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_1408 = _T_1404 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1409 = _T_1405 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 | _T_1409; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1412 | _T_1410; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1413 | _T_1411; // @[Mux.scala 27:72] + wire [3:0] _T_1422 = _T_1404 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1423 = _T_1405 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1422 | _T_1423; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1426 | _T_1424; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] + wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] + wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 331:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 330:28] + wire [63:0] _T_1446 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1447 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 333:44] + wire [31:0] _T_1467 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1468 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1469 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1467 | _T_1468; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1471 | _T_1469; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1472 | _T_1470; // @[Mux.scala 27:72] + wire [63:0] _T_1475 = {_T_1473,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1488 = {32'h0,_T_1473}; // @[Cat.scala 29:58] + wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 334:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 333:26] + wire [63:0] _T_1491 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1492 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 335:44] + wire [31:0] _T_1512 = _T_1404 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1513 = _T_1405 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1514 = _T_1406 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1512 | _T_1513; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1516 | _T_1514; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] + wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] + wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 336:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 335:26] + wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 342:30] + wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 342:43] + wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 342:59] + wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 342:75] + wire [2:0] _T_1640 = _T_1404 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1641 = _T_1405 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1640 | _T_1641; // @[Mux.scala 27:72] + wire [2:0] _T_1642 = _T_1406 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1644 | _T_1642; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1645 | _T_1643; // @[Mux.scala 27:72] + wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 342:150] + wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 342:118] + wire _T_1670 = _T_1649 & _T_1128; // @[lsu_bus_buffer.scala 342:161] + wire _T_1688 = _T_1670 & _T_1053; // @[lsu_bus_buffer.scala 343:85] + wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 344:36] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1728 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1737 = _T_1023 & _T_1728[0]; // @[Mux.scala 27:72] + wire _T_1738 = _T_1024 & _T_1728[1]; // @[Mux.scala 27:72] + wire _T_1741 = _T_1737 | _T_1738; // @[Mux.scala 27:72] + wire _T_1739 = _T_1025 & _T_1728[2]; // @[Mux.scala 27:72] + wire _T_1742 = _T_1741 | _T_1739; // @[Mux.scala 27:72] + wire _T_1740 = _T_1026 & _T_1728[3]; // @[Mux.scala 27:72] + wire _T_1743 = _T_1742 | _T_1740; // @[Mux.scala 27:72] + wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 344:107] + wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 344:105] + wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 344:177] + wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 343:122] + wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 345:19] + wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 345:35] + wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 344:250] + wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1538 = obuf_byteen0_in[0] | _T_1537; // @[lsu_bus_buffer.scala 337:63] + wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1542 = obuf_byteen0_in[1] | _T_1541; // @[lsu_bus_buffer.scala 337:63] + wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1546 = obuf_byteen0_in[2] | _T_1545; // @[lsu_bus_buffer.scala 337:63] + wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1550 = obuf_byteen0_in[3] | _T_1549; // @[lsu_bus_buffer.scala 337:63] + wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1554 = obuf_byteen0_in[4] | _T_1553; // @[lsu_bus_buffer.scala 337:63] + wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1558 = obuf_byteen0_in[5] | _T_1557; // @[lsu_bus_buffer.scala 337:63] + wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1562 = obuf_byteen0_in[6] | _T_1561; // @[lsu_bus_buffer.scala 337:63] + wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1566 = obuf_byteen0_in[7] | _T_1565; // @[lsu_bus_buffer.scala 337:63] + wire [7:0] obuf_byteen_in = {_T_1566,_T_1562,_T_1558,_T_1554,_T_1550,_T_1546,_T_1542,_T_1538}; // @[Cat.scala 29:58] + wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 338:44] + wire [55:0] _T_1618 = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582}; // @[Cat.scala 29:58] + wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 348:58] + wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 348:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1785 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1786 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 367:30] + wire _T_1787 = ibuf_valid & _T_1786; // @[lsu_bus_buffer.scala 367:19] + wire _T_1788 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 368:18] + wire _T_1789 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 368:57] + wire _T_1790 = io_ldst_dual_r & _T_1789; // @[lsu_bus_buffer.scala 368:45] + wire _T_1791 = _T_1788 | _T_1790; // @[lsu_bus_buffer.scala 368:27] + wire _T_1792 = io_lsu_busreq_r & _T_1791; // @[lsu_bus_buffer.scala 367:58] + wire _T_1793 = _T_1787 | _T_1792; // @[lsu_bus_buffer.scala 367:39] + wire _T_1794 = ~_T_1793; // @[lsu_bus_buffer.scala 367:5] + wire _T_1795 = _T_1785 & _T_1794; // @[lsu_bus_buffer.scala 366:76] + wire _T_1796 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1797 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 367:30] + wire _T_1798 = ibuf_valid & _T_1797; // @[lsu_bus_buffer.scala 367:19] + wire _T_1799 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 368:18] + wire _T_1800 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 368:57] + wire _T_1801 = io_ldst_dual_r & _T_1800; // @[lsu_bus_buffer.scala 368:45] + wire _T_1802 = _T_1799 | _T_1801; // @[lsu_bus_buffer.scala 368:27] + wire _T_1803 = io_lsu_busreq_r & _T_1802; // @[lsu_bus_buffer.scala 367:58] + wire _T_1804 = _T_1798 | _T_1803; // @[lsu_bus_buffer.scala 367:39] + wire _T_1805 = ~_T_1804; // @[lsu_bus_buffer.scala 367:5] + wire _T_1806 = _T_1796 & _T_1805; // @[lsu_bus_buffer.scala 366:76] + wire _T_1807 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1808 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 367:30] + wire _T_1809 = ibuf_valid & _T_1808; // @[lsu_bus_buffer.scala 367:19] + wire _T_1810 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 368:18] + wire _T_1811 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 368:57] + wire _T_1812 = io_ldst_dual_r & _T_1811; // @[lsu_bus_buffer.scala 368:45] + wire _T_1813 = _T_1810 | _T_1812; // @[lsu_bus_buffer.scala 368:27] + wire _T_1814 = io_lsu_busreq_r & _T_1813; // @[lsu_bus_buffer.scala 367:58] + wire _T_1815 = _T_1809 | _T_1814; // @[lsu_bus_buffer.scala 367:39] + wire _T_1816 = ~_T_1815; // @[lsu_bus_buffer.scala 367:5] + wire _T_1817 = _T_1807 & _T_1816; // @[lsu_bus_buffer.scala 366:76] + wire _T_1818 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1819 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 367:30] + wire _T_1821 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 368:18] + wire _T_1822 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 368:57] + wire [1:0] _T_1830 = _T_1817 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1831 = _T_1806 ? 2'h1 : _T_1830; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1795 ? 2'h0 : _T_1831; // @[Mux.scala 98:16] + wire _T_1836 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 373:33] + wire _T_1837 = io_lsu_busreq_m & _T_1836; // @[lsu_bus_buffer.scala 373:22] + wire _T_1838 = _T_1787 | _T_1837; // @[lsu_bus_buffer.scala 372:112] + wire _T_1844 = _T_1838 | _T_1792; // @[lsu_bus_buffer.scala 373:42] + wire _T_1845 = ~_T_1844; // @[lsu_bus_buffer.scala 372:78] + wire _T_1846 = _T_1785 & _T_1845; // @[lsu_bus_buffer.scala 372:76] + wire _T_1850 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 373:33] + wire _T_1851 = io_lsu_busreq_m & _T_1850; // @[lsu_bus_buffer.scala 373:22] + wire _T_1852 = _T_1798 | _T_1851; // @[lsu_bus_buffer.scala 372:112] + wire _T_1858 = _T_1852 | _T_1803; // @[lsu_bus_buffer.scala 373:42] + wire _T_1859 = ~_T_1858; // @[lsu_bus_buffer.scala 372:78] + wire _T_1860 = _T_1796 & _T_1859; // @[lsu_bus_buffer.scala 372:76] + wire _T_1864 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 373:33] + wire _T_1865 = io_lsu_busreq_m & _T_1864; // @[lsu_bus_buffer.scala 373:22] + wire _T_1866 = _T_1809 | _T_1865; // @[lsu_bus_buffer.scala 372:112] + wire _T_1872 = _T_1866 | _T_1814; // @[lsu_bus_buffer.scala 373:42] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 372:78] + wire _T_1874 = _T_1807 & _T_1873; // @[lsu_bus_buffer.scala 372:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 509:63] + wire _T_2710 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2711 = buf_rspageQ_0[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2707 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2708 = buf_rspageQ_0[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2704 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2705 = buf_rspageQ_0[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2701 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2702 = buf_rspageQ_0[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2711,_T_2708,_T_2705,_T_2702}; // @[Cat.scala 29:58] + wire _T_1965 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 384:65] + wire _T_1966 = ~_T_1965; // @[lsu_bus_buffer.scala 384:44] + wire _T_1968 = _T_1966 & _T_2701; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 509:63] + wire _T_2726 = buf_rspageQ_1[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2723 = buf_rspageQ_1[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2720 = buf_rspageQ_1[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2717 = buf_rspageQ_1[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2726,_T_2723,_T_2720,_T_2717}; // @[Cat.scala 29:58] + wire _T_1969 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 384:65] + wire _T_1970 = ~_T_1969; // @[lsu_bus_buffer.scala 384:44] + wire _T_1972 = _T_1970 & _T_2704; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 509:63] + wire _T_2741 = buf_rspageQ_2[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2738 = buf_rspageQ_2[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2735 = buf_rspageQ_2[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2732 = buf_rspageQ_2[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2741,_T_2738,_T_2735,_T_2732}; // @[Cat.scala 29:58] + wire _T_1973 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 384:65] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 384:44] + wire _T_1976 = _T_1974 & _T_2707; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 509:63] + wire _T_2756 = buf_rspageQ_3[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2753 = buf_rspageQ_3[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2750 = buf_rspageQ_3[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2747 = buf_rspageQ_3[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2756,_T_2753,_T_2750,_T_2747}; // @[Cat.scala 29:58] + wire _T_1977 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 384:65] + wire _T_1978 = ~_T_1977; // @[lsu_bus_buffer.scala 384:44] + wire _T_1980 = _T_1978 & _T_2710; // @[lsu_bus_buffer.scala 384:70] + wire [7:0] _T_2036 = {4'h0,_T_1980,_T_1976,_T_1972,_T_1968}; // @[Cat.scala 29:58] + wire _T_2039 = _T_2036[4] | _T_2036[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2041 = _T_2039 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2043 = _T_2041 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2046 = _T_2036[2] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2048 = _T_2046 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2050 = _T_2048 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2053 = _T_2036[1] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2055 = _T_2053 | _T_2036[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2057 = _T_2055 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2059 = {_T_2043,_T_2050,_T_2057}; // @[Cat.scala 29:58] + wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:77] + wire _T_3529 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 446:97] + wire _T_3530 = _T_3528 & _T_3529; // @[lsu_bus_buffer.scala 446:95] + wire _T_3531 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3532 = _T_3530 & _T_3531; // @[lsu_bus_buffer.scala 446:112] + wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:144] + wire _T_3534 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3535 = _T_3533 & _T_3534; // @[lsu_bus_buffer.scala 446:161] + wire _T_3536 = _T_3532 | _T_3535; // @[lsu_bus_buffer.scala 446:132] + wire _T_3537 = _T_853 & _T_3536; // @[lsu_bus_buffer.scala 446:63] + wire _T_3538 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3539 = ibuf_drain_vld & _T_3538; // @[lsu_bus_buffer.scala 446:201] + wire _T_3540 = _T_3537 | _T_3539; // @[lsu_bus_buffer.scala 446:183] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 568:39] + wire _T_3628 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] + wire _T_3629 = bus_rsp_write & _T_3628; // @[lsu_bus_buffer.scala 473:52] + wire _T_3630 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] + reg _T_4291; // @[Reg.scala 27:20] + reg _T_4289; // @[Reg.scala 27:20] + reg _T_4287; // @[Reg.scala 27:20] + reg _T_4285; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4291,_T_4289,_T_4287,_T_4285}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3632 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 475:47] + wire _T_3633 = buf_ldfwd[0] & _T_3632; // @[lsu_bus_buffer.scala 475:27] + wire _T_3634 = _T_3630 | _T_3633; // @[lsu_bus_buffer.scala 474:77] + wire _T_3635 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] + wire _T_3637 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3638 = _T_3635 & _T_3637; // @[lsu_bus_buffer.scala 476:42] + wire _T_3639 = _T_3638 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 476:94] + wire _T_3641 = _T_3639 & _T_3640; // @[lsu_bus_buffer.scala 476:74] + wire _T_3642 = _T_3634 | _T_3641; // @[lsu_bus_buffer.scala 475:71] + wire _T_3643 = bus_rsp_read & _T_3642; // @[lsu_bus_buffer.scala 474:25] + wire _T_3644 = _T_3629 | _T_3643; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_43 = _T_3585 & _T_3644; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3551 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3547 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [3:0] _T_3679 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 489:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3681 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 489:58] + wire _T_3682 = _T_3679[0] & _T_3681; // @[lsu_bus_buffer.scala 489:38] + wire _T_3683 = _T_3640 | _T_3682; // @[lsu_bus_buffer.scala 488:95] + wire _T_3684 = bus_rsp_read & _T_3683; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_37 = _T_3669 & _T_3684; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_3547 ? 1'h0 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_67; // @[Conditional.scala 40:58] + wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire [1:0] RspPtr = _T_2059[1:0]; // @[lsu_bus_buffer.scala 396:10] + wire _T_3690 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 495:37] + wire _T_3691 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3692 = buf_dual_0 & _T_3691; // @[lsu_bus_buffer.scala 495:80] + wire _T_3693 = _T_3690 | _T_3692; // @[lsu_bus_buffer.scala 495:65] + wire _T_3694 = _T_3693 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_32 = _T_3687 ? _T_3694 : _T_3695; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3669 ? _T_3565 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3585 ? _T_3565 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_3551 ? _T_3565 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3547 ? obuf_rdrsp_pend_en : _GEN_55; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2061 = _T_1785 & buf_state_en_0; // @[lsu_bus_buffer.scala 408:94] + wire _T_2067 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 410:23] + wire _T_2069 = _T_2067 & _T_3528; // @[lsu_bus_buffer.scala 410:41] + wire _T_2071 = _T_2069 & _T_1788; // @[lsu_bus_buffer.scala 410:71] + wire _T_2073 = _T_2071 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2074 = _T_4455 | _T_2073; // @[lsu_bus_buffer.scala 409:86] + wire _T_2075 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 411:17] + wire _T_2076 = _T_2075 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 411:35] + wire _T_2078 = _T_2076 & _T_1789; // @[lsu_bus_buffer.scala 411:52] + wire _T_2080 = _T_2078 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2081 = _T_2074 | _T_2080; // @[lsu_bus_buffer.scala 410:114] + wire _T_2082 = _T_2061 & _T_2081; // @[lsu_bus_buffer.scala 408:113] + wire _T_2084 = _T_2082 | buf_age_0[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2098 = _T_2071 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2099 = _T_4460 | _T_2098; // @[lsu_bus_buffer.scala 409:86] + wire _T_2105 = _T_2078 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2106 = _T_2099 | _T_2105; // @[lsu_bus_buffer.scala 410:114] + wire _T_2107 = _T_2061 & _T_2106; // @[lsu_bus_buffer.scala 408:113] + wire _T_2109 = _T_2107 | buf_age_0[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2123 = _T_2071 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2124 = _T_4465 | _T_2123; // @[lsu_bus_buffer.scala 409:86] + wire _T_2130 = _T_2078 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2131 = _T_2124 | _T_2130; // @[lsu_bus_buffer.scala 410:114] + wire _T_2132 = _T_2061 & _T_2131; // @[lsu_bus_buffer.scala 408:113] + wire _T_2134 = _T_2132 | buf_age_0[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2148 = _T_2071 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2149 = _T_4470 | _T_2148; // @[lsu_bus_buffer.scala 409:86] + wire _T_2155 = _T_2078 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2156 = _T_2149 | _T_2155; // @[lsu_bus_buffer.scala 410:114] + wire _T_2157 = _T_2061 & _T_2156; // @[lsu_bus_buffer.scala 408:113] + wire _T_2159 = _T_2157 | buf_age_0[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2161 = {_T_2159,_T_2134,_T_2109}; // @[Cat.scala 29:58] + wire _T_3721 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3722 = _T_3530 & _T_3721; // @[lsu_bus_buffer.scala 446:112] + wire _T_3724 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3725 = _T_3533 & _T_3724; // @[lsu_bus_buffer.scala 446:161] + wire _T_3726 = _T_3722 | _T_3725; // @[lsu_bus_buffer.scala 446:132] + wire _T_3727 = _T_853 & _T_3726; // @[lsu_bus_buffer.scala 446:63] + wire _T_3728 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3729 = ibuf_drain_vld & _T_3728; // @[lsu_bus_buffer.scala 446:201] + wire _T_3730 = _T_3727 | _T_3729; // @[lsu_bus_buffer.scala 446:183] + wire _T_3818 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] + wire _T_3819 = bus_rsp_write & _T_3818; // @[lsu_bus_buffer.scala 473:52] + wire _T_3820 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3822 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 475:47] + wire _T_3823 = buf_ldfwd[1] & _T_3822; // @[lsu_bus_buffer.scala 475:27] + wire _T_3824 = _T_3820 | _T_3823; // @[lsu_bus_buffer.scala 474:77] + wire _T_3825 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] + wire _T_3827 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3828 = _T_3825 & _T_3827; // @[lsu_bus_buffer.scala 476:42] + wire _T_3829 = _T_3828 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3830 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 476:94] + wire _T_3831 = _T_3829 & _T_3830; // @[lsu_bus_buffer.scala 476:74] + wire _T_3832 = _T_3824 | _T_3831; // @[lsu_bus_buffer.scala 475:71] + wire _T_3833 = bus_rsp_read & _T_3832; // @[lsu_bus_buffer.scala 474:25] + wire _T_3834 = _T_3819 | _T_3833; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_120 = _T_3775 & _T_3834; // @[Conditional.scala 39:67] + wire _GEN_139 = _T_3741 ? 1'h0 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_151 = _T_3737 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_151; // @[Conditional.scala 40:58] + wire [3:0] _T_3869 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_100 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_101 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_100; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_102 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_101; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_102}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3871 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 489:58] + wire _T_3872 = _T_3869[0] & _T_3871; // @[lsu_bus_buffer.scala 489:38] + wire _T_3873 = _T_3830 | _T_3872; // @[lsu_bus_buffer.scala 488:95] + wire _T_3874 = bus_rsp_read & _T_3873; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_114 = _T_3859 & _T_3874; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3775 ? buf_resp_state_bus_en_1 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_131 = _T_3741 ? buf_cmd_state_bus_en_1 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3737 ? 1'h0 : _GEN_131; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire _T_3754 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3755 = _T_3754 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_3880 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 495:37] + wire _T_3881 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3882 = buf_dual_1 & _T_3881; // @[lsu_bus_buffer.scala 495:80] + wire _T_3883 = _T_3880 | _T_3882; // @[lsu_bus_buffer.scala 495:65] + wire _T_3884 = _T_3883 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_109 = _T_3877 ? _T_3884 : _T_3885; // @[Conditional.scala 39:67] + wire _GEN_115 = _T_3859 ? _T_3755 : _GEN_109; // @[Conditional.scala 39:67] + wire _GEN_122 = _T_3775 ? _T_3755 : _GEN_115; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3741 ? _T_3755 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3737 ? obuf_rdrsp_pend_en : _GEN_132; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3714 ? _T_3730 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_2163 = _T_1796 & buf_state_en_1; // @[lsu_bus_buffer.scala 408:94] + wire _T_2173 = _T_2069 & _T_1799; // @[lsu_bus_buffer.scala 410:71] + wire _T_2175 = _T_2173 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2176 = _T_4455 | _T_2175; // @[lsu_bus_buffer.scala 409:86] + wire _T_2180 = _T_2076 & _T_1800; // @[lsu_bus_buffer.scala 411:52] + wire _T_2182 = _T_2180 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2183 = _T_2176 | _T_2182; // @[lsu_bus_buffer.scala 410:114] + wire _T_2184 = _T_2163 & _T_2183; // @[lsu_bus_buffer.scala 408:113] + wire _T_2186 = _T_2184 | buf_age_1[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2200 = _T_2173 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2201 = _T_4460 | _T_2200; // @[lsu_bus_buffer.scala 409:86] + wire _T_2207 = _T_2180 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2208 = _T_2201 | _T_2207; // @[lsu_bus_buffer.scala 410:114] + wire _T_2209 = _T_2163 & _T_2208; // @[lsu_bus_buffer.scala 408:113] + wire _T_2211 = _T_2209 | buf_age_1[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2225 = _T_2173 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2226 = _T_4465 | _T_2225; // @[lsu_bus_buffer.scala 409:86] + wire _T_2232 = _T_2180 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2233 = _T_2226 | _T_2232; // @[lsu_bus_buffer.scala 410:114] + wire _T_2234 = _T_2163 & _T_2233; // @[lsu_bus_buffer.scala 408:113] + wire _T_2236 = _T_2234 | buf_age_1[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2250 = _T_2173 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2251 = _T_4470 | _T_2250; // @[lsu_bus_buffer.scala 409:86] + wire _T_2257 = _T_2180 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2258 = _T_2251 | _T_2257; // @[lsu_bus_buffer.scala 410:114] + wire _T_2259 = _T_2163 & _T_2258; // @[lsu_bus_buffer.scala 408:113] + wire _T_2261 = _T_2259 | buf_age_1[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2263 = {_T_2261,_T_2236,_T_2211}; // @[Cat.scala 29:58] + wire _T_3911 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3912 = _T_3530 & _T_3911; // @[lsu_bus_buffer.scala 446:112] + wire _T_3914 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3915 = _T_3533 & _T_3914; // @[lsu_bus_buffer.scala 446:161] + wire _T_3916 = _T_3912 | _T_3915; // @[lsu_bus_buffer.scala 446:132] + wire _T_3917 = _T_853 & _T_3916; // @[lsu_bus_buffer.scala 446:63] + wire _T_3918 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3919 = ibuf_drain_vld & _T_3918; // @[lsu_bus_buffer.scala 446:201] + wire _T_3920 = _T_3917 | _T_3919; // @[lsu_bus_buffer.scala 446:183] + wire _T_4008 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] + wire _T_4009 = bus_rsp_write & _T_4008; // @[lsu_bus_buffer.scala 473:52] + wire _T_4010 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4012 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 475:47] + wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[lsu_bus_buffer.scala 475:27] + wire _T_4014 = _T_4010 | _T_4013; // @[lsu_bus_buffer.scala 474:77] + wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] + wire _T_4017 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4018 = _T_4015 & _T_4017; // @[lsu_bus_buffer.scala 476:42] + wire _T_4019 = _T_4018 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4020 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 476:94] + wire _T_4021 = _T_4019 & _T_4020; // @[lsu_bus_buffer.scala 476:74] + wire _T_4022 = _T_4014 | _T_4021; // @[lsu_bus_buffer.scala 475:71] + wire _T_4023 = bus_rsp_read & _T_4022; // @[lsu_bus_buffer.scala 474:25] + wire _T_4024 = _T_4009 | _T_4023; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_197 = _T_3965 & _T_4024; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3931 ? 1'h0 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_228 = _T_3927 ? 1'h0 : _GEN_216; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_228; // @[Conditional.scala 40:58] + wire [3:0] _T_4059 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_177 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_178 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_177; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_179 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_178; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_179}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4061 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 489:58] + wire _T_4062 = _T_4059[0] & _T_4061; // @[lsu_bus_buffer.scala 489:38] + wire _T_4063 = _T_4020 | _T_4062; // @[lsu_bus_buffer.scala 488:95] + wire _T_4064 = bus_rsp_read & _T_4063; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_191 = _T_4049 & _T_4064; // @[Conditional.scala 39:67] + wire _GEN_198 = _T_3965 ? buf_resp_state_bus_en_2 : _GEN_191; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3931 ? buf_cmd_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_221 = _T_3927 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_221; // @[Conditional.scala 40:58] + wire _T_3944 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3945 = _T_3944 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4070 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 495:37] + wire _T_4071 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4072 = buf_dual_2 & _T_4071; // @[lsu_bus_buffer.scala 495:80] + wire _T_4073 = _T_4070 | _T_4072; // @[lsu_bus_buffer.scala 495:65] + wire _T_4074 = _T_4073 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_186 = _T_4067 ? _T_4074 : _T_4075; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4049 ? _T_3945 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_199 = _T_3965 ? _T_3945 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_209 = _T_3931 ? _T_3945 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_219 = _T_3927 ? obuf_rdrsp_pend_en : _GEN_209; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3904 ? _T_3920 : _GEN_219; // @[Conditional.scala 40:58] + wire _T_2265 = _T_1807 & buf_state_en_2; // @[lsu_bus_buffer.scala 408:94] + wire _T_2275 = _T_2069 & _T_1810; // @[lsu_bus_buffer.scala 410:71] + wire _T_2277 = _T_2275 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2278 = _T_4455 | _T_2277; // @[lsu_bus_buffer.scala 409:86] + wire _T_2282 = _T_2076 & _T_1811; // @[lsu_bus_buffer.scala 411:52] + wire _T_2284 = _T_2282 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2285 = _T_2278 | _T_2284; // @[lsu_bus_buffer.scala 410:114] + wire _T_2286 = _T_2265 & _T_2285; // @[lsu_bus_buffer.scala 408:113] + wire _T_2288 = _T_2286 | buf_age_2[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2302 = _T_2275 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2303 = _T_4460 | _T_2302; // @[lsu_bus_buffer.scala 409:86] + wire _T_2309 = _T_2282 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2310 = _T_2303 | _T_2309; // @[lsu_bus_buffer.scala 410:114] + wire _T_2311 = _T_2265 & _T_2310; // @[lsu_bus_buffer.scala 408:113] + wire _T_2313 = _T_2311 | buf_age_2[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2327 = _T_2275 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2328 = _T_4465 | _T_2327; // @[lsu_bus_buffer.scala 409:86] + wire _T_2334 = _T_2282 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2335 = _T_2328 | _T_2334; // @[lsu_bus_buffer.scala 410:114] + wire _T_2336 = _T_2265 & _T_2335; // @[lsu_bus_buffer.scala 408:113] + wire _T_2338 = _T_2336 | buf_age_2[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2352 = _T_2275 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2353 = _T_4470 | _T_2352; // @[lsu_bus_buffer.scala 409:86] + wire _T_2359 = _T_2282 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2360 = _T_2353 | _T_2359; // @[lsu_bus_buffer.scala 410:114] + wire _T_2361 = _T_2265 & _T_2360; // @[lsu_bus_buffer.scala 408:113] + wire _T_2363 = _T_2361 | buf_age_2[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2365 = {_T_2363,_T_2338,_T_2313}; // @[Cat.scala 29:58] + wire _T_4101 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_4102 = _T_3530 & _T_4101; // @[lsu_bus_buffer.scala 446:112] + wire _T_4104 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_4105 = _T_3533 & _T_4104; // @[lsu_bus_buffer.scala 446:161] + wire _T_4106 = _T_4102 | _T_4105; // @[lsu_bus_buffer.scala 446:132] + wire _T_4107 = _T_853 & _T_4106; // @[lsu_bus_buffer.scala 446:63] + wire _T_4108 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_4109 = ibuf_drain_vld & _T_4108; // @[lsu_bus_buffer.scala 446:201] + wire _T_4110 = _T_4107 | _T_4109; // @[lsu_bus_buffer.scala 446:183] + wire _T_4198 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] + wire _T_4199 = bus_rsp_write & _T_4198; // @[lsu_bus_buffer.scala 473:52] + wire _T_4200 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_384 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4202 = io_lsu_axi_r_bits_id == _GEN_384; // @[lsu_bus_buffer.scala 475:47] + wire _T_4203 = buf_ldfwd[3] & _T_4202; // @[lsu_bus_buffer.scala 475:27] + wire _T_4204 = _T_4200 | _T_4203; // @[lsu_bus_buffer.scala 474:77] + wire _T_4205 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] + wire _T_4207 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4208 = _T_4205 & _T_4207; // @[lsu_bus_buffer.scala 476:42] + wire _T_4209 = _T_4208 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_385 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4210 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 476:94] + wire _T_4211 = _T_4209 & _T_4210; // @[lsu_bus_buffer.scala 476:74] + wire _T_4212 = _T_4204 | _T_4211; // @[lsu_bus_buffer.scala 475:71] + wire _T_4213 = bus_rsp_read & _T_4212; // @[lsu_bus_buffer.scala 474:25] + wire _T_4214 = _T_4199 | _T_4213; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_274 = _T_4155 & _T_4214; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4121 ? 1'h0 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_305 = _T_4117 ? 1'h0 : _GEN_293; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_305; // @[Conditional.scala 40:58] + wire [3:0] _T_4249 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_254 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_255 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_254; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_256 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_255; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_387 = {{1'd0}, _GEN_256}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4251 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 489:58] + wire _T_4252 = _T_4249[0] & _T_4251; // @[lsu_bus_buffer.scala 489:38] + wire _T_4253 = _T_4210 | _T_4252; // @[lsu_bus_buffer.scala 488:95] + wire _T_4254 = bus_rsp_read & _T_4253; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_268 = _T_4239 & _T_4254; // @[Conditional.scala 39:67] + wire _GEN_275 = _T_4155 ? buf_resp_state_bus_en_3 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_285 = _T_4121 ? buf_cmd_state_bus_en_3 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4117 ? 1'h0 : _GEN_285; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_298; // @[Conditional.scala 40:58] + wire _T_4134 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_4135 = _T_4134 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4260 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 495:37] + wire _T_4261 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4262 = buf_dual_3 & _T_4261; // @[lsu_bus_buffer.scala 495:80] + wire _T_4263 = _T_4260 | _T_4262; // @[lsu_bus_buffer.scala 495:65] + wire _T_4264 = _T_4263 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_263 = _T_4257 ? _T_4264 : _T_4265; // @[Conditional.scala 39:67] + wire _GEN_269 = _T_4239 ? _T_4135 : _GEN_263; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4155 ? _T_4135 : _GEN_269; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4121 ? _T_4135 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4117 ? obuf_rdrsp_pend_en : _GEN_286; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4094 ? _T_4110 : _GEN_296; // @[Conditional.scala 40:58] + wire _T_2367 = _T_1818 & buf_state_en_3; // @[lsu_bus_buffer.scala 408:94] + wire _T_2377 = _T_2069 & _T_1821; // @[lsu_bus_buffer.scala 410:71] + wire _T_2379 = _T_2377 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2380 = _T_4455 | _T_2379; // @[lsu_bus_buffer.scala 409:86] + wire _T_2384 = _T_2076 & _T_1822; // @[lsu_bus_buffer.scala 411:52] + wire _T_2386 = _T_2384 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2387 = _T_2380 | _T_2386; // @[lsu_bus_buffer.scala 410:114] + wire _T_2388 = _T_2367 & _T_2387; // @[lsu_bus_buffer.scala 408:113] + wire _T_2390 = _T_2388 | buf_age_3[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2404 = _T_2377 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2405 = _T_4460 | _T_2404; // @[lsu_bus_buffer.scala 409:86] + wire _T_2411 = _T_2384 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2412 = _T_2405 | _T_2411; // @[lsu_bus_buffer.scala 410:114] + wire _T_2413 = _T_2367 & _T_2412; // @[lsu_bus_buffer.scala 408:113] + wire _T_2415 = _T_2413 | buf_age_3[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2429 = _T_2377 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2430 = _T_4465 | _T_2429; // @[lsu_bus_buffer.scala 409:86] + wire _T_2436 = _T_2384 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2437 = _T_2430 | _T_2436; // @[lsu_bus_buffer.scala 410:114] + wire _T_2438 = _T_2367 & _T_2437; // @[lsu_bus_buffer.scala 408:113] + wire _T_2440 = _T_2438 | buf_age_3[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2454 = _T_2377 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2455 = _T_4470 | _T_2454; // @[lsu_bus_buffer.scala 409:86] + wire _T_2461 = _T_2384 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2462 = _T_2455 | _T_2461; // @[lsu_bus_buffer.scala 410:114] + wire _T_2463 = _T_2367 & _T_2462; // @[lsu_bus_buffer.scala 408:113] + wire _T_2465 = _T_2463 | buf_age_3[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2467 = {_T_2465,_T_2440,_T_2415}; // @[Cat.scala 29:58] + wire _T_2763 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2764 = _T_1785 | _T_2763; // @[lsu_bus_buffer.scala 419:32] + wire _T_2765 = ~_T_2764; // @[lsu_bus_buffer.scala 419:6] + wire _T_2773 = _T_2765 | _T_2073; // @[lsu_bus_buffer.scala 419:59] + wire _T_2780 = _T_2773 | _T_2080; // @[lsu_bus_buffer.scala 420:110] + wire _T_2781 = _T_2061 & _T_2780; // @[lsu_bus_buffer.scala 418:112] + wire _T_2785 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2786 = _T_1796 | _T_2785; // @[lsu_bus_buffer.scala 419:32] + wire _T_2787 = ~_T_2786; // @[lsu_bus_buffer.scala 419:6] + wire _T_2795 = _T_2787 | _T_2098; // @[lsu_bus_buffer.scala 419:59] + wire _T_2802 = _T_2795 | _T_2105; // @[lsu_bus_buffer.scala 420:110] + wire _T_2803 = _T_2061 & _T_2802; // @[lsu_bus_buffer.scala 418:112] + wire _T_2807 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2808 = _T_1807 | _T_2807; // @[lsu_bus_buffer.scala 419:32] + wire _T_2809 = ~_T_2808; // @[lsu_bus_buffer.scala 419:6] + wire _T_2817 = _T_2809 | _T_2123; // @[lsu_bus_buffer.scala 419:59] + wire _T_2824 = _T_2817 | _T_2130; // @[lsu_bus_buffer.scala 420:110] + wire _T_2825 = _T_2061 & _T_2824; // @[lsu_bus_buffer.scala 418:112] + wire _T_2829 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2830 = _T_1818 | _T_2829; // @[lsu_bus_buffer.scala 419:32] + wire _T_2831 = ~_T_2830; // @[lsu_bus_buffer.scala 419:6] + wire _T_2839 = _T_2831 | _T_2148; // @[lsu_bus_buffer.scala 419:59] + wire _T_2846 = _T_2839 | _T_2155; // @[lsu_bus_buffer.scala 420:110] + wire _T_2847 = _T_2061 & _T_2846; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_0 = {_T_2847,_T_2825,_T_2803,_T_2781}; // @[Cat.scala 29:58] + wire _T_2864 = _T_2765 | _T_2175; // @[lsu_bus_buffer.scala 419:59] + wire _T_2871 = _T_2864 | _T_2182; // @[lsu_bus_buffer.scala 420:110] + wire _T_2872 = _T_2163 & _T_2871; // @[lsu_bus_buffer.scala 418:112] + wire _T_2886 = _T_2787 | _T_2200; // @[lsu_bus_buffer.scala 419:59] + wire _T_2893 = _T_2886 | _T_2207; // @[lsu_bus_buffer.scala 420:110] + wire _T_2894 = _T_2163 & _T_2893; // @[lsu_bus_buffer.scala 418:112] + wire _T_2908 = _T_2809 | _T_2225; // @[lsu_bus_buffer.scala 419:59] + wire _T_2915 = _T_2908 | _T_2232; // @[lsu_bus_buffer.scala 420:110] + wire _T_2916 = _T_2163 & _T_2915; // @[lsu_bus_buffer.scala 418:112] + wire _T_2930 = _T_2831 | _T_2250; // @[lsu_bus_buffer.scala 419:59] + wire _T_2937 = _T_2930 | _T_2257; // @[lsu_bus_buffer.scala 420:110] + wire _T_2938 = _T_2163 & _T_2937; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_1 = {_T_2938,_T_2916,_T_2894,_T_2872}; // @[Cat.scala 29:58] + wire _T_2955 = _T_2765 | _T_2277; // @[lsu_bus_buffer.scala 419:59] + wire _T_2962 = _T_2955 | _T_2284; // @[lsu_bus_buffer.scala 420:110] + wire _T_2963 = _T_2265 & _T_2962; // @[lsu_bus_buffer.scala 418:112] + wire _T_2977 = _T_2787 | _T_2302; // @[lsu_bus_buffer.scala 419:59] + wire _T_2984 = _T_2977 | _T_2309; // @[lsu_bus_buffer.scala 420:110] + wire _T_2985 = _T_2265 & _T_2984; // @[lsu_bus_buffer.scala 418:112] + wire _T_2999 = _T_2809 | _T_2327; // @[lsu_bus_buffer.scala 419:59] + wire _T_3006 = _T_2999 | _T_2334; // @[lsu_bus_buffer.scala 420:110] + wire _T_3007 = _T_2265 & _T_3006; // @[lsu_bus_buffer.scala 418:112] + wire _T_3021 = _T_2831 | _T_2352; // @[lsu_bus_buffer.scala 419:59] + wire _T_3028 = _T_3021 | _T_2359; // @[lsu_bus_buffer.scala 420:110] + wire _T_3029 = _T_2265 & _T_3028; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_2 = {_T_3029,_T_3007,_T_2985,_T_2963}; // @[Cat.scala 29:58] + wire _T_3046 = _T_2765 | _T_2379; // @[lsu_bus_buffer.scala 419:59] + wire _T_3053 = _T_3046 | _T_2386; // @[lsu_bus_buffer.scala 420:110] + wire _T_3054 = _T_2367 & _T_3053; // @[lsu_bus_buffer.scala 418:112] + wire _T_3068 = _T_2787 | _T_2404; // @[lsu_bus_buffer.scala 419:59] + wire _T_3075 = _T_3068 | _T_2411; // @[lsu_bus_buffer.scala 420:110] + wire _T_3076 = _T_2367 & _T_3075; // @[lsu_bus_buffer.scala 418:112] + wire _T_3090 = _T_2809 | _T_2429; // @[lsu_bus_buffer.scala 419:59] + wire _T_3097 = _T_3090 | _T_2436; // @[lsu_bus_buffer.scala 420:110] + wire _T_3098 = _T_2367 & _T_3097; // @[lsu_bus_buffer.scala 418:112] + wire _T_3112 = _T_2831 | _T_2454; // @[lsu_bus_buffer.scala 419:59] + wire _T_3119 = _T_3112 | _T_2461; // @[lsu_bus_buffer.scala 420:110] + wire _T_3120 = _T_2367 & _T_3119; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_3 = {_T_3120,_T_3098,_T_3076,_T_3054}; // @[Cat.scala 29:58] + wire _T_3211 = _T_2829 | _T_1818; // @[lsu_bus_buffer.scala 423:110] + wire _T_3212 = ~_T_3211; // @[lsu_bus_buffer.scala 423:84] + wire _T_3213 = buf_rspageQ_0[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3215 = _T_3213 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3203 = _T_2807 | _T_1807; // @[lsu_bus_buffer.scala 423:110] + wire _T_3204 = ~_T_3203; // @[lsu_bus_buffer.scala 423:84] + wire _T_3205 = buf_rspageQ_0[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3207 = _T_3205 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3195 = _T_2785 | _T_1796; // @[lsu_bus_buffer.scala 423:110] + wire _T_3196 = ~_T_3195; // @[lsu_bus_buffer.scala 423:84] + wire _T_3197 = buf_rspageQ_0[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3199 = _T_3197 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3187 = _T_2763 | _T_1785; // @[lsu_bus_buffer.scala 423:110] + wire _T_3188 = ~_T_3187; // @[lsu_bus_buffer.scala 423:84] + wire _T_3189 = buf_rspageQ_0[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3191 = _T_3189 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_0 = {_T_3215,_T_3207,_T_3199,_T_3191}; // @[Cat.scala 29:58] + wire _T_3126 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3129 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3132 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3135 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3137 = {_T_3135,_T_3132,_T_3129}; // @[Cat.scala 29:58] + wire _T_3248 = buf_rspageQ_1[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3250 = _T_3248 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3240 = buf_rspageQ_1[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3242 = _T_3240 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3232 = buf_rspageQ_1[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3234 = _T_3232 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3224 = buf_rspageQ_1[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3226 = _T_3224 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_1 = {_T_3250,_T_3242,_T_3234,_T_3226}; // @[Cat.scala 29:58] + wire _T_3141 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3144 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3147 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3150 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3152 = {_T_3150,_T_3147,_T_3144}; // @[Cat.scala 29:58] + wire _T_3283 = buf_rspageQ_2[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3285 = _T_3283 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3275 = buf_rspageQ_2[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3277 = _T_3275 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3267 = buf_rspageQ_2[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3269 = _T_3267 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3259 = buf_rspageQ_2[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3261 = _T_3259 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_2 = {_T_3285,_T_3277,_T_3269,_T_3261}; // @[Cat.scala 29:58] + wire _T_3156 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3159 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3162 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3165 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3167 = {_T_3165,_T_3162,_T_3159}; // @[Cat.scala 29:58] + wire _T_3318 = buf_rspageQ_3[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3320 = _T_3318 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3310 = buf_rspageQ_3[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3312 = _T_3310 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3302 = buf_rspageQ_3[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3304 = _T_3302 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3294 = buf_rspageQ_3[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3296 = _T_3294 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_3 = {_T_3320,_T_3312,_T_3304,_T_3296}; // @[Cat.scala 29:58] + wire _T_3171 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3174 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3177 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3180 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3182 = {_T_3180,_T_3177,_T_3174}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_drain_vld & _T_1786; // @[lsu_bus_buffer.scala 428:63] + wire _T_3327 = ibuf_drain_vld & _T_1797; // @[lsu_bus_buffer.scala 428:63] + wire _T_3329 = ibuf_drain_vld & _T_1808; // @[lsu_bus_buffer.scala 428:63] + wire _T_3331 = ibuf_drain_vld & _T_1819; // @[lsu_bus_buffer.scala 428:63] + wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] + wire _T_3339 = _T_3533 & _T_1789; // @[lsu_bus_buffer.scala 430:35] + wire _T_3348 = _T_3533 & _T_1800; // @[lsu_bus_buffer.scala 430:35] + wire _T_3357 = _T_3533 & _T_1811; // @[lsu_bus_buffer.scala 430:35] + wire _T_3366 = _T_3533 & _T_1822; // @[lsu_bus_buffer.scala 430:35] + wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] + wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] + wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 434:84] + wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] + wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[lsu_bus_buffer.scala 435:47] + wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[lsu_bus_buffer.scala 435:47] + wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[lsu_bus_buffer.scala 435:47] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[lsu_bus_buffer.scala 435:47] + wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] + wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] + wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] + wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] + wire _T_3553 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 458:89] + wire _T_3555 = _T_3553 & _T_1349; // @[lsu_bus_buffer.scala 458:104] + wire _T_3568 = buf_state_en_0 & _T_3637; // @[lsu_bus_buffer.scala 463:44] + wire _T_3569 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3571 = _T_3569 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3574 = _T_3564 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3575 = _T_3574 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4830 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 572:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4830; // @[lsu_bus_buffer.scala 572:38] + wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3651 = bus_rsp_read_error & _T_3630; // @[lsu_bus_buffer.scala 480:91] + wire _T_3653 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3655 = _T_3653 & _T_3632; // @[lsu_bus_buffer.scala 481:46] + wire _T_3656 = _T_3651 | _T_3655; // @[lsu_bus_buffer.scala 480:143] + wire _T_4828 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 571:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4828; // @[lsu_bus_buffer.scala 571:40] + wire _T_3658 = bus_rsp_write_error & _T_3628; // @[lsu_bus_buffer.scala 482:33] + wire _T_3659 = _T_3656 | _T_3658; // @[lsu_bus_buffer.scala 481:88] + wire _T_3660 = _T_3564 & _T_3659; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_47 = _T_3585 & _T_3660; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_3551 ? _T_3578 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_3547 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_72; // @[Conditional.scala 40:58] + wire _T_3587 = buf_write[0] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3588 = io_dec_tlu_force_halt | _T_3587; // @[lsu_bus_buffer.scala 470:55] + wire _T_3590 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] + wire _T_3591 = buf_dual_0 & _T_3590; // @[lsu_bus_buffer.scala 471:28] + wire _T_3594 = _T_3591 & _T_3637; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 471:90] + wire _T_3595 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3596 = _T_3594 & _T_3595; // @[lsu_bus_buffer.scala 471:61] + wire _T_4478 = _T_2710 | _T_2707; // @[lsu_bus_buffer.scala 532:93] + wire _T_4479 = _T_4478 | _T_2704; // @[lsu_bus_buffer.scala 532:93] + wire any_done_wait_state = _T_4479 | _T_2701; // @[lsu_bus_buffer.scala 532:93] + wire _T_3598 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3604 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3606 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3608 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3610 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3612 = _T_3604 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3613 = _T_3606 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3614 = _T_3608 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3615 = _T_3610 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3616 = _T_3612 | _T_3613; // @[Mux.scala 27:72] + wire _T_3617 = _T_3616 | _T_3614; // @[Mux.scala 27:72] + wire _T_3618 = _T_3617 | _T_3615; // @[Mux.scala 27:72] + wire _T_3620 = _T_3594 & _T_3618; // @[lsu_bus_buffer.scala 472:101] + wire _T_3621 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3622 = _T_3620 & _T_3621; // @[lsu_bus_buffer.scala 472:138] + wire _T_3623 = _T_3622 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3624 = _T_3598 | _T_3623; // @[lsu_bus_buffer.scala 472:53] + wire _T_3647 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3648 = _T_3647 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3661 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] + wire _T_3662 = buf_state_en_0 & _T_3661; // @[lsu_bus_buffer.scala 483:48] + wire _T_3674 = buf_ldfwd[0] | _T_3679[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3675 = _T_3674 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_27 = _T_3695 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_30 = _T_3695 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3687 ? io_dec_tlu_force_halt : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_3687 ? io_dec_tlu_force_halt : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3669 ? io_dec_tlu_force_halt : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_3669 ? io_dec_tlu_force_halt : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_3585 & _T_3648; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3585 ? io_dec_tlu_force_halt : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_3585 ? io_dec_tlu_force_halt : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_3551 ? _T_3571 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3551 ? _T_3575 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_3551 ? io_dec_tlu_force_halt : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_3547 ? io_dec_tlu_force_halt : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_3547 ? io_dec_tlu_force_halt : _GEN_63; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_69; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_75; // @[Conditional.scala 40:58] + wire _T_3758 = buf_state_en_1 & _T_3827; // @[lsu_bus_buffer.scala 463:44] + wire _T_3759 = _T_3758 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3761 = _T_3759 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3764 = _T_3754 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3765 = _T_3764 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3768 = _T_3764 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3841 = bus_rsp_read_error & _T_3820; // @[lsu_bus_buffer.scala 480:91] + wire _T_3843 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3845 = _T_3843 & _T_3822; // @[lsu_bus_buffer.scala 481:46] + wire _T_3846 = _T_3841 | _T_3845; // @[lsu_bus_buffer.scala 480:143] + wire _T_3848 = bus_rsp_write_error & _T_3818; // @[lsu_bus_buffer.scala 482:33] + wire _T_3849 = _T_3846 | _T_3848; // @[lsu_bus_buffer.scala 481:88] + wire _T_3850 = _T_3754 & _T_3849; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_124 = _T_3775 & _T_3850; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3741 ? _T_3768 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3737 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3714 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3777 = buf_write[1] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3778 = io_dec_tlu_force_halt | _T_3777; // @[lsu_bus_buffer.scala 470:55] + wire _T_3780 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] + wire _T_3781 = buf_dual_1 & _T_3780; // @[lsu_bus_buffer.scala 471:28] + wire _T_3784 = _T_3781 & _T_3827; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_96 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_97 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_96; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_98 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_97; // @[lsu_bus_buffer.scala 471:90] + wire _T_3785 = _GEN_98 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3786 = _T_3784 & _T_3785; // @[lsu_bus_buffer.scala 471:61] + wire _T_3788 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3794 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3796 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3798 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3800 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3802 = _T_3794 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3803 = _T_3796 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3804 = _T_3798 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3805 = _T_3800 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3806 = _T_3802 | _T_3803; // @[Mux.scala 27:72] + wire _T_3807 = _T_3806 | _T_3804; // @[Mux.scala 27:72] + wire _T_3808 = _T_3807 | _T_3805; // @[Mux.scala 27:72] + wire _T_3810 = _T_3784 & _T_3808; // @[lsu_bus_buffer.scala 472:101] + wire _T_3811 = _GEN_98 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3812 = _T_3810 & _T_3811; // @[lsu_bus_buffer.scala 472:138] + wire _T_3813 = _T_3812 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3814 = _T_3788 | _T_3813; // @[lsu_bus_buffer.scala 472:53] + wire _T_3837 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3838 = _T_3837 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3851 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] + wire _T_3852 = buf_state_en_1 & _T_3851; // @[lsu_bus_buffer.scala 483:48] + wire _T_3864 = buf_ldfwd[1] | _T_3869[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3865 = _T_3864 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_104 = _T_3885 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_107 = _T_3885 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_111 = _T_3877 ? io_dec_tlu_force_halt : _GEN_104; // @[Conditional.scala 39:67] + wire _GEN_112 = _T_3877 ? io_dec_tlu_force_halt : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_117 = _T_3859 ? io_dec_tlu_force_halt : _GEN_111; // @[Conditional.scala 39:67] + wire _GEN_118 = _T_3859 ? io_dec_tlu_force_halt : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_123 = _T_3775 & _T_3838; // @[Conditional.scala 39:67] + wire _GEN_127 = _T_3775 ? io_dec_tlu_force_halt : _GEN_117; // @[Conditional.scala 39:67] + wire _GEN_128 = _T_3775 ? io_dec_tlu_force_halt : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3741 ? _T_3761 : _GEN_128; // @[Conditional.scala 39:67] + wire _GEN_136 = _T_3741 ? _T_3765 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3741 ? io_dec_tlu_force_halt : _GEN_127; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3737 ? io_dec_tlu_force_halt : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_148 = _T_3737 ? 1'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_152 = _T_3737 ? io_dec_tlu_force_halt : _GEN_140; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3714 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_146; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] + wire _T_3948 = buf_state_en_2 & _T_4017; // @[lsu_bus_buffer.scala 463:44] + wire _T_3949 = _T_3948 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3951 = _T_3949 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3954 = _T_3944 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3955 = _T_3954 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3958 = _T_3954 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4031 = bus_rsp_read_error & _T_4010; // @[lsu_bus_buffer.scala 480:91] + wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4035 = _T_4033 & _T_4012; // @[lsu_bus_buffer.scala 481:46] + wire _T_4036 = _T_4031 | _T_4035; // @[lsu_bus_buffer.scala 480:143] + wire _T_4038 = bus_rsp_write_error & _T_4008; // @[lsu_bus_buffer.scala 482:33] + wire _T_4039 = _T_4036 | _T_4038; // @[lsu_bus_buffer.scala 481:88] + wire _T_4040 = _T_3944 & _T_4039; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_201 = _T_3965 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3931 ? _T_3958 : _GEN_201; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3927 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3904 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_3967 = buf_write[2] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[lsu_bus_buffer.scala 470:55] + wire _T_3970 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] + wire _T_3971 = buf_dual_2 & _T_3970; // @[lsu_bus_buffer.scala 471:28] + wire _T_3974 = _T_3971 & _T_4017; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_173 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_174 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_173; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_175 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_174; // @[lsu_bus_buffer.scala 471:90] + wire _T_3975 = _GEN_175 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3976 = _T_3974 & _T_3975; // @[lsu_bus_buffer.scala 471:61] + wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3984 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3986 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3988 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3990 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] + wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] + wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] + wire _T_4000 = _T_3974 & _T_3998; // @[lsu_bus_buffer.scala 472:101] + wire _T_4001 = _GEN_175 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4002 = _T_4000 & _T_4001; // @[lsu_bus_buffer.scala 472:138] + wire _T_4003 = _T_4002 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4004 = _T_3978 | _T_4003; // @[lsu_bus_buffer.scala 472:53] + wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4041 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] + wire _T_4042 = buf_state_en_2 & _T_4041; // @[lsu_bus_buffer.scala 483:48] + wire _T_4054 = buf_ldfwd[2] | _T_4059[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4055 = _T_4054 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_181 = _T_4075 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4075 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_188 = _T_4067 ? io_dec_tlu_force_halt : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4067 ? io_dec_tlu_force_halt : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_194 = _T_4049 ? io_dec_tlu_force_halt : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4049 ? io_dec_tlu_force_halt : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3965 & _T_4028; // @[Conditional.scala 39:67] + wire _GEN_204 = _T_3965 ? io_dec_tlu_force_halt : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3965 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3931 ? _T_3951 : _GEN_205; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3931 ? _T_3955 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3931 ? io_dec_tlu_force_halt : _GEN_204; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3927 ? io_dec_tlu_force_halt : _GEN_211; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3927 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire _GEN_229 = _T_3927 ? io_dec_tlu_force_halt : _GEN_217; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3904 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_223; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_229; // @[Conditional.scala 40:58] + wire _T_4138 = buf_state_en_3 & _T_4207; // @[lsu_bus_buffer.scala 463:44] + wire _T_4139 = _T_4138 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_4141 = _T_4139 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_4144 = _T_4134 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_4145 = _T_4144 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4148 = _T_4144 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4221 = bus_rsp_read_error & _T_4200; // @[lsu_bus_buffer.scala 480:91] + wire _T_4223 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4225 = _T_4223 & _T_4202; // @[lsu_bus_buffer.scala 481:46] + wire _T_4226 = _T_4221 | _T_4225; // @[lsu_bus_buffer.scala 480:143] + wire _T_4228 = bus_rsp_write_error & _T_4198; // @[lsu_bus_buffer.scala 482:33] + wire _T_4229 = _T_4226 | _T_4228; // @[lsu_bus_buffer.scala 481:88] + wire _T_4230 = _T_4134 & _T_4229; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_278 = _T_4155 & _T_4230; // @[Conditional.scala 39:67] + wire _GEN_291 = _T_4121 ? _T_4148 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_303 = _T_4117 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4094 ? 1'h0 : _GEN_303; // @[Conditional.scala 40:58] + wire _T_4157 = buf_write[3] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_4158 = io_dec_tlu_force_halt | _T_4157; // @[lsu_bus_buffer.scala 470:55] + wire _T_4160 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] + wire _T_4161 = buf_dual_3 & _T_4160; // @[lsu_bus_buffer.scala 471:28] + wire _T_4164 = _T_4161 & _T_4207; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_250 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_251 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_250; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_252 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_251; // @[lsu_bus_buffer.scala 471:90] + wire _T_4165 = _GEN_252 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_4166 = _T_4164 & _T_4165; // @[lsu_bus_buffer.scala 471:61] + wire _T_4168 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_4174 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_4176 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_4178 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_4180 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_4182 = _T_4174 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4183 = _T_4176 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4184 = _T_4178 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4185 = _T_4180 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4186 = _T_4182 | _T_4183; // @[Mux.scala 27:72] + wire _T_4187 = _T_4186 | _T_4184; // @[Mux.scala 27:72] + wire _T_4188 = _T_4187 | _T_4185; // @[Mux.scala 27:72] + wire _T_4190 = _T_4164 & _T_4188; // @[lsu_bus_buffer.scala 472:101] + wire _T_4191 = _GEN_252 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4192 = _T_4190 & _T_4191; // @[lsu_bus_buffer.scala 472:138] + wire _T_4193 = _T_4192 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4194 = _T_4168 | _T_4193; // @[lsu_bus_buffer.scala 472:53] + wire _T_4217 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4218 = _T_4217 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4231 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] + wire _T_4232 = buf_state_en_3 & _T_4231; // @[lsu_bus_buffer.scala 483:48] + wire _T_4244 = buf_ldfwd[3] | _T_4249[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4245 = _T_4244 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_258 = _T_4265 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_261 = _T_4265 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4257 ? io_dec_tlu_force_halt : _GEN_258; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4257 ? io_dec_tlu_force_halt : _GEN_261; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4239 ? io_dec_tlu_force_halt : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4239 ? io_dec_tlu_force_halt : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_277 = _T_4155 & _T_4218; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4155 ? io_dec_tlu_force_halt : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4155 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_288 = _T_4121 ? _T_4141 : _GEN_282; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4121 ? _T_4145 : _GEN_277; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4121 ? io_dec_tlu_force_halt : _GEN_281; // @[Conditional.scala 39:67] + wire _GEN_300 = _T_4117 ? io_dec_tlu_force_halt : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4117 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire _GEN_306 = _T_4117 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4094 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_300; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_306; // @[Conditional.scala 40:58] + reg _T_4320; // @[Reg.scala 27:20] + reg _T_4323; // @[Reg.scala 27:20] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4329; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4329,_T_4326,_T_4323,_T_4320}; // @[Cat.scala 29:58] + reg _T_4395; // @[lsu_bus_buffer.scala 526:80] + reg _T_4390; // @[lsu_bus_buffer.scala 526:80] + reg _T_4385; // @[lsu_bus_buffer.scala 526:80] + reg _T_4380; // @[lsu_bus_buffer.scala 526:80] + wire [3:0] buf_error = {_T_4395,_T_4390,_T_4385,_T_4380}; // @[Cat.scala 29:58] + wire _T_4377 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4378 = ~buf_rst_0; // @[lsu_bus_buffer.scala 526:126] + wire _T_4382 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4383 = ~buf_rst_1; // @[lsu_bus_buffer.scala 526:126] + wire _T_4387 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4388 = ~buf_rst_2; // @[lsu_bus_buffer.scala 526:126] + wire _T_4392 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4393 = ~buf_rst_3; // @[lsu_bus_buffer.scala 526:126] + wire [1:0] _T_4399 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4400 = io_ldst_dual_m ? _T_4399 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 528:28] + wire [1:0] _T_4401 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4402 = io_ldst_dual_r ? _T_4401 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 528:94] + wire [2:0] _T_4403 = _T_4400 + _T_4402; // @[lsu_bus_buffer.scala 528:88] + wire [2:0] _GEN_392 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 528:154] + wire [3:0] _T_4404 = _T_4403 + _GEN_392; // @[lsu_bus_buffer.scala 528:154] + wire [1:0] _T_4409 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 528:217] + wire [1:0] _GEN_393 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _T_4410 = _T_4409 + _GEN_393; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _GEN_394 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] _T_4411 = _T_4410 + _GEN_394; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] buf_numvld_any = _T_4404 + _T_4411; // @[lsu_bus_buffer.scala 528:169] + wire _T_4482 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 534:52] + wire _T_4483 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 534:92] + wire _T_4484 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 534:121] + wire _T_4486 = |buf_state_0; // @[lsu_bus_buffer.scala 535:52] + wire _T_4487 = |buf_state_1; // @[lsu_bus_buffer.scala 535:52] + wire _T_4488 = |buf_state_2; // @[lsu_bus_buffer.scala 535:52] + wire _T_4489 = |buf_state_3; // @[lsu_bus_buffer.scala 535:52] + wire _T_4490 = _T_4486 | _T_4487; // @[lsu_bus_buffer.scala 535:65] + wire _T_4491 = _T_4490 | _T_4488; // @[lsu_bus_buffer.scala 535:65] + wire _T_4492 = _T_4491 | _T_4489; // @[lsu_bus_buffer.scala 535:65] + wire _T_4493 = ~_T_4492; // @[lsu_bus_buffer.scala 535:34] + wire _T_4495 = _T_4493 & _T_852; // @[lsu_bus_buffer.scala 535:70] + wire _T_4498 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 537:64] + wire _T_4499 = _T_4498 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 537:85] + wire _T_4500 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 537:112] + wire _T_4501 = _T_4499 & _T_4500; // @[lsu_bus_buffer.scala 537:110] + wire _T_4502 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 537:129] + wire _T_4504 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 540:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 625:66] + wire _T_4518 = _T_2763 & _T_3637; // @[Mux.scala 27:72] + wire _T_4519 = _T_2785 & _T_3827; // @[Mux.scala 27:72] + wire _T_4520 = _T_2807 & _T_4017; // @[Mux.scala 27:72] + wire _T_4521 = _T_2829 & _T_4207; // @[Mux.scala 27:72] + wire _T_4522 = _T_4518 | _T_4519; // @[Mux.scala 27:72] + wire _T_4523 = _T_4522 | _T_4520; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4523 | _T_4521; // @[Mux.scala 27:72] + wire _T_4529 = buf_error[0] & _T_3637; // @[lsu_bus_buffer.scala 543:121] + wire _T_4534 = buf_error[1] & _T_3827; // @[lsu_bus_buffer.scala 543:121] + wire _T_4539 = buf_error[2] & _T_4017; // @[lsu_bus_buffer.scala 543:121] + wire _T_4544 = buf_error[3] & _T_4207; // @[lsu_bus_buffer.scala 543:121] + wire _T_4545 = _T_2763 & _T_4529; // @[Mux.scala 27:72] + wire _T_4546 = _T_2785 & _T_4534; // @[Mux.scala 27:72] + wire _T_4547 = _T_2807 & _T_4539; // @[Mux.scala 27:72] + wire _T_4548 = _T_2829 & _T_4544; // @[Mux.scala 27:72] + wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] + wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] + wire _T_4557 = ~buf_dual_0; // @[lsu_bus_buffer.scala 544:122] + wire _T_4558 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 544:137] + wire _T_4559 = _T_4557 | _T_4558; // @[lsu_bus_buffer.scala 544:135] + wire _T_4560 = _T_4518 & _T_4559; // @[lsu_bus_buffer.scala 544:119] + wire _T_4565 = ~buf_dual_1; // @[lsu_bus_buffer.scala 544:122] + wire _T_4566 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 544:137] + wire _T_4567 = _T_4565 | _T_4566; // @[lsu_bus_buffer.scala 544:135] + wire _T_4568 = _T_4519 & _T_4567; // @[lsu_bus_buffer.scala 544:119] + wire _T_4573 = ~buf_dual_2; // @[lsu_bus_buffer.scala 544:122] + wire _T_4574 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 544:137] + wire _T_4575 = _T_4573 | _T_4574; // @[lsu_bus_buffer.scala 544:135] + wire _T_4576 = _T_4520 & _T_4575; // @[lsu_bus_buffer.scala 544:119] + wire _T_4581 = ~buf_dual_3; // @[lsu_bus_buffer.scala 544:122] + wire _T_4582 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 544:137] + wire _T_4583 = _T_4581 | _T_4582; // @[lsu_bus_buffer.scala 544:135] + wire _T_4584 = _T_4521 & _T_4583; // @[lsu_bus_buffer.scala 544:119] + wire [1:0] _T_4587 = _T_4576 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4588 = _T_4584 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_395 = {{1'd0}, _T_4568}; // @[Mux.scala 27:72] + wire [1:0] _T_4590 = _GEN_395 | _T_4587; // @[Mux.scala 27:72] + wire [31:0] _T_4625 = _T_4560 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4626 = _T_4568 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4627 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4628 = _T_4584 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4629 = _T_4625 | _T_4626; // @[Mux.scala 27:72] + wire [31:0] _T_4630 = _T_4629 | _T_4627; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4630 | _T_4628; // @[Mux.scala 27:72] + wire _T_4637 = _T_4518 & _T_3635; // @[lsu_bus_buffer.scala 546:105] + wire _T_4643 = _T_4519 & _T_3825; // @[lsu_bus_buffer.scala 546:105] + wire _T_4649 = _T_4520 & _T_4015; // @[lsu_bus_buffer.scala 546:105] + wire _T_4655 = _T_4521 & _T_4205; // @[lsu_bus_buffer.scala 546:105] + wire [31:0] _T_4656 = _T_4637 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4657 = _T_4643 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4658 = _T_4649 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4659 = _T_4655 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4660 = _T_4656 | _T_4657; // @[Mux.scala 27:72] + wire [31:0] _T_4661 = _T_4660 | _T_4658; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4661 | _T_4659; // @[Mux.scala 27:72] + wire _T_4663 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4664 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4665 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4666 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4667 = _T_4663 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4664 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4665 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4673[1:0]; // @[lsu_bus_buffer.scala 547:96] + wire [1:0] _T_4679 = _T_4663 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4680 = _T_4664 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4681 = _T_4665 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4682 = _T_4666 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4683 = _T_4679 | _T_4680; // @[Mux.scala 27:72] + wire [1:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4684 | _T_4682; // @[Mux.scala 27:72] + wire _T_4694 = _T_4663 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4695 = _T_4664 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4696 = _T_4665 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4697 = _T_4666 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] + wire _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4699 | _T_4697; // @[Mux.scala 27:72] + wire [63:0] _T_4701 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_396 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 551:121] + wire [5:0] _T_4702 = _GEN_396 * 4'h8; // @[lsu_bus_buffer.scala 551:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4701 >> _T_4702; // @[lsu_bus_buffer.scala 551:92] + wire _T_4703 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 553:82] + wire _T_4705 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 554:94] + wire _T_4706 = lsu_nonblock_unsign & _T_4705; // @[lsu_bus_buffer.scala 554:76] + wire [31:0] _T_4708 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4709 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 555:45] + wire _T_4710 = lsu_nonblock_unsign & _T_4709; // @[lsu_bus_buffer.scala 555:26] + wire [31:0] _T_4712 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4713 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 556:6] + wire _T_4715 = _T_4713 & _T_4705; // @[lsu_bus_buffer.scala 556:27] + wire [23:0] _T_4718 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4720 = {_T_4718,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4723 = _T_4713 & _T_4709; // @[lsu_bus_buffer.scala 557:27] + wire [15:0] _T_4726 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4728 = {_T_4726,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4729 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 558:21] + wire [31:0] _T_4730 = _T_4706 ? _T_4708 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4731 = _T_4710 ? _T_4712 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4732 = _T_4715 ? _T_4720 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4733 = _T_4723 ? _T_4728 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4734 = _T_4729 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4735 = _T_4730 | _T_4731; // @[Mux.scala 27:72] + wire [31:0] _T_4736 = _T_4735 | _T_4732; // @[Mux.scala 27:72] + wire [31:0] _T_4737 = _T_4736 | _T_4733; // @[Mux.scala 27:72] + wire [63:0] _GEN_397 = {{32'd0}, _T_4737}; // @[Mux.scala 27:72] + wire [63:0] _T_4738 = _GEN_397 | _T_4734; // @[Mux.scala 27:72] + wire _T_4832 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 576:37] + wire _T_4833 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 576:52] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 576:50] + wire [31:0] _T_4838 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4840 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4845 = ~obuf_data_done; // @[lsu_bus_buffer.scala 588:51] + wire _T_4846 = _T_4832 & _T_4845; // @[lsu_bus_buffer.scala 588:49] + wire [7:0] _T_4850 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4853 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 593:37] + wire _T_4855 = _T_4853 & _T_1347; // @[lsu_bus_buffer.scala 593:51] + wire _T_4867 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4869 = _T_4867 & buf_write[0]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4872 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4874 = _T_4872 & buf_write[1]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4877 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4879 = _T_4877 & buf_write[2]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4884 = _T_4882 & buf_write[3]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4885 = _T_2763 & _T_4869; // @[Mux.scala 27:72] + wire _T_4886 = _T_2785 & _T_4874; // @[Mux.scala 27:72] + wire _T_4887 = _T_2807 & _T_4879; // @[Mux.scala 27:72] + wire _T_4888 = _T_2829 & _T_4884; // @[Mux.scala 27:72] + wire _T_4889 = _T_4885 | _T_4886; // @[Mux.scala 27:72] + wire _T_4890 = _T_4889 | _T_4887; // @[Mux.scala 27:72] + wire _T_4900 = _T_2785 & buf_error[1]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4902 = _T_4900 & buf_write[1]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4905 = _T_2807 & buf_error[2]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4907 = _T_4905 & buf_write[2]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4910 = _T_2829 & buf_error[3]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4912 = _T_4910 & buf_write[3]; // @[lsu_bus_buffer.scala 607:108] + wire [1:0] _T_4915 = _T_4907 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4916 = _T_4912 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_398 = {{1'd0}, _T_4902}; // @[Mux.scala 27:72] + wire [1:0] _T_4918 = _GEN_398 | _T_4915; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4918 | _T_4916; // @[Mux.scala 27:72] + wire _T_4920 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 609:97] + wire [31:0] _GEN_355 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_356 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_357 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_359 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_360 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_359; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_361 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_360; // @[lsu_bus_buffer.scala 610:53] + wire _T_4925 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 616:82] + wire _T_4928 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 617:60] + wire _T_4931 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 620:61] + wire _T_4932 = io_lsu_axi_aw_valid & _T_4931; // @[lsu_bus_buffer.scala 620:59] + wire _T_4933 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 620:107] + wire _T_4934 = io_lsu_axi_w_valid & _T_4933; // @[lsu_bus_buffer.scala 620:105] + wire _T_4935 = _T_4932 | _T_4934; // @[lsu_bus_buffer.scala 620:83] + wire _T_4936 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 620:153] + wire _T_4937 = io_lsu_axi_ar_valid & _T_4936; // @[lsu_bus_buffer.scala 620:151] + wire _T_4941 = ~io_flush_r; // @[lsu_bus_buffer.scala 624:75] + wire _T_4942 = io_lsu_busreq_m & _T_4941; // @[lsu_bus_buffer.scala 624:73] + reg _T_4945; // @[lsu_bus_buffer.scala 624:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4925 | _T_4824; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4928 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 617:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 618:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4935 | _T_4937; // @[lsu_bus_buffer.scala 620:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4920; // @[lsu_bus_buffer.scala 609:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4890 | _T_4888; // @[lsu_bus_buffer.scala 606:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_357 : _GEN_361; // @[lsu_bus_buffer.scala 610:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4501 & _T_4502; // @[lsu_bus_buffer.scala 537:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1795 ? 2'h0 : _T_1831; // @[lsu_bus_buffer.scala 538:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4504; // @[lsu_bus_buffer.scala 540:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 541:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4703; // @[lsu_bus_buffer.scala 553:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4550 | _T_4548; // @[lsu_bus_buffer.scala 543:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4590 | _T_4588; // @[lsu_bus_buffer.scala 544:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4738[31:0]; // @[lsu_bus_buffer.scala 554:42] + assign io_lsu_axi_aw_valid = _T_4834 & _T_1237; // @[lsu_bus_buffer.scala 576:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 577:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 578:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 582:29] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 583:26] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 579:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 584:28] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 586:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 581:28] + assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 580:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 585:26] + assign io_lsu_axi_w_valid = _T_4846 & _T_1237; // @[lsu_bus_buffer.scala 588:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 590:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4850; // @[lsu_bus_buffer.scala 589:26] + assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 591:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 604:22] + assign io_lsu_axi_ar_valid = _T_4855 & _T_1237; // @[lsu_bus_buffer.scala 593:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 594:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 595:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 599:29] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 600:26] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 596:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 601:28] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 603:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 598:28] + assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 597:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 602:26] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 605:22] + assign io_lsu_busreq_r = _T_4945; // @[lsu_bus_buffer.scala 624:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 533:30] + assign io_lsu_bus_buffer_full_any = _T_4482 ? _T_4483 : _T_4484; // @[lsu_bus_buffer.scala 534:30] + assign io_lsu_bus_buffer_empty_any = _T_4495 & _T_1231; // @[lsu_bus_buffer.scala 535:31] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 174:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3714 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3904 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4094 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_71; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3714 ? buf_state_en_1 : _GEN_148; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3904 ? buf_state_en_2 : _GEN_225; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4094 ? buf_state_en_3 : _GEN_302; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4344 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4341 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4338 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4335 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1780 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4314 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4311 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4308 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4305 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4291 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4289 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4287 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4285 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4320 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4323 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4326 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4329 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4395 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4390 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4385 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4380 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4945 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4344 = 1'h0; + end + if (reset) begin + _T_4341 = 1'h0; + end + if (reset) begin + _T_4338 = 1'h0; + end + if (reset) begin + _T_4335 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1780 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4314 = 1'h0; + end + if (reset) begin + _T_4311 = 1'h0; + end + if (reset) begin + _T_4308 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4291 = 1'h0; + end + if (reset) begin + _T_4289 = 1'h0; + end + if (reset) begin + _T_4287 = 1'h0; + end + if (reset) begin + _T_4285 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4320 = 1'h0; + end + if (reset) begin + _T_4323 = 1'h0; + end + if (reset) begin + _T_4326 = 1'h0; + end + if (reset) begin + _T_4329 = 1'h0; + end + if (reset) begin + _T_4395 = 1'h0; + end + if (reset) begin + _T_4390 = 1'h0; + end + if (reset) begin + _T_4385 = 1'h0; + end + if (reset) begin + _T_4380 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4945 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3339) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4344 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4344 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4341 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4341 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4338 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4338 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4335 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4335 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3524) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3547) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3555) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3585) begin + if (_T_3588) begin + buf_state_0 <= 3'h0; + end else if (_T_3596) begin + buf_state_0 <= 3'h4; + end else if (_T_3624) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3669) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3675) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3687) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3348) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3714) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3737) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3741) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3555) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3775) begin + if (_T_3778) begin + buf_state_1 <= 3'h0; + end else if (_T_3786) begin + buf_state_1 <= 3'h4; + end else if (_T_3814) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3859) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3865) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3877) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3357) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3904) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3927) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3931) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3555) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3965) begin + if (_T_3968) begin + buf_state_2 <= 3'h0; + end else if (_T_3976) begin + buf_state_2 <= 3'h4; + end else if (_T_4004) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4049) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4055) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4067) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3366) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4094) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4117) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4121) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3555) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4155) begin + if (_T_4158) begin + buf_state_3 <= 3'h0; + end else if (_T_4166) begin + buf_state_3 <= 3'h4; + end else if (_T_4194) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4239) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4245) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4257) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3366) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3357) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3348) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3339) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2467,_T_2390}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1780 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1780 <= WrPtr0_r; + end else begin + _T_1780 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1771 & _T_1772; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1238 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2365,_T_2288}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2263,_T_2186}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2161,_T_2084}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3524) begin + if (_T_3539) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3547) begin + buf_data_0 <= 32'h0; + end else if (_T_3551) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3585) begin + if (_T_3662) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3714) begin + if (_T_3729) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3737) begin + buf_data_1 <= 32'h0; + end else if (_T_3741) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3775) begin + if (_T_3852) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3904) begin + if (_T_3919) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3927) begin + buf_data_2 <= 32'h0; + end else if (_T_3931) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3965) begin + if (_T_4042) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4094) begin + if (_T_4109) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4117) begin + buf_data_3 <= 32'h0; + end else if (_T_4121) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4155) begin + if (_T_4232) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1846) begin + WrPtr1_r <= 2'h0; + end else if (_T_1860) begin + WrPtr1_r <= 2'h1; + end else if (_T_1874) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1795) begin + WrPtr0_r <= 2'h0; + end else if (_T_1806) begin + WrPtr0_r <= 2'h1; + end else if (_T_1817) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4314 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4314 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4311 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4311 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4308 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4308 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4305 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1303 & _T_4821; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1303 & _T_4822; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1287; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1331 & _T_2587; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1330) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1300; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1618,_T_1577}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3137,_T_3126}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3152,_T_3141}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3167,_T_3156}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3182,_T_3171}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4291 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4094) begin + _T_4291 <= 1'h0; + end else if (_T_4117) begin + _T_4291 <= 1'h0; + end else begin + _T_4291 <= _T_4121; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4289 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3904) begin + _T_4289 <= 1'h0; + end else if (_T_3927) begin + _T_4289 <= 1'h0; + end else begin + _T_4289 <= _T_3931; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4287 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3714) begin + _T_4287 <= 1'h0; + end else if (_T_3737) begin + _T_4287 <= 1'h0; + end else begin + _T_4287 <= _T_3741; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4285 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3524) begin + _T_4285 <= 1'h0; + end else if (_T_3547) begin + _T_4285 <= 1'h0; + end else begin + _T_4285 <= _T_3551; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3524) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3547) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3339) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4094) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4117) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4121) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3904) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3927) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3931) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3714) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3737) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3741) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3348) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3357) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3366) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4320 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4320 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4323 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4323 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4326 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4326 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4329 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4329 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4395 <= 1'h0; + end else begin + _T_4395 <= _T_4392 & _T_4393; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4390 <= 1'h0; + end else begin + _T_4390 <= _T_4387 & _T_4388; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4385 <= 1'h0; + end else begin + _T_4385 <= _T_4382 & _T_4383; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4380 <= 1'h0; + end else begin + _T_4380 <= _T_4377 & _T_4378; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4945 <= 1'h0; + end else begin + _T_4945 <= _T_4942 & _T_4502; + end + end +endmodule diff --git a/lsu_bus_intf.anno.json b/lsu_bus_intf.anno.json new file mode 100644 index 00000000..df7ef78c --- /dev/null +++ b/lsu_bus_intf.anno.json @@ -0,0 +1,113 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_lsu_commit_r", + "~lsu_bus_intf|lsu_bus_intf>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_bus_read_data_m", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_lsu_addr_m", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_busreq_m", + "~lsu_bus_intf|lsu_bus_intf>io_end_addr_m", + "~lsu_bus_intf|lsu_bus_intf>io_dec_tlu_force_halt", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_r_bits_store", + "~lsu_bus_intf|lsu_bus_intf>io_store_data_r", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_by", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_r_valid", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_addr_r", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_word", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_half", + "~lsu_bus_intf|lsu_bus_intf>io_end_addr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_load", + "~lsu_bus_intf|lsu_bus_intf>io_flush_m_up", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_busreq_m", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_valid", + "~lsu_bus_intf|lsu_bus_intf>io_is_sideeffects_m", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_addr_m", + "~lsu_bus_intf|lsu_bus_intf>io_dec_tlu_force_halt", + "~lsu_bus_intf|lsu_bus_intf>io_end_addr_m", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_by", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_r_bits_store", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_word", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_m_bits_half", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_pkt_r_valid", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_addr_r", + "~lsu_bus_intf|lsu_bus_intf>io_end_addr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_axi_ar_ready", + "~lsu_bus_intf|lsu_bus_intf>io_axi_aw_ready", + "~lsu_bus_intf|lsu_bus_intf>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_dctl_busbuff_lsu_nonblock_load_tag_m", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_lsu_bus_buffer_full_any", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_ldst_dual_d", + "~lsu_bus_intf|lsu_bus_intf>io_dec_lsu_valid_raw_d", + "~lsu_bus_intf|lsu_bus_intf>io_ldst_dual_m", + "~lsu_bus_intf|lsu_bus_intf>io_lsu_busreq_m", + "~lsu_bus_intf|lsu_bus_intf>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_lsu_commit_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_intf|lsu_bus_intf>io_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu_bus_intf|lsu_bus_intf>io_axi_ar_ready", + "~lsu_bus_intf|lsu_bus_intf>io_axi_aw_ready", + "~lsu_bus_intf|lsu_bus_intf>io_axi_w_ready" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_bus_intf.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_bus_intf" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_bus_intf.fir b/lsu_bus_intf.fir new file mode 100644 index 00000000..c3ec8181 --- /dev/null +++ b/lsu_bus_intf.fir @@ -0,0 +1,7126 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_bus_intf : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_bus_obuf_c1_clken : UInt<1>, flip lsu_busm_clken : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 70:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 71:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 76:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 77:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 79:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 79:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 79:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 79:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 79:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 79:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 79:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 79:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 79:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 79:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 79:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 79:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 79:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 79:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 79:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 79:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 79:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 80:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 80:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 80:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 80:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 80:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 80:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 80:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 80:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 80:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 80:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 80:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 80:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 80:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 80:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 80:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 80:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 80:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 81:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 83:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 85:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 87:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 89:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 91:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 93:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 95:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 97:26] + buf_ldfwd_en[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + buf_ldfwd_en[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 98:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 99:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 101:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 102:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 103:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 104:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 108:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 111:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 112:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 116:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 117:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 118:21] + buf_rst[0] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[1] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[2] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + buf_rst[3] <= io.dec_tlu_force_halt @[lsu_bus_buffer.scala 119:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 121:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 122:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 123:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 124:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 129:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 133:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 134:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 141:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 141:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 141:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 141:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 141:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 141:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 141:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 141:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 141:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 141:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 141:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 141:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 141:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 142:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 142:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 142:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 142:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 142:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 142:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 142:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 142:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 142:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 142:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 142:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 142:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 142:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 144:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 144:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 144:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 144:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 144:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 144:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 144:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 144:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 144:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 144:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 144:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 144:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 144:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 144:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 144:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 144:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 144:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 144:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 144:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 144:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 144:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 144:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 144:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 144:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 144:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 144:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 144:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 144:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 144:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 144:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 144:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 144:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 144:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 144:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 144:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 144:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 144:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 144:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 144:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 144:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 145:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 145:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 145:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 145:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 145:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 145:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 145:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 145:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 145:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 145:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 145:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 145:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 145:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 145:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 145:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 145:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 145:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 145:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 145:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 145:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 145:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 145:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 145:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 145:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 145:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 145:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 145:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 145:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 145:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 145:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 145:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 145:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 145:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 145:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 145:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 145:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 145:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 145:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 145:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 145:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 147:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 148:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 149:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 149:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 149:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 149:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 149:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 149:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 149:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 149:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 149:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 149:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 149:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 149:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 149:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 149:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 149:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 149:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 149:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 149:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 149:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 149:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 149:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 149:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 149:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 149:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 149:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 149:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 149:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 149:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 149:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 149:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 149:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 149:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 149:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 149:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 149:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 149:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 149:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 149:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 149:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 149:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 149:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 149:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 149:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 149:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 149:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 149:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 149:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 149:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 149:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 149:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 149:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 149:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 149:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 149:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 149:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 149:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 149:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 149:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 149:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 149:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 149:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 149:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 149:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 150:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 150:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 150:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 150:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 150:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 150:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 150:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 150:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 150:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 150:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 150:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 150:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 150:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 150:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 150:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 150:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 150:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 150:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 150:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 150:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 150:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 150:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 150:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 150:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 150:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 150:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 150:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 150:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 150:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 150:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 150:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 150:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 150:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 150:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 150:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 150:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 150:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 150:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 150:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 150:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 150:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 150:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 150:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 150:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 150:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 150:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 150:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 150:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 150:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 150:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 150:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 150:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 150:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 150:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 150:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 150:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 150:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 150:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 150:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 150:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 150:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 150:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 150:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 155:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 155:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 155:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 155:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 155:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 155:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 156:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 156:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 156:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 156:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 156:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 156:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 160:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 160:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 160:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 161:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 161:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 161:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 163:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 164:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 166:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 166:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 166:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 166:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 167:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 167:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 167:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 167:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 168:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 168:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 168:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 168:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 168:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 168:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 168:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 168:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 168:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 168:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 168:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 168:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 169:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 169:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 169:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 169:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 169:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 169:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 169:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 169:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 169:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 169:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 169:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 169:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 170:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 170:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 170:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 170:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 170:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 170:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 170:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 170:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 170:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 170:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 170:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 170:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 171:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 171:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 171:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 171:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 171:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 171:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 171:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 171:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 171:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 171:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 171:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 171:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 172:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 171:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 168:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 174:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 174:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 174:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 174:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 174:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 174:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 174:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 174:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 174:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 174:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 174:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 174:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 175:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 175:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 175:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 175:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 175:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 175:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 175:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 175:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 175:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 175:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 175:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 175:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 176:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 176:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 176:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 176:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 176:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 176:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 176:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 176:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 176:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 176:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 176:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 176:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 177:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 177:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 177:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 177:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 177:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 177:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 177:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 177:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 177:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 177:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 177:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 177:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 178:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 177:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 174:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 180:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 185:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 186:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 186:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 187:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 187:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 188:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 188:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 190:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 191:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 191:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 192:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 192:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 193:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 193:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 195:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 196:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 196:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 197:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 197:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 198:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 198:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 200:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 201:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 201:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 201:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 202:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 202:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 203:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 203:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 206:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 206:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 206:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 207:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 208:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 210:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 210:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 210:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 211:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 211:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 213:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 213:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 213:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 214:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 214:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 214:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 214:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 214:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 214:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 214:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 219:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 219:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 219:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 219:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 219:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 220:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 220:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 220:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 220:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 220:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 220:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 219:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 219:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 225:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 225:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 228:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 229:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 229:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 229:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 230:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 230:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 230:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 229:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 234:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 234:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 234:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 234:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 235:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 235:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 235:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 233:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 234:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 234:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 234:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 234:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 235:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 235:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 235:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 233:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 234:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 234:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 234:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 234:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 235:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 235:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 235:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 233:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 233:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 234:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 234:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 234:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 234:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 235:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 235:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 235:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 233:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 236:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 236:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 236:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 236:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 236:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 236:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 238:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 238:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 238:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 238:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 238:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 238:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 238:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 238:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 238:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 238:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 238:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 239:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 240:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 240:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 240:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 240:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 240:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 240:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 240:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 240:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 240:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 240:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 240:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 240:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 240:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 240:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 240:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 240:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 240:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 240:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 240:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 240:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 240:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 240:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 240:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 240:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 241:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 241:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 241:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 241:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 241:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 241:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 241:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 241:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 241:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 241:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 241:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 241:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 241:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 241:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 241:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 241:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 241:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 241:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 241:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 241:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 241:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 241:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 241:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 241:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 241:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 241:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 241:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 241:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 241:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 243:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 243:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 243:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 243:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 243:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 243:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 244:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 249:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 251:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 253:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 254:15] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 255:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 256:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 256:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 256:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 260:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 261:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 266:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 266:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 266:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 266:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 267:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 267:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 267:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 268:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 268:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 268:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 268:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 268:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 268:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 268:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 267:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 268:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 269:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 269:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 269:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 269:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 269:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 269:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 269:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 270:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 270:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 270:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 270:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 270:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 270:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 270:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 270:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 270:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 270:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 270:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 270:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 272:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 272:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 272:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 272:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 272:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 275:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 277:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 278:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 279:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 280:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 287:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 287:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 287:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 288:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 288:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 289:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 289:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 289:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 290:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 290:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 290:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 290:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 290:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 289:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 287:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 291:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 291:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 291:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 291:75] + node _T_1237 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 291:94] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 291:92] + node _T_1239 = and(_T_1238, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 291:118] + obuf_wr_en <= _T_1239 @[lsu_bus_buffer.scala 287:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1240 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 293:47] + node _T_1241 = or(bus_cmd_sent, _T_1240) @[lsu_bus_buffer.scala 293:33] + node _T_1242 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 293:65] + node _T_1243 = and(_T_1241, _T_1242) @[lsu_bus_buffer.scala 293:63] + node _T_1244 = and(_T_1243, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 293:77] + node obuf_rst = or(_T_1244, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 293:98] + node _T_1245 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1246 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1247 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1248 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1249 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1250 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1252 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1253 = mux(_T_1245, _T_1246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1254 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1255 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = or(_T_1253, _T_1254) @[Mux.scala 27:72] + node _T_1258 = or(_T_1257, _T_1255) @[Mux.scala 27:72] + node _T_1259 = or(_T_1258, _T_1256) @[Mux.scala 27:72] + wire _T_1260 : UInt<1> @[Mux.scala 27:72] + _T_1260 <= _T_1259 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1260) @[lsu_bus_buffer.scala 294:26] + node _T_1261 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1262 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1263 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1264 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1265 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1266 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1268 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1269 = mux(_T_1261, _T_1262, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1270 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1271 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = or(_T_1269, _T_1270) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] + node _T_1275 = or(_T_1274, _T_1272) @[Mux.scala 27:72] + wire _T_1276 : UInt<1> @[Mux.scala 27:72] + _T_1276 <= _T_1275 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1276) @[lsu_bus_buffer.scala 295:31] + node _T_1277 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1279 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1280 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1281 = mux(_T_1277, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1282 = mux(_T_1278, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1283 = mux(_T_1279, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = or(_T_1281, _T_1282) @[Mux.scala 27:72] + node _T_1286 = or(_T_1285, _T_1283) @[Mux.scala 27:72] + node _T_1287 = or(_T_1286, _T_1284) @[Mux.scala 27:72] + wire _T_1288 : UInt<32> @[Mux.scala 27:72] + _T_1288 <= _T_1287 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1288) @[lsu_bus_buffer.scala 296:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 297:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 298:10] + node _T_1289 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1290 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1291 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1292 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1293 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1294 = mux(_T_1290, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1295 = mux(_T_1291, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1296 = mux(_T_1292, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = or(_T_1294, _T_1295) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1296) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1297) @[Mux.scala 27:72] + wire _T_1301 : UInt<2> @[Mux.scala 27:72] + _T_1301 <= _T_1300 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1289, _T_1301) @[lsu_bus_buffer.scala 299:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 302:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 304:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1302 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:39] + node _T_1303 = eq(_T_1302, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:26] + node _T_1304 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 307:68] + node obuf_cmd_done_in = and(_T_1303, _T_1304) @[lsu_bus_buffer.scala 307:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1305 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 310:40] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[lsu_bus_buffer.scala 310:27] + node _T_1307 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 310:70] + node obuf_data_done_in = and(_T_1306, _T_1307) @[lsu_bus_buffer.scala 310:52] + node _T_1308 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 311:67] + node _T_1309 = eq(_T_1308, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:72] + node _T_1310 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 311:92] + node _T_1311 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 311:111] + node _T_1312 = eq(_T_1311, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:98] + node _T_1313 = and(_T_1310, _T_1312) @[lsu_bus_buffer.scala 311:96] + node _T_1314 = or(_T_1309, _T_1313) @[lsu_bus_buffer.scala 311:79] + node _T_1315 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 311:129] + node _T_1316 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 311:147] + node _T_1317 = orr(_T_1316) @[lsu_bus_buffer.scala 311:153] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[lsu_bus_buffer.scala 311:134] + node _T_1319 = and(_T_1315, _T_1318) @[lsu_bus_buffer.scala 311:132] + node _T_1320 = or(_T_1314, _T_1319) @[lsu_bus_buffer.scala 311:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1320) @[lsu_bus_buffer.scala 311:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1321 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:45] + node _T_1322 = and(obuf_wr_en, _T_1321) @[lsu_bus_buffer.scala 319:43] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:30] + node _T_1324 = and(_T_1323, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 319:62] + node _T_1325 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:117] + node _T_1326 = and(bus_rsp_read, _T_1325) @[lsu_bus_buffer.scala 319:97] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:82] + node _T_1328 = and(_T_1324, _T_1327) @[lsu_bus_buffer.scala 319:80] + node _T_1329 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 320:21] + node _T_1330 = and(bus_cmd_sent, _T_1329) @[lsu_bus_buffer.scala 320:19] + node _T_1331 = or(_T_1328, _T_1330) @[lsu_bus_buffer.scala 319:139] + node _T_1332 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 320:37] + node obuf_rdrsp_pend_in = and(_T_1331, _T_1332) @[lsu_bus_buffer.scala 320:35] + node obuf_rdrsp_pend_en = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 321:47] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1333 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:46] + node _T_1334 = and(bus_cmd_sent, _T_1333) @[lsu_bus_buffer.scala 323:44] + node obuf_rdrsp_tag_in = mux(_T_1334, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1335 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 326:34] + node _T_1336 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 326:52] + node _T_1337 = eq(_T_1335, _T_1336) @[lsu_bus_buffer.scala 326:40] + node _T_1338 = and(_T_1337, obuf_aligned_in) @[lsu_bus_buffer.scala 326:60] + node _T_1339 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:80] + node _T_1340 = and(_T_1338, _T_1339) @[lsu_bus_buffer.scala 326:78] + node _T_1341 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:99] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 326:97] + node _T_1343 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:113] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 326:111] + node _T_1345 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 326:130] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 326:128] + node _T_1347 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:20] + node _T_1348 = and(obuf_valid, _T_1347) @[lsu_bus_buffer.scala 327:18] + node _T_1349 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 327:90] + node _T_1350 = and(bus_rsp_read, _T_1349) @[lsu_bus_buffer.scala 327:70] + node _T_1351 = eq(_T_1350, UInt<1>("h00")) @[lsu_bus_buffer.scala 327:55] + node _T_1352 = and(obuf_rdrsp_pend, _T_1351) @[lsu_bus_buffer.scala 327:53] + node _T_1353 = or(_T_1348, _T_1352) @[lsu_bus_buffer.scala 327:34] + node _T_1354 = and(_T_1346, _T_1353) @[lsu_bus_buffer.scala 326:177] + obuf_nosend_in <= _T_1354 @[lsu_bus_buffer.scala 326:18] + node _T_1355 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:60] + node _T_1356 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1357 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1358 = mux(_T_1355, _T_1356, _T_1357) @[lsu_bus_buffer.scala 328:46] + node _T_1359 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1360 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1361 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1362 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1363 = mux(_T_1359, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1364 = mux(_T_1360, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1365 = mux(_T_1361, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = or(_T_1363, _T_1364) @[Mux.scala 27:72] + node _T_1368 = or(_T_1367, _T_1365) @[Mux.scala 27:72] + node _T_1369 = or(_T_1368, _T_1366) @[Mux.scala 27:72] + wire _T_1370 : UInt<32> @[Mux.scala 27:72] + _T_1370 <= _T_1369 @[Mux.scala 27:72] + node _T_1371 = bits(_T_1370, 2, 2) @[lsu_bus_buffer.scala 329:36] + node _T_1372 = bits(_T_1371, 0, 0) @[lsu_bus_buffer.scala 329:46] + node _T_1373 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1374 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1375 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1376 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1377 = mux(_T_1373, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1378 = mux(_T_1374, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1379 = mux(_T_1375, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = or(_T_1377, _T_1378) @[Mux.scala 27:72] + node _T_1382 = or(_T_1381, _T_1379) @[Mux.scala 27:72] + node _T_1383 = or(_T_1382, _T_1380) @[Mux.scala 27:72] + wire _T_1384 : UInt<4> @[Mux.scala 27:72] + _T_1384 <= _T_1383 @[Mux.scala 27:72] + node _T_1385 = cat(_T_1384, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1386 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1387 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1388 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1389 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1390 = mux(_T_1386, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1391 = mux(_T_1387, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1392 = mux(_T_1388, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = or(_T_1390, _T_1391) @[Mux.scala 27:72] + node _T_1395 = or(_T_1394, _T_1392) @[Mux.scala 27:72] + node _T_1396 = or(_T_1395, _T_1393) @[Mux.scala 27:72] + wire _T_1397 : UInt<4> @[Mux.scala 27:72] + _T_1397 <= _T_1396 @[Mux.scala 27:72] + node _T_1398 = cat(UInt<4>("h00"), _T_1397) @[Cat.scala 29:58] + node _T_1399 = mux(_T_1372, _T_1385, _T_1398) @[lsu_bus_buffer.scala 329:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1358, _T_1399) @[lsu_bus_buffer.scala 328:28] + node _T_1400 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:60] + node _T_1401 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1402 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1403 = mux(_T_1400, _T_1401, _T_1402) @[lsu_bus_buffer.scala 330:46] + node _T_1404 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1405 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1406 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1407 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1408 = mux(_T_1404, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = mux(_T_1405, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1410 = mux(_T_1406, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = or(_T_1408, _T_1409) @[Mux.scala 27:72] + node _T_1413 = or(_T_1412, _T_1410) @[Mux.scala 27:72] + node _T_1414 = or(_T_1413, _T_1411) @[Mux.scala 27:72] + wire _T_1415 : UInt<32> @[Mux.scala 27:72] + _T_1415 <= _T_1414 @[Mux.scala 27:72] + node _T_1416 = bits(_T_1415, 2, 2) @[lsu_bus_buffer.scala 331:36] + node _T_1417 = bits(_T_1416, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1418 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1419 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1420 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1421 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1422 = mux(_T_1418, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1423 = mux(_T_1419, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = or(_T_1422, _T_1423) @[Mux.scala 27:72] + node _T_1427 = or(_T_1426, _T_1424) @[Mux.scala 27:72] + node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] + wire _T_1429 : UInt<4> @[Mux.scala 27:72] + _T_1429 <= _T_1428 @[Mux.scala 27:72] + node _T_1430 = cat(_T_1429, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1431 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1432 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1433 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1434 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1435 = mux(_T_1431, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1436 = mux(_T_1432, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1437 = mux(_T_1433, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = or(_T_1435, _T_1436) @[Mux.scala 27:72] + node _T_1440 = or(_T_1439, _T_1437) @[Mux.scala 27:72] + node _T_1441 = or(_T_1440, _T_1438) @[Mux.scala 27:72] + wire _T_1442 : UInt<4> @[Mux.scala 27:72] + _T_1442 <= _T_1441 @[Mux.scala 27:72] + node _T_1443 = cat(UInt<4>("h00"), _T_1442) @[Cat.scala 29:58] + node _T_1444 = mux(_T_1417, _T_1430, _T_1443) @[lsu_bus_buffer.scala 331:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1403, _T_1444) @[lsu_bus_buffer.scala 330:28] + node _T_1445 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 333:58] + node _T_1446 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1447 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1448 = mux(_T_1445, _T_1446, _T_1447) @[lsu_bus_buffer.scala 333:44] + node _T_1449 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1450 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1451 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1452 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1453 = mux(_T_1449, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = mux(_T_1450, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1455 = mux(_T_1451, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = or(_T_1453, _T_1454) @[Mux.scala 27:72] + node _T_1458 = or(_T_1457, _T_1455) @[Mux.scala 27:72] + node _T_1459 = or(_T_1458, _T_1456) @[Mux.scala 27:72] + wire _T_1460 : UInt<32> @[Mux.scala 27:72] + _T_1460 <= _T_1459 @[Mux.scala 27:72] + node _T_1461 = bits(_T_1460, 2, 2) @[lsu_bus_buffer.scala 334:36] + node _T_1462 = bits(_T_1461, 0, 0) @[lsu_bus_buffer.scala 334:46] + node _T_1463 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1464 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1465 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1466 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1467 = mux(_T_1463, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1468 = mux(_T_1464, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1465, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = or(_T_1467, _T_1468) @[Mux.scala 27:72] + node _T_1472 = or(_T_1471, _T_1469) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] + wire _T_1474 : UInt<32> @[Mux.scala 27:72] + _T_1474 <= _T_1473 @[Mux.scala 27:72] + node _T_1475 = cat(_T_1474, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1476 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1477 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1478 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1479 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1480 = mux(_T_1476, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1477, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1478, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = or(_T_1480, _T_1481) @[Mux.scala 27:72] + node _T_1485 = or(_T_1484, _T_1482) @[Mux.scala 27:72] + node _T_1486 = or(_T_1485, _T_1483) @[Mux.scala 27:72] + wire _T_1487 : UInt<32> @[Mux.scala 27:72] + _T_1487 <= _T_1486 @[Mux.scala 27:72] + node _T_1488 = cat(UInt<32>("h00"), _T_1487) @[Cat.scala 29:58] + node _T_1489 = mux(_T_1462, _T_1475, _T_1488) @[lsu_bus_buffer.scala 334:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1448, _T_1489) @[lsu_bus_buffer.scala 333:26] + node _T_1490 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 335:58] + node _T_1491 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1492 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1493 = mux(_T_1490, _T_1491, _T_1492) @[lsu_bus_buffer.scala 335:44] + node _T_1494 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1495 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1496 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1497 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1498 = mux(_T_1494, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1495, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1496, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = or(_T_1498, _T_1499) @[Mux.scala 27:72] + node _T_1503 = or(_T_1502, _T_1500) @[Mux.scala 27:72] + node _T_1504 = or(_T_1503, _T_1501) @[Mux.scala 27:72] + wire _T_1505 : UInt<32> @[Mux.scala 27:72] + _T_1505 <= _T_1504 @[Mux.scala 27:72] + node _T_1506 = bits(_T_1505, 2, 2) @[lsu_bus_buffer.scala 336:36] + node _T_1507 = bits(_T_1506, 0, 0) @[lsu_bus_buffer.scala 336:46] + node _T_1508 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1509 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1510 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1511 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1512 = mux(_T_1508, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1509, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1510, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = or(_T_1512, _T_1513) @[Mux.scala 27:72] + node _T_1517 = or(_T_1516, _T_1514) @[Mux.scala 27:72] + node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] + wire _T_1519 : UInt<32> @[Mux.scala 27:72] + _T_1519 <= _T_1518 @[Mux.scala 27:72] + node _T_1520 = cat(_T_1519, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1521 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1522 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1523 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1524 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1525 = mux(_T_1521, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1522, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = or(_T_1525, _T_1526) @[Mux.scala 27:72] + node _T_1530 = or(_T_1529, _T_1527) @[Mux.scala 27:72] + node _T_1531 = or(_T_1530, _T_1528) @[Mux.scala 27:72] + wire _T_1532 : UInt<32> @[Mux.scala 27:72] + _T_1532 <= _T_1531 @[Mux.scala 27:72] + node _T_1533 = cat(UInt<32>("h00"), _T_1532) @[Cat.scala 29:58] + node _T_1534 = mux(_T_1507, _T_1520, _T_1533) @[lsu_bus_buffer.scala 336:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1493, _T_1534) @[lsu_bus_buffer.scala 335:26] + node _T_1535 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 337:59] + node _T_1536 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 337:97] + node _T_1537 = and(obuf_merge_en, _T_1536) @[lsu_bus_buffer.scala 337:80] + node _T_1538 = or(_T_1535, _T_1537) @[lsu_bus_buffer.scala 337:63] + node _T_1539 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 337:59] + node _T_1540 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 337:97] + node _T_1541 = and(obuf_merge_en, _T_1540) @[lsu_bus_buffer.scala 337:80] + node _T_1542 = or(_T_1539, _T_1541) @[lsu_bus_buffer.scala 337:63] + node _T_1543 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 337:59] + node _T_1544 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 337:97] + node _T_1545 = and(obuf_merge_en, _T_1544) @[lsu_bus_buffer.scala 337:80] + node _T_1546 = or(_T_1543, _T_1545) @[lsu_bus_buffer.scala 337:63] + node _T_1547 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 337:59] + node _T_1548 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 337:97] + node _T_1549 = and(obuf_merge_en, _T_1548) @[lsu_bus_buffer.scala 337:80] + node _T_1550 = or(_T_1547, _T_1549) @[lsu_bus_buffer.scala 337:63] + node _T_1551 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 337:59] + node _T_1552 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 337:97] + node _T_1553 = and(obuf_merge_en, _T_1552) @[lsu_bus_buffer.scala 337:80] + node _T_1554 = or(_T_1551, _T_1553) @[lsu_bus_buffer.scala 337:63] + node _T_1555 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 337:59] + node _T_1556 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 337:97] + node _T_1557 = and(obuf_merge_en, _T_1556) @[lsu_bus_buffer.scala 337:80] + node _T_1558 = or(_T_1555, _T_1557) @[lsu_bus_buffer.scala 337:63] + node _T_1559 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 337:59] + node _T_1560 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 337:97] + node _T_1561 = and(obuf_merge_en, _T_1560) @[lsu_bus_buffer.scala 337:80] + node _T_1562 = or(_T_1559, _T_1561) @[lsu_bus_buffer.scala 337:63] + node _T_1563 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 337:59] + node _T_1564 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 337:97] + node _T_1565 = and(obuf_merge_en, _T_1564) @[lsu_bus_buffer.scala 337:80] + node _T_1566 = or(_T_1563, _T_1565) @[lsu_bus_buffer.scala 337:63] + node _T_1567 = cat(_T_1566, _T_1562) @[Cat.scala 29:58] + node _T_1568 = cat(_T_1567, _T_1558) @[Cat.scala 29:58] + node _T_1569 = cat(_T_1568, _T_1554) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1550) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1546) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1542) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1572, _T_1538) @[Cat.scala 29:58] + node _T_1573 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 338:76] + node _T_1574 = and(obuf_merge_en, _T_1573) @[lsu_bus_buffer.scala 338:59] + node _T_1575 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 338:94] + node _T_1576 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 338:123] + node _T_1577 = mux(_T_1574, _T_1575, _T_1576) @[lsu_bus_buffer.scala 338:44] + node _T_1578 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 338:76] + node _T_1579 = and(obuf_merge_en, _T_1578) @[lsu_bus_buffer.scala 338:59] + node _T_1580 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 338:94] + node _T_1581 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 338:123] + node _T_1582 = mux(_T_1579, _T_1580, _T_1581) @[lsu_bus_buffer.scala 338:44] + node _T_1583 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 338:76] + node _T_1584 = and(obuf_merge_en, _T_1583) @[lsu_bus_buffer.scala 338:59] + node _T_1585 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 338:94] + node _T_1586 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 338:123] + node _T_1587 = mux(_T_1584, _T_1585, _T_1586) @[lsu_bus_buffer.scala 338:44] + node _T_1588 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 338:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[lsu_bus_buffer.scala 338:59] + node _T_1590 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 338:94] + node _T_1591 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 338:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[lsu_bus_buffer.scala 338:44] + node _T_1593 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 338:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[lsu_bus_buffer.scala 338:59] + node _T_1595 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 338:94] + node _T_1596 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 338:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[lsu_bus_buffer.scala 338:44] + node _T_1598 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 338:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[lsu_bus_buffer.scala 338:59] + node _T_1600 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 338:94] + node _T_1601 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 338:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[lsu_bus_buffer.scala 338:44] + node _T_1603 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 338:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[lsu_bus_buffer.scala 338:59] + node _T_1605 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 338:94] + node _T_1606 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 338:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[lsu_bus_buffer.scala 338:44] + node _T_1608 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 338:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[lsu_bus_buffer.scala 338:59] + node _T_1610 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 338:94] + node _T_1611 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 338:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[lsu_bus_buffer.scala 338:44] + node _T_1613 = cat(_T_1612, _T_1607) @[Cat.scala 29:58] + node _T_1614 = cat(_T_1613, _T_1602) @[Cat.scala 29:58] + node _T_1615 = cat(_T_1614, _T_1597) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1592) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1587) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1582) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1618, _T_1577) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 340:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 341:14] + node _T_1619 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 342:30] + node _T_1620 = and(_T_1619, found_cmdptr0) @[lsu_bus_buffer.scala 342:43] + node _T_1621 = and(_T_1620, found_cmdptr1) @[lsu_bus_buffer.scala 342:59] + node _T_1622 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1623 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1624 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1625 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1626 = mux(_T_1622, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1627 = mux(_T_1623, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1628 = mux(_T_1624, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = or(_T_1626, _T_1627) @[Mux.scala 27:72] + node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] + node _T_1632 = or(_T_1631, _T_1629) @[Mux.scala 27:72] + wire _T_1633 : UInt<3> @[Mux.scala 27:72] + _T_1633 <= _T_1632 @[Mux.scala 27:72] + node _T_1634 = eq(_T_1633, UInt<3>("h02")) @[lsu_bus_buffer.scala 342:107] + node _T_1635 = and(_T_1621, _T_1634) @[lsu_bus_buffer.scala 342:75] + node _T_1636 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_1637 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_1638 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_1639 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_1640 = mux(_T_1636, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1641 = mux(_T_1637, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1642 = mux(_T_1638, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = or(_T_1640, _T_1641) @[Mux.scala 27:72] + node _T_1645 = or(_T_1644, _T_1642) @[Mux.scala 27:72] + node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] + wire _T_1647 : UInt<3> @[Mux.scala 27:72] + _T_1647 <= _T_1646 @[Mux.scala 27:72] + node _T_1648 = eq(_T_1647, UInt<3>("h02")) @[lsu_bus_buffer.scala 342:150] + node _T_1649 = and(_T_1635, _T_1648) @[lsu_bus_buffer.scala 342:118] + node _T_1650 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1651 = cat(_T_1650, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1652 = cat(_T_1651, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1653 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1654 = bits(_T_1652, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1655 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1656 = bits(_T_1652, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1657 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1658 = bits(_T_1652, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1660 = bits(_T_1652, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1661 = mux(_T_1653, _T_1654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1662 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1663 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = or(_T_1661, _T_1662) @[Mux.scala 27:72] + node _T_1666 = or(_T_1665, _T_1663) @[Mux.scala 27:72] + node _T_1667 = or(_T_1666, _T_1664) @[Mux.scala 27:72] + wire _T_1668 : UInt<1> @[Mux.scala 27:72] + _T_1668 <= _T_1667 @[Mux.scala 27:72] + node _T_1669 = eq(_T_1668, UInt<1>("h00")) @[lsu_bus_buffer.scala 343:5] + node _T_1670 = and(_T_1649, _T_1669) @[lsu_bus_buffer.scala 342:161] + node _T_1671 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1672 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1673 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1674 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1675 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1676 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1678 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1679 = mux(_T_1671, _T_1672, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1680 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1681 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = or(_T_1679, _T_1680) @[Mux.scala 27:72] + node _T_1684 = or(_T_1683, _T_1681) @[Mux.scala 27:72] + node _T_1685 = or(_T_1684, _T_1682) @[Mux.scala 27:72] + wire _T_1686 : UInt<1> @[Mux.scala 27:72] + _T_1686 <= _T_1685 @[Mux.scala 27:72] + node _T_1687 = eq(_T_1686, UInt<1>("h00")) @[lsu_bus_buffer.scala 343:87] + node _T_1688 = and(_T_1670, _T_1687) @[lsu_bus_buffer.scala 343:85] + node _T_1689 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1690 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1691 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1692 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1693 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1694 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1696 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1697 = mux(_T_1689, _T_1690, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1698 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1699 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = or(_T_1697, _T_1698) @[Mux.scala 27:72] + node _T_1702 = or(_T_1701, _T_1699) @[Mux.scala 27:72] + node _T_1703 = or(_T_1702, _T_1700) @[Mux.scala 27:72] + wire _T_1704 : UInt<1> @[Mux.scala 27:72] + _T_1704 <= _T_1703 @[Mux.scala 27:72] + node _T_1705 = eq(_T_1704, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:6] + node _T_1706 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1707 = cat(_T_1706, buf_dual[1]) @[Cat.scala 29:58] + node _T_1708 = cat(_T_1707, buf_dual[0]) @[Cat.scala 29:58] + node _T_1709 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1710 = bits(_T_1708, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1711 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1712 = bits(_T_1708, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1713 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1714 = bits(_T_1708, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1715 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1716 = bits(_T_1708, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1717 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1720 = mux(_T_1715, _T_1716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1721 = or(_T_1717, _T_1718) @[Mux.scala 27:72] + node _T_1722 = or(_T_1721, _T_1719) @[Mux.scala 27:72] + node _T_1723 = or(_T_1722, _T_1720) @[Mux.scala 27:72] + wire _T_1724 : UInt<1> @[Mux.scala 27:72] + _T_1724 <= _T_1723 @[Mux.scala 27:72] + node _T_1725 = and(_T_1705, _T_1724) @[lsu_bus_buffer.scala 344:36] + node _T_1726 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1727 = cat(_T_1726, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1728 = cat(_T_1727, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1729 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1730 = bits(_T_1728, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1731 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1732 = bits(_T_1728, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1733 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1734 = bits(_T_1728, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1735 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1736 = bits(_T_1728, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1737 = mux(_T_1729, _T_1730, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1738 = mux(_T_1731, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1739 = mux(_T_1733, _T_1734, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1740 = mux(_T_1735, _T_1736, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1741 = or(_T_1737, _T_1738) @[Mux.scala 27:72] + node _T_1742 = or(_T_1741, _T_1739) @[Mux.scala 27:72] + node _T_1743 = or(_T_1742, _T_1740) @[Mux.scala 27:72] + wire _T_1744 : UInt<1> @[Mux.scala 27:72] + _T_1744 <= _T_1743 @[Mux.scala 27:72] + node _T_1745 = eq(_T_1744, UInt<1>("h00")) @[lsu_bus_buffer.scala 344:107] + node _T_1746 = and(_T_1725, _T_1745) @[lsu_bus_buffer.scala 344:105] + node _T_1747 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1748 = cat(_T_1747, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1749 = cat(_T_1748, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1750 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_1751 = bits(_T_1749, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_1752 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_1753 = bits(_T_1749, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_1754 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_1755 = bits(_T_1749, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_1756 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_1757 = bits(_T_1749, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_1758 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1759 = mux(_T_1752, _T_1753, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1760 = mux(_T_1754, _T_1755, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1761 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1762 = or(_T_1758, _T_1759) @[Mux.scala 27:72] + node _T_1763 = or(_T_1762, _T_1760) @[Mux.scala 27:72] + node _T_1764 = or(_T_1763, _T_1761) @[Mux.scala 27:72] + wire _T_1765 : UInt<1> @[Mux.scala 27:72] + _T_1765 <= _T_1764 @[Mux.scala 27:72] + node _T_1766 = and(_T_1746, _T_1765) @[lsu_bus_buffer.scala 344:177] + node _T_1767 = and(_T_1688, _T_1766) @[lsu_bus_buffer.scala 343:122] + node _T_1768 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 345:19] + node _T_1769 = and(_T_1768, io.ldst_dual_r) @[lsu_bus_buffer.scala 345:35] + node _T_1770 = or(_T_1767, _T_1769) @[lsu_bus_buffer.scala 344:250] + obuf_merge_en <= _T_1770 @[lsu_bus_buffer.scala 342:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 347:55] + node _T_1771 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 348:58] + node _T_1772 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 348:93] + node _T_1773 = and(_T_1771, _T_1772) @[lsu_bus_buffer.scala 348:91] + reg _T_1774 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1774 <= _T_1773 @[lsu_bus_buffer.scala 348:54] + obuf_valid <= _T_1774 @[lsu_bus_buffer.scala 348:14] + reg _T_1775 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1775 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1775 @[lsu_bus_buffer.scala 349:15] + reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:54] + _T_1776 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 350:54] + obuf_cmd_done <= _T_1776 @[lsu_bus_buffer.scala 350:17] + reg _T_1777 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] + _T_1777 <= obuf_data_done_in @[lsu_bus_buffer.scala 351:55] + obuf_data_done <= _T_1777 @[lsu_bus_buffer.scala 351:18] + reg _T_1778 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 352:56] + _T_1778 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 352:56] + obuf_rdrsp_pend <= _T_1778 @[lsu_bus_buffer.scala 352:19] + reg _T_1779 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 353:55] + _T_1779 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 353:55] + obuf_rdrsp_tag <= _T_1779 @[lsu_bus_buffer.scala 353:18] + reg _T_1780 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1780 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1780 @[lsu_bus_buffer.scala 354:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1781 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1781 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1781 @[lsu_bus_buffer.scala 357:14] + reg _T_1782 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1782 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1782 @[lsu_bus_buffer.scala 358:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1783 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1783 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1783 @[lsu_bus_buffer.scala 360:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1784 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 363:54] + _T_1784 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 363:54] + obuf_wr_timer <= _T_1784 @[lsu_bus_buffer.scala 363:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1785 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1786 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:30] + node _T_1787 = and(ibuf_valid, _T_1786) @[lsu_bus_buffer.scala 367:19] + node _T_1788 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:18] + node _T_1789 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 368:57] + node _T_1790 = and(io.ldst_dual_r, _T_1789) @[lsu_bus_buffer.scala 368:45] + node _T_1791 = or(_T_1788, _T_1790) @[lsu_bus_buffer.scala 368:27] + node _T_1792 = and(io.lsu_busreq_r, _T_1791) @[lsu_bus_buffer.scala 367:58] + node _T_1793 = or(_T_1787, _T_1792) @[lsu_bus_buffer.scala 367:39] + node _T_1794 = eq(_T_1793, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1795 = and(_T_1785, _T_1794) @[lsu_bus_buffer.scala 366:76] + node _T_1796 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1797 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 367:30] + node _T_1798 = and(ibuf_valid, _T_1797) @[lsu_bus_buffer.scala 367:19] + node _T_1799 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:18] + node _T_1800 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 368:57] + node _T_1801 = and(io.ldst_dual_r, _T_1800) @[lsu_bus_buffer.scala 368:45] + node _T_1802 = or(_T_1799, _T_1801) @[lsu_bus_buffer.scala 368:27] + node _T_1803 = and(io.lsu_busreq_r, _T_1802) @[lsu_bus_buffer.scala 367:58] + node _T_1804 = or(_T_1798, _T_1803) @[lsu_bus_buffer.scala 367:39] + node _T_1805 = eq(_T_1804, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1806 = and(_T_1796, _T_1805) @[lsu_bus_buffer.scala 366:76] + node _T_1807 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1808 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 367:30] + node _T_1809 = and(ibuf_valid, _T_1808) @[lsu_bus_buffer.scala 367:19] + node _T_1810 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:18] + node _T_1811 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 368:57] + node _T_1812 = and(io.ldst_dual_r, _T_1811) @[lsu_bus_buffer.scala 368:45] + node _T_1813 = or(_T_1810, _T_1812) @[lsu_bus_buffer.scala 368:27] + node _T_1814 = and(io.lsu_busreq_r, _T_1813) @[lsu_bus_buffer.scala 367:58] + node _T_1815 = or(_T_1809, _T_1814) @[lsu_bus_buffer.scala 367:39] + node _T_1816 = eq(_T_1815, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1817 = and(_T_1807, _T_1816) @[lsu_bus_buffer.scala 366:76] + node _T_1818 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 366:65] + node _T_1819 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 367:30] + node _T_1820 = and(ibuf_valid, _T_1819) @[lsu_bus_buffer.scala 367:19] + node _T_1821 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:18] + node _T_1822 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 368:57] + node _T_1823 = and(io.ldst_dual_r, _T_1822) @[lsu_bus_buffer.scala 368:45] + node _T_1824 = or(_T_1821, _T_1823) @[lsu_bus_buffer.scala 368:27] + node _T_1825 = and(io.lsu_busreq_r, _T_1824) @[lsu_bus_buffer.scala 367:58] + node _T_1826 = or(_T_1820, _T_1825) @[lsu_bus_buffer.scala 367:39] + node _T_1827 = eq(_T_1826, UInt<1>("h00")) @[lsu_bus_buffer.scala 367:5] + node _T_1828 = and(_T_1818, _T_1827) @[lsu_bus_buffer.scala 366:76] + node _T_1829 = mux(_T_1828, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1830 = mux(_T_1817, UInt<2>("h02"), _T_1829) @[Mux.scala 98:16] + node _T_1831 = mux(_T_1806, UInt<1>("h01"), _T_1830) @[Mux.scala 98:16] + node _T_1832 = mux(_T_1795, UInt<1>("h00"), _T_1831) @[Mux.scala 98:16] + WrPtr0_m <= _T_1832 @[lsu_bus_buffer.scala 366:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1833 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1834 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:103] + node _T_1835 = and(ibuf_valid, _T_1834) @[lsu_bus_buffer.scala 372:92] + node _T_1836 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:33] + node _T_1837 = and(io.lsu_busreq_m, _T_1836) @[lsu_bus_buffer.scala 373:22] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 372:112] + node _T_1839 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 374:36] + node _T_1840 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 375:34] + node _T_1841 = and(io.ldst_dual_r, _T_1840) @[lsu_bus_buffer.scala 375:23] + node _T_1842 = or(_T_1839, _T_1841) @[lsu_bus_buffer.scala 374:46] + node _T_1843 = and(io.lsu_busreq_r, _T_1842) @[lsu_bus_buffer.scala 374:22] + node _T_1844 = or(_T_1838, _T_1843) @[lsu_bus_buffer.scala 373:42] + node _T_1845 = eq(_T_1844, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1846 = and(_T_1833, _T_1845) @[lsu_bus_buffer.scala 372:76] + node _T_1847 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1848 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:103] + node _T_1849 = and(ibuf_valid, _T_1848) @[lsu_bus_buffer.scala 372:92] + node _T_1850 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:33] + node _T_1851 = and(io.lsu_busreq_m, _T_1850) @[lsu_bus_buffer.scala 373:22] + node _T_1852 = or(_T_1849, _T_1851) @[lsu_bus_buffer.scala 372:112] + node _T_1853 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 374:36] + node _T_1854 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 375:34] + node _T_1855 = and(io.ldst_dual_r, _T_1854) @[lsu_bus_buffer.scala 375:23] + node _T_1856 = or(_T_1853, _T_1855) @[lsu_bus_buffer.scala 374:46] + node _T_1857 = and(io.lsu_busreq_r, _T_1856) @[lsu_bus_buffer.scala 374:22] + node _T_1858 = or(_T_1852, _T_1857) @[lsu_bus_buffer.scala 373:42] + node _T_1859 = eq(_T_1858, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1860 = and(_T_1847, _T_1859) @[lsu_bus_buffer.scala 372:76] + node _T_1861 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1862 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:103] + node _T_1863 = and(ibuf_valid, _T_1862) @[lsu_bus_buffer.scala 372:92] + node _T_1864 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:33] + node _T_1865 = and(io.lsu_busreq_m, _T_1864) @[lsu_bus_buffer.scala 373:22] + node _T_1866 = or(_T_1863, _T_1865) @[lsu_bus_buffer.scala 372:112] + node _T_1867 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 374:36] + node _T_1868 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 375:34] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 375:23] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 374:46] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 374:22] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 373:42] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1874 = and(_T_1861, _T_1873) @[lsu_bus_buffer.scala 372:76] + node _T_1875 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 372:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:103] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 372:92] + node _T_1878 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:33] + node _T_1879 = and(io.lsu_busreq_m, _T_1878) @[lsu_bus_buffer.scala 373:22] + node _T_1880 = or(_T_1877, _T_1879) @[lsu_bus_buffer.scala 372:112] + node _T_1881 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 374:36] + node _T_1882 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 375:34] + node _T_1883 = and(io.ldst_dual_r, _T_1882) @[lsu_bus_buffer.scala 375:23] + node _T_1884 = or(_T_1881, _T_1883) @[lsu_bus_buffer.scala 374:46] + node _T_1885 = and(io.lsu_busreq_r, _T_1884) @[lsu_bus_buffer.scala 374:22] + node _T_1886 = or(_T_1880, _T_1885) @[lsu_bus_buffer.scala 373:42] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:78] + node _T_1888 = and(_T_1875, _T_1887) @[lsu_bus_buffer.scala 372:76] + node _T_1889 = mux(_T_1888, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1890 = mux(_T_1874, UInt<2>("h02"), _T_1889) @[Mux.scala 98:16] + node _T_1891 = mux(_T_1860, UInt<1>("h01"), _T_1890) @[Mux.scala 98:16] + node _T_1892 = mux(_T_1846, UInt<1>("h00"), _T_1891) @[Mux.scala 98:16] + WrPtr1_m <= _T_1892 @[lsu_bus_buffer.scala 372:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 377:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 378:11] + node _T_1893 = orr(buf_age[0]) @[lsu_bus_buffer.scala 380:58] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1895 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1896 = and(_T_1894, _T_1895) @[lsu_bus_buffer.scala 380:63] + node _T_1897 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1898 = and(_T_1896, _T_1897) @[lsu_bus_buffer.scala 380:88] + node _T_1899 = orr(buf_age[1]) @[lsu_bus_buffer.scala 380:58] + node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1901 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1902 = and(_T_1900, _T_1901) @[lsu_bus_buffer.scala 380:63] + node _T_1903 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1904 = and(_T_1902, _T_1903) @[lsu_bus_buffer.scala 380:88] + node _T_1905 = orr(buf_age[2]) @[lsu_bus_buffer.scala 380:58] + node _T_1906 = eq(_T_1905, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1907 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1908 = and(_T_1906, _T_1907) @[lsu_bus_buffer.scala 380:63] + node _T_1909 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1910 = and(_T_1908, _T_1909) @[lsu_bus_buffer.scala 380:88] + node _T_1911 = orr(buf_age[3]) @[lsu_bus_buffer.scala 380:58] + node _T_1912 = eq(_T_1911, UInt<1>("h00")) @[lsu_bus_buffer.scala 380:45] + node _T_1913 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 380:78] + node _T_1914 = and(_T_1912, _T_1913) @[lsu_bus_buffer.scala 380:63] + node _T_1915 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 380:90] + node _T_1916 = and(_T_1914, _T_1915) @[lsu_bus_buffer.scala 380:88] + node _T_1917 = cat(_T_1916, _T_1910) @[Cat.scala 29:58] + node _T_1918 = cat(_T_1917, _T_1904) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1918, _T_1898) @[Cat.scala 29:58] + node _T_1919 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1920 = and(buf_age[0], _T_1919) @[lsu_bus_buffer.scala 381:59] + node _T_1921 = orr(_T_1920) @[lsu_bus_buffer.scala 381:76] + node _T_1922 = eq(_T_1921, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1923 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 381:94] + node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1925 = and(_T_1922, _T_1924) @[lsu_bus_buffer.scala 381:81] + node _T_1926 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1927 = and(_T_1925, _T_1926) @[lsu_bus_buffer.scala 381:98] + node _T_1928 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1929 = and(_T_1927, _T_1928) @[lsu_bus_buffer.scala 381:123] + node _T_1930 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1931 = and(buf_age[1], _T_1930) @[lsu_bus_buffer.scala 381:59] + node _T_1932 = orr(_T_1931) @[lsu_bus_buffer.scala 381:76] + node _T_1933 = eq(_T_1932, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1934 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 381:94] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1936 = and(_T_1933, _T_1935) @[lsu_bus_buffer.scala 381:81] + node _T_1937 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1938 = and(_T_1936, _T_1937) @[lsu_bus_buffer.scala 381:98] + node _T_1939 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1940 = and(_T_1938, _T_1939) @[lsu_bus_buffer.scala 381:123] + node _T_1941 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1942 = and(buf_age[2], _T_1941) @[lsu_bus_buffer.scala 381:59] + node _T_1943 = orr(_T_1942) @[lsu_bus_buffer.scala 381:76] + node _T_1944 = eq(_T_1943, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1945 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 381:94] + node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1947 = and(_T_1944, _T_1946) @[lsu_bus_buffer.scala 381:81] + node _T_1948 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1949 = and(_T_1947, _T_1948) @[lsu_bus_buffer.scala 381:98] + node _T_1950 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1951 = and(_T_1949, _T_1950) @[lsu_bus_buffer.scala 381:123] + node _T_1952 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 381:62] + node _T_1953 = and(buf_age[3], _T_1952) @[lsu_bus_buffer.scala 381:59] + node _T_1954 = orr(_T_1953) @[lsu_bus_buffer.scala 381:76] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:45] + node _T_1956 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 381:94] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:83] + node _T_1958 = and(_T_1955, _T_1957) @[lsu_bus_buffer.scala 381:81] + node _T_1959 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 381:113] + node _T_1960 = and(_T_1958, _T_1959) @[lsu_bus_buffer.scala 381:98] + node _T_1961 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 381:125] + node _T_1962 = and(_T_1960, _T_1961) @[lsu_bus_buffer.scala 381:123] + node _T_1963 = cat(_T_1962, _T_1951) @[Cat.scala 29:58] + node _T_1964 = cat(_T_1963, _T_1940) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_1964, _T_1929) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 382:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 383:19] + node _T_1965 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 384:65] + node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1967 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1968 = and(_T_1966, _T_1967) @[lsu_bus_buffer.scala 384:70] + node _T_1969 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 384:65] + node _T_1970 = eq(_T_1969, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1971 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 384:70] + node _T_1973 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 384:65] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1975 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 384:70] + node _T_1977 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 384:65] + node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[lsu_bus_buffer.scala 384:44] + node _T_1979 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 384:85] + node _T_1980 = and(_T_1978, _T_1979) @[lsu_bus_buffer.scala 384:70] + node _T_1981 = cat(_T_1980, _T_1976) @[Cat.scala 29:58] + node _T_1982 = cat(_T_1981, _T_1972) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_1982, _T_1968) @[Cat.scala 29:58] + node _T_1983 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 385:31] + found_cmdptr0 <= _T_1983 @[lsu_bus_buffer.scala 385:17] + node _T_1984 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 386:31] + found_cmdptr1 <= _T_1984 @[lsu_bus_buffer.scala 386:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_1985 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_1986 = cat(_T_1985, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_1987 = bits(_T_1986, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_1988 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_1989 = or(_T_1987, _T_1988) @[lsu_bus_buffer.scala 388:42] + node _T_1990 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_1991 = or(_T_1989, _T_1990) @[lsu_bus_buffer.scala 388:48] + node _T_1992 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_1993 = or(_T_1991, _T_1992) @[lsu_bus_buffer.scala 388:54] + node _T_1994 = bits(_T_1986, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_1995 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_1996 = or(_T_1994, _T_1995) @[lsu_bus_buffer.scala 388:67] + node _T_1997 = bits(_T_1986, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_1998 = or(_T_1996, _T_1997) @[lsu_bus_buffer.scala 388:73] + node _T_1999 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2000 = or(_T_1998, _T_1999) @[lsu_bus_buffer.scala 388:79] + node _T_2001 = bits(_T_1986, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2002 = bits(_T_1986, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2003 = or(_T_2001, _T_2002) @[lsu_bus_buffer.scala 388:92] + node _T_2004 = bits(_T_1986, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2005 = or(_T_2003, _T_2004) @[lsu_bus_buffer.scala 388:98] + node _T_2006 = bits(_T_1986, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2007 = or(_T_2005, _T_2006) @[lsu_bus_buffer.scala 388:104] + node _T_2008 = cat(_T_1993, _T_2000) @[Cat.scala 29:58] + node _T_2009 = cat(_T_2008, _T_2007) @[Cat.scala 29:58] + CmdPtr0 <= _T_2009 @[lsu_bus_buffer.scala 393:11] + node _T_2010 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2011 = cat(_T_2010, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2012 = bits(_T_2011, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2013 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2014 = or(_T_2012, _T_2013) @[lsu_bus_buffer.scala 388:42] + node _T_2015 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2016 = or(_T_2014, _T_2015) @[lsu_bus_buffer.scala 388:48] + node _T_2017 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2018 = or(_T_2016, _T_2017) @[lsu_bus_buffer.scala 388:54] + node _T_2019 = bits(_T_2011, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2020 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2021 = or(_T_2019, _T_2020) @[lsu_bus_buffer.scala 388:67] + node _T_2022 = bits(_T_2011, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2023 = or(_T_2021, _T_2022) @[lsu_bus_buffer.scala 388:73] + node _T_2024 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2025 = or(_T_2023, _T_2024) @[lsu_bus_buffer.scala 388:79] + node _T_2026 = bits(_T_2011, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2027 = bits(_T_2011, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2028 = or(_T_2026, _T_2027) @[lsu_bus_buffer.scala 388:92] + node _T_2029 = bits(_T_2011, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2030 = or(_T_2028, _T_2029) @[lsu_bus_buffer.scala 388:98] + node _T_2031 = bits(_T_2011, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2032 = or(_T_2030, _T_2031) @[lsu_bus_buffer.scala 388:104] + node _T_2033 = cat(_T_2018, _T_2025) @[Cat.scala 29:58] + node _T_2034 = cat(_T_2033, _T_2032) @[Cat.scala 29:58] + CmdPtr1 <= _T_2034 @[lsu_bus_buffer.scala 395:11] + node _T_2035 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2036 = cat(_T_2035, RspPtrDec) @[Cat.scala 29:58] + node _T_2037 = bits(_T_2036, 4, 4) @[lsu_bus_buffer.scala 388:39] + node _T_2038 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:45] + node _T_2039 = or(_T_2037, _T_2038) @[lsu_bus_buffer.scala 388:42] + node _T_2040 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:51] + node _T_2041 = or(_T_2039, _T_2040) @[lsu_bus_buffer.scala 388:48] + node _T_2042 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:57] + node _T_2043 = or(_T_2041, _T_2042) @[lsu_bus_buffer.scala 388:54] + node _T_2044 = bits(_T_2036, 2, 2) @[lsu_bus_buffer.scala 388:64] + node _T_2045 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:70] + node _T_2046 = or(_T_2044, _T_2045) @[lsu_bus_buffer.scala 388:67] + node _T_2047 = bits(_T_2036, 6, 6) @[lsu_bus_buffer.scala 388:76] + node _T_2048 = or(_T_2046, _T_2047) @[lsu_bus_buffer.scala 388:73] + node _T_2049 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:82] + node _T_2050 = or(_T_2048, _T_2049) @[lsu_bus_buffer.scala 388:79] + node _T_2051 = bits(_T_2036, 1, 1) @[lsu_bus_buffer.scala 388:89] + node _T_2052 = bits(_T_2036, 3, 3) @[lsu_bus_buffer.scala 388:95] + node _T_2053 = or(_T_2051, _T_2052) @[lsu_bus_buffer.scala 388:92] + node _T_2054 = bits(_T_2036, 5, 5) @[lsu_bus_buffer.scala 388:101] + node _T_2055 = or(_T_2053, _T_2054) @[lsu_bus_buffer.scala 388:98] + node _T_2056 = bits(_T_2036, 7, 7) @[lsu_bus_buffer.scala 388:107] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 388:104] + node _T_2058 = cat(_T_2043, _T_2050) @[Cat.scala 29:58] + node _T_2059 = cat(_T_2058, _T_2057) @[Cat.scala 29:58] + RspPtr <= _T_2059 @[lsu_bus_buffer.scala 396:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 397:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 399:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 401:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 403:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 405:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 406:14] + node _T_2060 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2061 = and(_T_2060, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2062 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2063 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2064 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2065 = and(_T_2063, _T_2064) @[lsu_bus_buffer.scala 409:57] + node _T_2066 = or(_T_2062, _T_2065) @[lsu_bus_buffer.scala 409:31] + node _T_2067 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2068 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2069 = and(_T_2067, _T_2068) @[lsu_bus_buffer.scala 410:41] + node _T_2070 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2071 = and(_T_2069, _T_2070) @[lsu_bus_buffer.scala 410:71] + node _T_2072 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2073 = and(_T_2071, _T_2072) @[lsu_bus_buffer.scala 410:92] + node _T_2074 = or(_T_2066, _T_2073) @[lsu_bus_buffer.scala 409:86] + node _T_2075 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2076 = and(_T_2075, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2077 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2078 = and(_T_2076, _T_2077) @[lsu_bus_buffer.scala 411:52] + node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2080 = and(_T_2078, _T_2079) @[lsu_bus_buffer.scala 411:73] + node _T_2081 = or(_T_2074, _T_2080) @[lsu_bus_buffer.scala 410:114] + node _T_2082 = and(_T_2061, _T_2081) @[lsu_bus_buffer.scala 408:113] + node _T_2083 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 411:97] + node _T_2085 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2086 = and(_T_2085, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2087 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2088 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2089 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2090 = and(_T_2088, _T_2089) @[lsu_bus_buffer.scala 409:57] + node _T_2091 = or(_T_2087, _T_2090) @[lsu_bus_buffer.scala 409:31] + node _T_2092 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2093 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2094 = and(_T_2092, _T_2093) @[lsu_bus_buffer.scala 410:41] + node _T_2095 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2096 = and(_T_2094, _T_2095) @[lsu_bus_buffer.scala 410:71] + node _T_2097 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2098 = and(_T_2096, _T_2097) @[lsu_bus_buffer.scala 410:92] + node _T_2099 = or(_T_2091, _T_2098) @[lsu_bus_buffer.scala 409:86] + node _T_2100 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2101 = and(_T_2100, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2102 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2103 = and(_T_2101, _T_2102) @[lsu_bus_buffer.scala 411:52] + node _T_2104 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2105 = and(_T_2103, _T_2104) @[lsu_bus_buffer.scala 411:73] + node _T_2106 = or(_T_2099, _T_2105) @[lsu_bus_buffer.scala 410:114] + node _T_2107 = and(_T_2086, _T_2106) @[lsu_bus_buffer.scala 408:113] + node _T_2108 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 411:97] + node _T_2110 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2111 = and(_T_2110, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2112 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2113 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2114 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2115 = and(_T_2113, _T_2114) @[lsu_bus_buffer.scala 409:57] + node _T_2116 = or(_T_2112, _T_2115) @[lsu_bus_buffer.scala 409:31] + node _T_2117 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2118 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2119 = and(_T_2117, _T_2118) @[lsu_bus_buffer.scala 410:41] + node _T_2120 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2121 = and(_T_2119, _T_2120) @[lsu_bus_buffer.scala 410:71] + node _T_2122 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2123 = and(_T_2121, _T_2122) @[lsu_bus_buffer.scala 410:92] + node _T_2124 = or(_T_2116, _T_2123) @[lsu_bus_buffer.scala 409:86] + node _T_2125 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2126 = and(_T_2125, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2127 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2128 = and(_T_2126, _T_2127) @[lsu_bus_buffer.scala 411:52] + node _T_2129 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2130 = and(_T_2128, _T_2129) @[lsu_bus_buffer.scala 411:73] + node _T_2131 = or(_T_2124, _T_2130) @[lsu_bus_buffer.scala 410:114] + node _T_2132 = and(_T_2111, _T_2131) @[lsu_bus_buffer.scala 408:113] + node _T_2133 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2134 = or(_T_2132, _T_2133) @[lsu_bus_buffer.scala 411:97] + node _T_2135 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2136 = and(_T_2135, buf_state_en[0]) @[lsu_bus_buffer.scala 408:94] + node _T_2137 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2138 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2139 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2140 = and(_T_2138, _T_2139) @[lsu_bus_buffer.scala 409:57] + node _T_2141 = or(_T_2137, _T_2140) @[lsu_bus_buffer.scala 409:31] + node _T_2142 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2143 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2144 = and(_T_2142, _T_2143) @[lsu_bus_buffer.scala 410:41] + node _T_2145 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:83] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 410:71] + node _T_2147 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 410:92] + node _T_2149 = or(_T_2141, _T_2148) @[lsu_bus_buffer.scala 409:86] + node _T_2150 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2151 = and(_T_2150, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2152 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:64] + node _T_2153 = and(_T_2151, _T_2152) @[lsu_bus_buffer.scala 411:52] + node _T_2154 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2155 = and(_T_2153, _T_2154) @[lsu_bus_buffer.scala 411:73] + node _T_2156 = or(_T_2149, _T_2155) @[lsu_bus_buffer.scala 410:114] + node _T_2157 = and(_T_2136, _T_2156) @[lsu_bus_buffer.scala 408:113] + node _T_2158 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2159 = or(_T_2157, _T_2158) @[lsu_bus_buffer.scala 411:97] + node _T_2160 = cat(_T_2159, _T_2134) @[Cat.scala 29:58] + node _T_2161 = cat(_T_2160, _T_2109) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2161, _T_2084) @[Cat.scala 29:58] + node _T_2162 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2163 = and(_T_2162, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2164 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2165 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2166 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2167 = and(_T_2165, _T_2166) @[lsu_bus_buffer.scala 409:57] + node _T_2168 = or(_T_2164, _T_2167) @[lsu_bus_buffer.scala 409:31] + node _T_2169 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2170 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 410:41] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 410:71] + node _T_2174 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2175 = and(_T_2173, _T_2174) @[lsu_bus_buffer.scala 410:92] + node _T_2176 = or(_T_2168, _T_2175) @[lsu_bus_buffer.scala 409:86] + node _T_2177 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2178 = and(_T_2177, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2179 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2180 = and(_T_2178, _T_2179) @[lsu_bus_buffer.scala 411:52] + node _T_2181 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2182 = and(_T_2180, _T_2181) @[lsu_bus_buffer.scala 411:73] + node _T_2183 = or(_T_2176, _T_2182) @[lsu_bus_buffer.scala 410:114] + node _T_2184 = and(_T_2163, _T_2183) @[lsu_bus_buffer.scala 408:113] + node _T_2185 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2186 = or(_T_2184, _T_2185) @[lsu_bus_buffer.scala 411:97] + node _T_2187 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2188 = and(_T_2187, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2189 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2190 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2191 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2192 = and(_T_2190, _T_2191) @[lsu_bus_buffer.scala 409:57] + node _T_2193 = or(_T_2189, _T_2192) @[lsu_bus_buffer.scala 409:31] + node _T_2194 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2195 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 410:41] + node _T_2197 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 410:71] + node _T_2199 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2200 = and(_T_2198, _T_2199) @[lsu_bus_buffer.scala 410:92] + node _T_2201 = or(_T_2193, _T_2200) @[lsu_bus_buffer.scala 409:86] + node _T_2202 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2203 = and(_T_2202, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2204 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2205 = and(_T_2203, _T_2204) @[lsu_bus_buffer.scala 411:52] + node _T_2206 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2207 = and(_T_2205, _T_2206) @[lsu_bus_buffer.scala 411:73] + node _T_2208 = or(_T_2201, _T_2207) @[lsu_bus_buffer.scala 410:114] + node _T_2209 = and(_T_2188, _T_2208) @[lsu_bus_buffer.scala 408:113] + node _T_2210 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2211 = or(_T_2209, _T_2210) @[lsu_bus_buffer.scala 411:97] + node _T_2212 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2213 = and(_T_2212, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2214 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2215 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2216 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2217 = and(_T_2215, _T_2216) @[lsu_bus_buffer.scala 409:57] + node _T_2218 = or(_T_2214, _T_2217) @[lsu_bus_buffer.scala 409:31] + node _T_2219 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2220 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 410:41] + node _T_2222 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 410:71] + node _T_2224 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2225 = and(_T_2223, _T_2224) @[lsu_bus_buffer.scala 410:92] + node _T_2226 = or(_T_2218, _T_2225) @[lsu_bus_buffer.scala 409:86] + node _T_2227 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2228 = and(_T_2227, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2229 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2230 = and(_T_2228, _T_2229) @[lsu_bus_buffer.scala 411:52] + node _T_2231 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2232 = and(_T_2230, _T_2231) @[lsu_bus_buffer.scala 411:73] + node _T_2233 = or(_T_2226, _T_2232) @[lsu_bus_buffer.scala 410:114] + node _T_2234 = and(_T_2213, _T_2233) @[lsu_bus_buffer.scala 408:113] + node _T_2235 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2236 = or(_T_2234, _T_2235) @[lsu_bus_buffer.scala 411:97] + node _T_2237 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2238 = and(_T_2237, buf_state_en[1]) @[lsu_bus_buffer.scala 408:94] + node _T_2239 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2240 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2241 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2242 = and(_T_2240, _T_2241) @[lsu_bus_buffer.scala 409:57] + node _T_2243 = or(_T_2239, _T_2242) @[lsu_bus_buffer.scala 409:31] + node _T_2244 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2245 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2246 = and(_T_2244, _T_2245) @[lsu_bus_buffer.scala 410:41] + node _T_2247 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:83] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 410:71] + node _T_2249 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 410:92] + node _T_2251 = or(_T_2243, _T_2250) @[lsu_bus_buffer.scala 409:86] + node _T_2252 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2253 = and(_T_2252, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2254 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:64] + node _T_2255 = and(_T_2253, _T_2254) @[lsu_bus_buffer.scala 411:52] + node _T_2256 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2257 = and(_T_2255, _T_2256) @[lsu_bus_buffer.scala 411:73] + node _T_2258 = or(_T_2251, _T_2257) @[lsu_bus_buffer.scala 410:114] + node _T_2259 = and(_T_2238, _T_2258) @[lsu_bus_buffer.scala 408:113] + node _T_2260 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2261 = or(_T_2259, _T_2260) @[lsu_bus_buffer.scala 411:97] + node _T_2262 = cat(_T_2261, _T_2236) @[Cat.scala 29:58] + node _T_2263 = cat(_T_2262, _T_2211) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2263, _T_2186) @[Cat.scala 29:58] + node _T_2264 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2265 = and(_T_2264, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2266 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2267 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2268 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2269 = and(_T_2267, _T_2268) @[lsu_bus_buffer.scala 409:57] + node _T_2270 = or(_T_2266, _T_2269) @[lsu_bus_buffer.scala 409:31] + node _T_2271 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2272 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 410:41] + node _T_2274 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 410:71] + node _T_2276 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2277 = and(_T_2275, _T_2276) @[lsu_bus_buffer.scala 410:92] + node _T_2278 = or(_T_2270, _T_2277) @[lsu_bus_buffer.scala 409:86] + node _T_2279 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2280 = and(_T_2279, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2281 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2282 = and(_T_2280, _T_2281) @[lsu_bus_buffer.scala 411:52] + node _T_2283 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2284 = and(_T_2282, _T_2283) @[lsu_bus_buffer.scala 411:73] + node _T_2285 = or(_T_2278, _T_2284) @[lsu_bus_buffer.scala 410:114] + node _T_2286 = and(_T_2265, _T_2285) @[lsu_bus_buffer.scala 408:113] + node _T_2287 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2288 = or(_T_2286, _T_2287) @[lsu_bus_buffer.scala 411:97] + node _T_2289 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2290 = and(_T_2289, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2291 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2292 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2293 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2294 = and(_T_2292, _T_2293) @[lsu_bus_buffer.scala 409:57] + node _T_2295 = or(_T_2291, _T_2294) @[lsu_bus_buffer.scala 409:31] + node _T_2296 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2297 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 410:41] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 410:71] + node _T_2301 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2302 = and(_T_2300, _T_2301) @[lsu_bus_buffer.scala 410:92] + node _T_2303 = or(_T_2295, _T_2302) @[lsu_bus_buffer.scala 409:86] + node _T_2304 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2305 = and(_T_2304, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2306 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2307 = and(_T_2305, _T_2306) @[lsu_bus_buffer.scala 411:52] + node _T_2308 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2309 = and(_T_2307, _T_2308) @[lsu_bus_buffer.scala 411:73] + node _T_2310 = or(_T_2303, _T_2309) @[lsu_bus_buffer.scala 410:114] + node _T_2311 = and(_T_2290, _T_2310) @[lsu_bus_buffer.scala 408:113] + node _T_2312 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2313 = or(_T_2311, _T_2312) @[lsu_bus_buffer.scala 411:97] + node _T_2314 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2315 = and(_T_2314, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2316 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2317 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2318 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2319 = and(_T_2317, _T_2318) @[lsu_bus_buffer.scala 409:57] + node _T_2320 = or(_T_2316, _T_2319) @[lsu_bus_buffer.scala 409:31] + node _T_2321 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2322 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 410:41] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 410:71] + node _T_2326 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2327 = and(_T_2325, _T_2326) @[lsu_bus_buffer.scala 410:92] + node _T_2328 = or(_T_2320, _T_2327) @[lsu_bus_buffer.scala 409:86] + node _T_2329 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2330 = and(_T_2329, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2331 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2332 = and(_T_2330, _T_2331) @[lsu_bus_buffer.scala 411:52] + node _T_2333 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2334 = and(_T_2332, _T_2333) @[lsu_bus_buffer.scala 411:73] + node _T_2335 = or(_T_2328, _T_2334) @[lsu_bus_buffer.scala 410:114] + node _T_2336 = and(_T_2315, _T_2335) @[lsu_bus_buffer.scala 408:113] + node _T_2337 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2338 = or(_T_2336, _T_2337) @[lsu_bus_buffer.scala 411:97] + node _T_2339 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2340 = and(_T_2339, buf_state_en[2]) @[lsu_bus_buffer.scala 408:94] + node _T_2341 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2342 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2343 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2344 = and(_T_2342, _T_2343) @[lsu_bus_buffer.scala 409:57] + node _T_2345 = or(_T_2341, _T_2344) @[lsu_bus_buffer.scala 409:31] + node _T_2346 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2347 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2348 = and(_T_2346, _T_2347) @[lsu_bus_buffer.scala 410:41] + node _T_2349 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:83] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 410:71] + node _T_2351 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 410:92] + node _T_2353 = or(_T_2345, _T_2352) @[lsu_bus_buffer.scala 409:86] + node _T_2354 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2355 = and(_T_2354, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:64] + node _T_2357 = and(_T_2355, _T_2356) @[lsu_bus_buffer.scala 411:52] + node _T_2358 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2359 = and(_T_2357, _T_2358) @[lsu_bus_buffer.scala 411:73] + node _T_2360 = or(_T_2353, _T_2359) @[lsu_bus_buffer.scala 410:114] + node _T_2361 = and(_T_2340, _T_2360) @[lsu_bus_buffer.scala 408:113] + node _T_2362 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2363 = or(_T_2361, _T_2362) @[lsu_bus_buffer.scala 411:97] + node _T_2364 = cat(_T_2363, _T_2338) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2364, _T_2313) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2365, _T_2288) @[Cat.scala 29:58] + node _T_2366 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2367 = and(_T_2366, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2368 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2369 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2370 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2371 = and(_T_2369, _T_2370) @[lsu_bus_buffer.scala 409:57] + node _T_2372 = or(_T_2368, _T_2371) @[lsu_bus_buffer.scala 409:31] + node _T_2373 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2374 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 410:41] + node _T_2376 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 410:71] + node _T_2378 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 410:104] + node _T_2379 = and(_T_2377, _T_2378) @[lsu_bus_buffer.scala 410:92] + node _T_2380 = or(_T_2372, _T_2379) @[lsu_bus_buffer.scala 409:86] + node _T_2381 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2382 = and(_T_2381, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2383 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2384 = and(_T_2382, _T_2383) @[lsu_bus_buffer.scala 411:52] + node _T_2385 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:85] + node _T_2386 = and(_T_2384, _T_2385) @[lsu_bus_buffer.scala 411:73] + node _T_2387 = or(_T_2380, _T_2386) @[lsu_bus_buffer.scala 410:114] + node _T_2388 = and(_T_2367, _T_2387) @[lsu_bus_buffer.scala 408:113] + node _T_2389 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 411:109] + node _T_2390 = or(_T_2388, _T_2389) @[lsu_bus_buffer.scala 411:97] + node _T_2391 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2392 = and(_T_2391, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2393 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2394 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2395 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2396 = and(_T_2394, _T_2395) @[lsu_bus_buffer.scala 409:57] + node _T_2397 = or(_T_2393, _T_2396) @[lsu_bus_buffer.scala 409:31] + node _T_2398 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2399 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 410:41] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 410:71] + node _T_2403 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 410:104] + node _T_2404 = and(_T_2402, _T_2403) @[lsu_bus_buffer.scala 410:92] + node _T_2405 = or(_T_2397, _T_2404) @[lsu_bus_buffer.scala 409:86] + node _T_2406 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2407 = and(_T_2406, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2408 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2409 = and(_T_2407, _T_2408) @[lsu_bus_buffer.scala 411:52] + node _T_2410 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 411:85] + node _T_2411 = and(_T_2409, _T_2410) @[lsu_bus_buffer.scala 411:73] + node _T_2412 = or(_T_2405, _T_2411) @[lsu_bus_buffer.scala 410:114] + node _T_2413 = and(_T_2392, _T_2412) @[lsu_bus_buffer.scala 408:113] + node _T_2414 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 411:109] + node _T_2415 = or(_T_2413, _T_2414) @[lsu_bus_buffer.scala 411:97] + node _T_2416 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2417 = and(_T_2416, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2418 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2419 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2420 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2421 = and(_T_2419, _T_2420) @[lsu_bus_buffer.scala 409:57] + node _T_2422 = or(_T_2418, _T_2421) @[lsu_bus_buffer.scala 409:31] + node _T_2423 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2424 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 410:41] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 410:71] + node _T_2428 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 410:104] + node _T_2429 = and(_T_2427, _T_2428) @[lsu_bus_buffer.scala 410:92] + node _T_2430 = or(_T_2422, _T_2429) @[lsu_bus_buffer.scala 409:86] + node _T_2431 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2432 = and(_T_2431, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2433 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2434 = and(_T_2432, _T_2433) @[lsu_bus_buffer.scala 411:52] + node _T_2435 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 411:85] + node _T_2436 = and(_T_2434, _T_2435) @[lsu_bus_buffer.scala 411:73] + node _T_2437 = or(_T_2430, _T_2436) @[lsu_bus_buffer.scala 410:114] + node _T_2438 = and(_T_2417, _T_2437) @[lsu_bus_buffer.scala 408:113] + node _T_2439 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 411:109] + node _T_2440 = or(_T_2438, _T_2439) @[lsu_bus_buffer.scala 411:97] + node _T_2441 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2442 = and(_T_2441, buf_state_en[3]) @[lsu_bus_buffer.scala 408:94] + node _T_2443 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 409:20] + node _T_2444 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 409:47] + node _T_2445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 409:59] + node _T_2446 = and(_T_2444, _T_2445) @[lsu_bus_buffer.scala 409:57] + node _T_2447 = or(_T_2443, _T_2446) @[lsu_bus_buffer.scala 409:31] + node _T_2448 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 410:23] + node _T_2449 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 410:53] + node _T_2450 = and(_T_2448, _T_2449) @[lsu_bus_buffer.scala 410:41] + node _T_2451 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:83] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 410:71] + node _T_2453 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 410:104] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 410:92] + node _T_2455 = or(_T_2447, _T_2454) @[lsu_bus_buffer.scala 409:86] + node _T_2456 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 411:17] + node _T_2457 = and(_T_2456, io.ldst_dual_r) @[lsu_bus_buffer.scala 411:35] + node _T_2458 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:64] + node _T_2459 = and(_T_2457, _T_2458) @[lsu_bus_buffer.scala 411:52] + node _T_2460 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 411:85] + node _T_2461 = and(_T_2459, _T_2460) @[lsu_bus_buffer.scala 411:73] + node _T_2462 = or(_T_2455, _T_2461) @[lsu_bus_buffer.scala 410:114] + node _T_2463 = and(_T_2442, _T_2462) @[lsu_bus_buffer.scala 408:113] + node _T_2464 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 411:109] + node _T_2465 = or(_T_2463, _T_2464) @[lsu_bus_buffer.scala 411:97] + node _T_2466 = cat(_T_2465, _T_2440) @[Cat.scala 29:58] + node _T_2467 = cat(_T_2466, _T_2415) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2467, _T_2390) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 412:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 413:12] + node _T_2468 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2469 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2470 = and(_T_2469, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2471 = eq(_T_2470, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2472 = and(_T_2468, _T_2471) @[lsu_bus_buffer.scala 414:76] + node _T_2473 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2474 = and(_T_2472, _T_2473) @[lsu_bus_buffer.scala 414:138] + node _T_2475 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2476 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2477 = and(_T_2476, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2478 = eq(_T_2477, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2479 = and(_T_2475, _T_2478) @[lsu_bus_buffer.scala 414:76] + node _T_2480 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2481 = and(_T_2479, _T_2480) @[lsu_bus_buffer.scala 414:138] + node _T_2482 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2483 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2484 = and(_T_2483, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2485 = eq(_T_2484, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2486 = and(_T_2482, _T_2485) @[lsu_bus_buffer.scala 414:76] + node _T_2487 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2488 = and(_T_2486, _T_2487) @[lsu_bus_buffer.scala 414:138] + node _T_2489 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2490 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2491 = and(_T_2490, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2493 = and(_T_2489, _T_2492) @[lsu_bus_buffer.scala 414:76] + node _T_2494 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 414:138] + node _T_2496 = cat(_T_2495, _T_2488) @[Cat.scala 29:58] + node _T_2497 = cat(_T_2496, _T_2481) @[Cat.scala 29:58] + node _T_2498 = cat(_T_2497, _T_2474) @[Cat.scala 29:58] + node _T_2499 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2502 = eq(_T_2501, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2503 = and(_T_2499, _T_2502) @[lsu_bus_buffer.scala 414:76] + node _T_2504 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2505 = and(_T_2503, _T_2504) @[lsu_bus_buffer.scala 414:138] + node _T_2506 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2507 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2508 = and(_T_2507, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2509 = eq(_T_2508, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2510 = and(_T_2506, _T_2509) @[lsu_bus_buffer.scala 414:76] + node _T_2511 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2512 = and(_T_2510, _T_2511) @[lsu_bus_buffer.scala 414:138] + node _T_2513 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2514 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2515 = and(_T_2514, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2517 = and(_T_2513, _T_2516) @[lsu_bus_buffer.scala 414:76] + node _T_2518 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2519 = and(_T_2517, _T_2518) @[lsu_bus_buffer.scala 414:138] + node _T_2520 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2521 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2522 = and(_T_2521, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2523 = eq(_T_2522, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2524 = and(_T_2520, _T_2523) @[lsu_bus_buffer.scala 414:76] + node _T_2525 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2526 = and(_T_2524, _T_2525) @[lsu_bus_buffer.scala 414:138] + node _T_2527 = cat(_T_2526, _T_2519) @[Cat.scala 29:58] + node _T_2528 = cat(_T_2527, _T_2512) @[Cat.scala 29:58] + node _T_2529 = cat(_T_2528, _T_2505) @[Cat.scala 29:58] + node _T_2530 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2531 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2533 = eq(_T_2532, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2534 = and(_T_2530, _T_2533) @[lsu_bus_buffer.scala 414:76] + node _T_2535 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2536 = and(_T_2534, _T_2535) @[lsu_bus_buffer.scala 414:138] + node _T_2537 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2538 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2539 = and(_T_2538, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2541 = and(_T_2537, _T_2540) @[lsu_bus_buffer.scala 414:76] + node _T_2542 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2543 = and(_T_2541, _T_2542) @[lsu_bus_buffer.scala 414:138] + node _T_2544 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2545 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2547 = eq(_T_2546, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2548 = and(_T_2544, _T_2547) @[lsu_bus_buffer.scala 414:76] + node _T_2549 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2550 = and(_T_2548, _T_2549) @[lsu_bus_buffer.scala 414:138] + node _T_2551 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 414:76] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2557 = and(_T_2555, _T_2556) @[lsu_bus_buffer.scala 414:138] + node _T_2558 = cat(_T_2557, _T_2550) @[Cat.scala 29:58] + node _T_2559 = cat(_T_2558, _T_2543) @[Cat.scala 29:58] + node _T_2560 = cat(_T_2559, _T_2536) @[Cat.scala 29:58] + node _T_2561 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:72] + node _T_2562 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 414:103] + node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2565 = and(_T_2561, _T_2564) @[lsu_bus_buffer.scala 414:76] + node _T_2566 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2567 = and(_T_2565, _T_2566) @[lsu_bus_buffer.scala 414:138] + node _T_2568 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:72] + node _T_2569 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2570 = and(_T_2569, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 414:103] + node _T_2571 = eq(_T_2570, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2572 = and(_T_2568, _T_2571) @[lsu_bus_buffer.scala 414:76] + node _T_2573 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2574 = and(_T_2572, _T_2573) @[lsu_bus_buffer.scala 414:138] + node _T_2575 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:72] + node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 414:103] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2579 = and(_T_2575, _T_2578) @[lsu_bus_buffer.scala 414:76] + node _T_2580 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2581 = and(_T_2579, _T_2580) @[lsu_bus_buffer.scala 414:138] + node _T_2582 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:72] + node _T_2583 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 414:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 414:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 414:76] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 414:140] + node _T_2588 = and(_T_2586, _T_2587) @[lsu_bus_buffer.scala 414:138] + node _T_2589 = cat(_T_2588, _T_2581) @[Cat.scala 29:58] + node _T_2590 = cat(_T_2589, _T_2574) @[Cat.scala 29:58] + node _T_2591 = cat(_T_2590, _T_2567) @[Cat.scala 29:58] + buf_age[0] <= _T_2498 @[lsu_bus_buffer.scala 414:11] + buf_age[1] <= _T_2529 @[lsu_bus_buffer.scala 414:11] + buf_age[2] <= _T_2560 @[lsu_bus_buffer.scala 414:11] + buf_age[3] <= _T_2591 @[lsu_bus_buffer.scala 414:11] + node _T_2592 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2593 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2594 = eq(_T_2593, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2595 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2596 = and(_T_2594, _T_2595) @[lsu_bus_buffer.scala 415:104] + node _T_2597 = mux(_T_2592, UInt<1>("h00"), _T_2596) @[lsu_bus_buffer.scala 415:72] + node _T_2598 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2599 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2601 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2602 = and(_T_2600, _T_2601) @[lsu_bus_buffer.scala 415:104] + node _T_2603 = mux(_T_2598, UInt<1>("h00"), _T_2602) @[lsu_bus_buffer.scala 415:72] + node _T_2604 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2605 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2607 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2608 = and(_T_2606, _T_2607) @[lsu_bus_buffer.scala 415:104] + node _T_2609 = mux(_T_2604, UInt<1>("h00"), _T_2608) @[lsu_bus_buffer.scala 415:72] + node _T_2610 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2611 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2613 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2614 = and(_T_2612, _T_2613) @[lsu_bus_buffer.scala 415:104] + node _T_2615 = mux(_T_2610, UInt<1>("h00"), _T_2614) @[lsu_bus_buffer.scala 415:72] + node _T_2616 = cat(_T_2615, _T_2609) @[Cat.scala 29:58] + node _T_2617 = cat(_T_2616, _T_2603) @[Cat.scala 29:58] + node _T_2618 = cat(_T_2617, _T_2597) @[Cat.scala 29:58] + node _T_2619 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2620 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2622 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2623 = and(_T_2621, _T_2622) @[lsu_bus_buffer.scala 415:104] + node _T_2624 = mux(_T_2619, UInt<1>("h00"), _T_2623) @[lsu_bus_buffer.scala 415:72] + node _T_2625 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2626 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2627 = eq(_T_2626, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2628 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2629 = and(_T_2627, _T_2628) @[lsu_bus_buffer.scala 415:104] + node _T_2630 = mux(_T_2625, UInt<1>("h00"), _T_2629) @[lsu_bus_buffer.scala 415:72] + node _T_2631 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2632 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2634 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2635 = and(_T_2633, _T_2634) @[lsu_bus_buffer.scala 415:104] + node _T_2636 = mux(_T_2631, UInt<1>("h00"), _T_2635) @[lsu_bus_buffer.scala 415:72] + node _T_2637 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2638 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2640 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2641 = and(_T_2639, _T_2640) @[lsu_bus_buffer.scala 415:104] + node _T_2642 = mux(_T_2637, UInt<1>("h00"), _T_2641) @[lsu_bus_buffer.scala 415:72] + node _T_2643 = cat(_T_2642, _T_2636) @[Cat.scala 29:58] + node _T_2644 = cat(_T_2643, _T_2630) @[Cat.scala 29:58] + node _T_2645 = cat(_T_2644, _T_2624) @[Cat.scala 29:58] + node _T_2646 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2647 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2649 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 415:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 415:72] + node _T_2652 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2653 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2655 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2656 = and(_T_2654, _T_2655) @[lsu_bus_buffer.scala 415:104] + node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[lsu_bus_buffer.scala 415:72] + node _T_2658 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2659 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2661 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2662 = and(_T_2660, _T_2661) @[lsu_bus_buffer.scala 415:104] + node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[lsu_bus_buffer.scala 415:72] + node _T_2664 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2665 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2666 = eq(_T_2665, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2667 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2668 = and(_T_2666, _T_2667) @[lsu_bus_buffer.scala 415:104] + node _T_2669 = mux(_T_2664, UInt<1>("h00"), _T_2668) @[lsu_bus_buffer.scala 415:72] + node _T_2670 = cat(_T_2669, _T_2663) @[Cat.scala 29:58] + node _T_2671 = cat(_T_2670, _T_2657) @[Cat.scala 29:58] + node _T_2672 = cat(_T_2671, _T_2651) @[Cat.scala 29:58] + node _T_2673 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 415:76] + node _T_2674 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 415:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2676 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 415:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 415:72] + node _T_2679 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 415:76] + node _T_2680 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 415:100] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2682 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2683 = and(_T_2681, _T_2682) @[lsu_bus_buffer.scala 415:104] + node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[lsu_bus_buffer.scala 415:72] + node _T_2685 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 415:76] + node _T_2686 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 415:100] + node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2688 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2689 = and(_T_2687, _T_2688) @[lsu_bus_buffer.scala 415:104] + node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[lsu_bus_buffer.scala 415:72] + node _T_2691 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 415:76] + node _T_2692 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 415:100] + node _T_2693 = eq(_T_2692, UInt<1>("h00")) @[lsu_bus_buffer.scala 415:89] + node _T_2694 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:119] + node _T_2695 = and(_T_2693, _T_2694) @[lsu_bus_buffer.scala 415:104] + node _T_2696 = mux(_T_2691, UInt<1>("h00"), _T_2695) @[lsu_bus_buffer.scala 415:72] + node _T_2697 = cat(_T_2696, _T_2690) @[Cat.scala 29:58] + node _T_2698 = cat(_T_2697, _T_2684) @[Cat.scala 29:58] + node _T_2699 = cat(_T_2698, _T_2678) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2618 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[1] <= _T_2645 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[2] <= _T_2672 @[lsu_bus_buffer.scala 415:19] + buf_age_younger[3] <= _T_2699 @[lsu_bus_buffer.scala 415:19] + node _T_2700 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2701 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2702 = and(_T_2700, _T_2701) @[lsu_bus_buffer.scala 416:87] + node _T_2703 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2704 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2705 = and(_T_2703, _T_2704) @[lsu_bus_buffer.scala 416:87] + node _T_2706 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2707 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2708 = and(_T_2706, _T_2707) @[lsu_bus_buffer.scala 416:87] + node _T_2709 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2710 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2711 = and(_T_2709, _T_2710) @[lsu_bus_buffer.scala 416:87] + node _T_2712 = cat(_T_2711, _T_2708) @[Cat.scala 29:58] + node _T_2713 = cat(_T_2712, _T_2705) @[Cat.scala 29:58] + node _T_2714 = cat(_T_2713, _T_2702) @[Cat.scala 29:58] + node _T_2715 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2716 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2717 = and(_T_2715, _T_2716) @[lsu_bus_buffer.scala 416:87] + node _T_2718 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2719 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2720 = and(_T_2718, _T_2719) @[lsu_bus_buffer.scala 416:87] + node _T_2721 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2722 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2723 = and(_T_2721, _T_2722) @[lsu_bus_buffer.scala 416:87] + node _T_2724 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2725 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2726 = and(_T_2724, _T_2725) @[lsu_bus_buffer.scala 416:87] + node _T_2727 = cat(_T_2726, _T_2723) @[Cat.scala 29:58] + node _T_2728 = cat(_T_2727, _T_2720) @[Cat.scala 29:58] + node _T_2729 = cat(_T_2728, _T_2717) @[Cat.scala 29:58] + node _T_2730 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2731 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2732 = and(_T_2730, _T_2731) @[lsu_bus_buffer.scala 416:87] + node _T_2733 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2734 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2735 = and(_T_2733, _T_2734) @[lsu_bus_buffer.scala 416:87] + node _T_2736 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2737 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 416:87] + node _T_2739 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2740 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 416:87] + node _T_2742 = cat(_T_2741, _T_2738) @[Cat.scala 29:58] + node _T_2743 = cat(_T_2742, _T_2735) @[Cat.scala 29:58] + node _T_2744 = cat(_T_2743, _T_2732) @[Cat.scala 29:58] + node _T_2745 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 416:83] + node _T_2746 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 416:87] + node _T_2748 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 416:83] + node _T_2749 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2750 = and(_T_2748, _T_2749) @[lsu_bus_buffer.scala 416:87] + node _T_2751 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 416:83] + node _T_2752 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 416:87] + node _T_2754 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 416:83] + node _T_2755 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 416:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 416:87] + node _T_2757 = cat(_T_2756, _T_2753) @[Cat.scala 29:58] + node _T_2758 = cat(_T_2757, _T_2750) @[Cat.scala 29:58] + node _T_2759 = cat(_T_2758, _T_2747) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2714 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[1] <= _T_2729 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[2] <= _T_2744 @[lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[3] <= _T_2759 @[lsu_bus_buffer.scala 416:19] + node _T_2760 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2761 = and(_T_2760, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2762 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2763 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2764 = or(_T_2762, _T_2763) @[lsu_bus_buffer.scala 419:32] + node _T_2765 = eq(_T_2764, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2766 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2767 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 420:41] + node _T_2769 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2770 = and(_T_2768, _T_2769) @[lsu_bus_buffer.scala 420:71] + node _T_2771 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2772 = and(_T_2770, _T_2771) @[lsu_bus_buffer.scala 420:90] + node _T_2773 = or(_T_2765, _T_2772) @[lsu_bus_buffer.scala 419:59] + node _T_2774 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2775 = and(_T_2774, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2776 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 421:52] + node _T_2778 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2779 = and(_T_2777, _T_2778) @[lsu_bus_buffer.scala 421:71] + node _T_2780 = or(_T_2773, _T_2779) @[lsu_bus_buffer.scala 420:110] + node _T_2781 = and(_T_2761, _T_2780) @[lsu_bus_buffer.scala 418:112] + node _T_2782 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2783 = and(_T_2782, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2784 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2785 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2786 = or(_T_2784, _T_2785) @[lsu_bus_buffer.scala 419:32] + node _T_2787 = eq(_T_2786, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2788 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2789 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2790 = and(_T_2788, _T_2789) @[lsu_bus_buffer.scala 420:41] + node _T_2791 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 420:71] + node _T_2793 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2794 = and(_T_2792, _T_2793) @[lsu_bus_buffer.scala 420:90] + node _T_2795 = or(_T_2787, _T_2794) @[lsu_bus_buffer.scala 419:59] + node _T_2796 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2797 = and(_T_2796, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2798 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2799 = and(_T_2797, _T_2798) @[lsu_bus_buffer.scala 421:52] + node _T_2800 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2801 = and(_T_2799, _T_2800) @[lsu_bus_buffer.scala 421:71] + node _T_2802 = or(_T_2795, _T_2801) @[lsu_bus_buffer.scala 420:110] + node _T_2803 = and(_T_2783, _T_2802) @[lsu_bus_buffer.scala 418:112] + node _T_2804 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2805 = and(_T_2804, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2806 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2807 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2808 = or(_T_2806, _T_2807) @[lsu_bus_buffer.scala 419:32] + node _T_2809 = eq(_T_2808, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2810 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2811 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2812 = and(_T_2810, _T_2811) @[lsu_bus_buffer.scala 420:41] + node _T_2813 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2814 = and(_T_2812, _T_2813) @[lsu_bus_buffer.scala 420:71] + node _T_2815 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2816 = and(_T_2814, _T_2815) @[lsu_bus_buffer.scala 420:90] + node _T_2817 = or(_T_2809, _T_2816) @[lsu_bus_buffer.scala 419:59] + node _T_2818 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2819 = and(_T_2818, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2820 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2821 = and(_T_2819, _T_2820) @[lsu_bus_buffer.scala 421:52] + node _T_2822 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2823 = and(_T_2821, _T_2822) @[lsu_bus_buffer.scala 421:71] + node _T_2824 = or(_T_2817, _T_2823) @[lsu_bus_buffer.scala 420:110] + node _T_2825 = and(_T_2805, _T_2824) @[lsu_bus_buffer.scala 418:112] + node _T_2826 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2827 = and(_T_2826, buf_state_en[0]) @[lsu_bus_buffer.scala 418:93] + node _T_2828 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2829 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2830 = or(_T_2828, _T_2829) @[lsu_bus_buffer.scala 419:32] + node _T_2831 = eq(_T_2830, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2832 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2833 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2834 = and(_T_2832, _T_2833) @[lsu_bus_buffer.scala 420:41] + node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:82] + node _T_2836 = and(_T_2834, _T_2835) @[lsu_bus_buffer.scala 420:71] + node _T_2837 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2838 = and(_T_2836, _T_2837) @[lsu_bus_buffer.scala 420:90] + node _T_2839 = or(_T_2831, _T_2838) @[lsu_bus_buffer.scala 419:59] + node _T_2840 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2841 = and(_T_2840, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2842 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:63] + node _T_2843 = and(_T_2841, _T_2842) @[lsu_bus_buffer.scala 421:52] + node _T_2844 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2845 = and(_T_2843, _T_2844) @[lsu_bus_buffer.scala 421:71] + node _T_2846 = or(_T_2839, _T_2845) @[lsu_bus_buffer.scala 420:110] + node _T_2847 = and(_T_2827, _T_2846) @[lsu_bus_buffer.scala 418:112] + node _T_2848 = cat(_T_2847, _T_2825) @[Cat.scala 29:58] + node _T_2849 = cat(_T_2848, _T_2803) @[Cat.scala 29:58] + node _T_2850 = cat(_T_2849, _T_2781) @[Cat.scala 29:58] + node _T_2851 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2852 = and(_T_2851, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2854 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2855 = or(_T_2853, _T_2854) @[lsu_bus_buffer.scala 419:32] + node _T_2856 = eq(_T_2855, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2857 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2858 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 420:41] + node _T_2860 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2861 = and(_T_2859, _T_2860) @[lsu_bus_buffer.scala 420:71] + node _T_2862 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2863 = and(_T_2861, _T_2862) @[lsu_bus_buffer.scala 420:90] + node _T_2864 = or(_T_2856, _T_2863) @[lsu_bus_buffer.scala 419:59] + node _T_2865 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2866 = and(_T_2865, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2867 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2868 = and(_T_2866, _T_2867) @[lsu_bus_buffer.scala 421:52] + node _T_2869 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 421:71] + node _T_2871 = or(_T_2864, _T_2870) @[lsu_bus_buffer.scala 420:110] + node _T_2872 = and(_T_2852, _T_2871) @[lsu_bus_buffer.scala 418:112] + node _T_2873 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2874 = and(_T_2873, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2876 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2877 = or(_T_2875, _T_2876) @[lsu_bus_buffer.scala 419:32] + node _T_2878 = eq(_T_2877, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2879 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2880 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 420:41] + node _T_2882 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2883 = and(_T_2881, _T_2882) @[lsu_bus_buffer.scala 420:71] + node _T_2884 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2885 = and(_T_2883, _T_2884) @[lsu_bus_buffer.scala 420:90] + node _T_2886 = or(_T_2878, _T_2885) @[lsu_bus_buffer.scala 419:59] + node _T_2887 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2888 = and(_T_2887, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2889 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2890 = and(_T_2888, _T_2889) @[lsu_bus_buffer.scala 421:52] + node _T_2891 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2892 = and(_T_2890, _T_2891) @[lsu_bus_buffer.scala 421:71] + node _T_2893 = or(_T_2886, _T_2892) @[lsu_bus_buffer.scala 420:110] + node _T_2894 = and(_T_2874, _T_2893) @[lsu_bus_buffer.scala 418:112] + node _T_2895 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2896 = and(_T_2895, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2897 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2898 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2899 = or(_T_2897, _T_2898) @[lsu_bus_buffer.scala 419:32] + node _T_2900 = eq(_T_2899, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2901 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2902 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2903 = and(_T_2901, _T_2902) @[lsu_bus_buffer.scala 420:41] + node _T_2904 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2905 = and(_T_2903, _T_2904) @[lsu_bus_buffer.scala 420:71] + node _T_2906 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2907 = and(_T_2905, _T_2906) @[lsu_bus_buffer.scala 420:90] + node _T_2908 = or(_T_2900, _T_2907) @[lsu_bus_buffer.scala 419:59] + node _T_2909 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2910 = and(_T_2909, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2911 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2912 = and(_T_2910, _T_2911) @[lsu_bus_buffer.scala 421:52] + node _T_2913 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_2914 = and(_T_2912, _T_2913) @[lsu_bus_buffer.scala 421:71] + node _T_2915 = or(_T_2908, _T_2914) @[lsu_bus_buffer.scala 420:110] + node _T_2916 = and(_T_2896, _T_2915) @[lsu_bus_buffer.scala 418:112] + node _T_2917 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2918 = and(_T_2917, buf_state_en[1]) @[lsu_bus_buffer.scala 418:93] + node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2920 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2921 = or(_T_2919, _T_2920) @[lsu_bus_buffer.scala 419:32] + node _T_2922 = eq(_T_2921, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2923 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2924 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2925 = and(_T_2923, _T_2924) @[lsu_bus_buffer.scala 420:41] + node _T_2926 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:82] + node _T_2927 = and(_T_2925, _T_2926) @[lsu_bus_buffer.scala 420:71] + node _T_2928 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_2929 = and(_T_2927, _T_2928) @[lsu_bus_buffer.scala 420:90] + node _T_2930 = or(_T_2922, _T_2929) @[lsu_bus_buffer.scala 419:59] + node _T_2931 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2932 = and(_T_2931, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2933 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:63] + node _T_2934 = and(_T_2932, _T_2933) @[lsu_bus_buffer.scala 421:52] + node _T_2935 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_2936 = and(_T_2934, _T_2935) @[lsu_bus_buffer.scala 421:71] + node _T_2937 = or(_T_2930, _T_2936) @[lsu_bus_buffer.scala 420:110] + node _T_2938 = and(_T_2918, _T_2937) @[lsu_bus_buffer.scala 418:112] + node _T_2939 = cat(_T_2938, _T_2916) @[Cat.scala 29:58] + node _T_2940 = cat(_T_2939, _T_2894) @[Cat.scala 29:58] + node _T_2941 = cat(_T_2940, _T_2872) @[Cat.scala 29:58] + node _T_2942 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2943 = and(_T_2942, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2944 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2945 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2946 = or(_T_2944, _T_2945) @[lsu_bus_buffer.scala 419:32] + node _T_2947 = eq(_T_2946, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2948 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2949 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 420:41] + node _T_2951 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2952 = and(_T_2950, _T_2951) @[lsu_bus_buffer.scala 420:71] + node _T_2953 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_2954 = and(_T_2952, _T_2953) @[lsu_bus_buffer.scala 420:90] + node _T_2955 = or(_T_2947, _T_2954) @[lsu_bus_buffer.scala 419:59] + node _T_2956 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2957 = and(_T_2956, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2958 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2959 = and(_T_2957, _T_2958) @[lsu_bus_buffer.scala 421:52] + node _T_2960 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 421:71] + node _T_2962 = or(_T_2955, _T_2961) @[lsu_bus_buffer.scala 420:110] + node _T_2963 = and(_T_2943, _T_2962) @[lsu_bus_buffer.scala 418:112] + node _T_2964 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2965 = and(_T_2964, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2966 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2967 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2968 = or(_T_2966, _T_2967) @[lsu_bus_buffer.scala 419:32] + node _T_2969 = eq(_T_2968, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2970 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2971 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 420:41] + node _T_2973 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2974 = and(_T_2972, _T_2973) @[lsu_bus_buffer.scala 420:71] + node _T_2975 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_2976 = and(_T_2974, _T_2975) @[lsu_bus_buffer.scala 420:90] + node _T_2977 = or(_T_2969, _T_2976) @[lsu_bus_buffer.scala 419:59] + node _T_2978 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_2979 = and(_T_2978, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_2980 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_2981 = and(_T_2979, _T_2980) @[lsu_bus_buffer.scala 421:52] + node _T_2982 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_2983 = and(_T_2981, _T_2982) @[lsu_bus_buffer.scala 421:71] + node _T_2984 = or(_T_2977, _T_2983) @[lsu_bus_buffer.scala 420:110] + node _T_2985 = and(_T_2965, _T_2984) @[lsu_bus_buffer.scala 418:112] + node _T_2986 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2987 = and(_T_2986, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_2989 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_2990 = or(_T_2988, _T_2989) @[lsu_bus_buffer.scala 419:32] + node _T_2991 = eq(_T_2990, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_2992 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_2993 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_2994 = and(_T_2992, _T_2993) @[lsu_bus_buffer.scala 420:41] + node _T_2995 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_2996 = and(_T_2994, _T_2995) @[lsu_bus_buffer.scala 420:71] + node _T_2997 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_2998 = and(_T_2996, _T_2997) @[lsu_bus_buffer.scala 420:90] + node _T_2999 = or(_T_2991, _T_2998) @[lsu_bus_buffer.scala 419:59] + node _T_3000 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3001 = and(_T_3000, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3002 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3003 = and(_T_3001, _T_3002) @[lsu_bus_buffer.scala 421:52] + node _T_3004 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3005 = and(_T_3003, _T_3004) @[lsu_bus_buffer.scala 421:71] + node _T_3006 = or(_T_2999, _T_3005) @[lsu_bus_buffer.scala 420:110] + node _T_3007 = and(_T_2987, _T_3006) @[lsu_bus_buffer.scala 418:112] + node _T_3008 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3009 = and(_T_3008, buf_state_en[2]) @[lsu_bus_buffer.scala 418:93] + node _T_3010 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3011 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3012 = or(_T_3010, _T_3011) @[lsu_bus_buffer.scala 419:32] + node _T_3013 = eq(_T_3012, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3014 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3015 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3016 = and(_T_3014, _T_3015) @[lsu_bus_buffer.scala 420:41] + node _T_3017 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:82] + node _T_3018 = and(_T_3016, _T_3017) @[lsu_bus_buffer.scala 420:71] + node _T_3019 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3020 = and(_T_3018, _T_3019) @[lsu_bus_buffer.scala 420:90] + node _T_3021 = or(_T_3013, _T_3020) @[lsu_bus_buffer.scala 419:59] + node _T_3022 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3023 = and(_T_3022, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3024 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:63] + node _T_3025 = and(_T_3023, _T_3024) @[lsu_bus_buffer.scala 421:52] + node _T_3026 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3027 = and(_T_3025, _T_3026) @[lsu_bus_buffer.scala 421:71] + node _T_3028 = or(_T_3021, _T_3027) @[lsu_bus_buffer.scala 420:110] + node _T_3029 = and(_T_3009, _T_3028) @[lsu_bus_buffer.scala 418:112] + node _T_3030 = cat(_T_3029, _T_3007) @[Cat.scala 29:58] + node _T_3031 = cat(_T_3030, _T_2985) @[Cat.scala 29:58] + node _T_3032 = cat(_T_3031, _T_2963) @[Cat.scala 29:58] + node _T_3033 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3034 = and(_T_3033, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3035 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3036 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3037 = or(_T_3035, _T_3036) @[lsu_bus_buffer.scala 419:32] + node _T_3038 = eq(_T_3037, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3039 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3040 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 420:41] + node _T_3042 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3043 = and(_T_3041, _T_3042) @[lsu_bus_buffer.scala 420:71] + node _T_3044 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:101] + node _T_3045 = and(_T_3043, _T_3044) @[lsu_bus_buffer.scala 420:90] + node _T_3046 = or(_T_3038, _T_3045) @[lsu_bus_buffer.scala 419:59] + node _T_3047 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3048 = and(_T_3047, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3049 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3050 = and(_T_3048, _T_3049) @[lsu_bus_buffer.scala 421:52] + node _T_3051 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:82] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 421:71] + node _T_3053 = or(_T_3046, _T_3052) @[lsu_bus_buffer.scala 420:110] + node _T_3054 = and(_T_3034, _T_3053) @[lsu_bus_buffer.scala 418:112] + node _T_3055 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3056 = and(_T_3055, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3057 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3058 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3059 = or(_T_3057, _T_3058) @[lsu_bus_buffer.scala 419:32] + node _T_3060 = eq(_T_3059, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3061 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3062 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 420:41] + node _T_3064 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3065 = and(_T_3063, _T_3064) @[lsu_bus_buffer.scala 420:71] + node _T_3066 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 420:101] + node _T_3067 = and(_T_3065, _T_3066) @[lsu_bus_buffer.scala 420:90] + node _T_3068 = or(_T_3060, _T_3067) @[lsu_bus_buffer.scala 419:59] + node _T_3069 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3070 = and(_T_3069, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3071 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3072 = and(_T_3070, _T_3071) @[lsu_bus_buffer.scala 421:52] + node _T_3073 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 421:82] + node _T_3074 = and(_T_3072, _T_3073) @[lsu_bus_buffer.scala 421:71] + node _T_3075 = or(_T_3068, _T_3074) @[lsu_bus_buffer.scala 420:110] + node _T_3076 = and(_T_3056, _T_3075) @[lsu_bus_buffer.scala 418:112] + node _T_3077 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3078 = and(_T_3077, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3079 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3080 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3081 = or(_T_3079, _T_3080) @[lsu_bus_buffer.scala 419:32] + node _T_3082 = eq(_T_3081, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3083 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3084 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3085 = and(_T_3083, _T_3084) @[lsu_bus_buffer.scala 420:41] + node _T_3086 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3087 = and(_T_3085, _T_3086) @[lsu_bus_buffer.scala 420:71] + node _T_3088 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 420:101] + node _T_3089 = and(_T_3087, _T_3088) @[lsu_bus_buffer.scala 420:90] + node _T_3090 = or(_T_3082, _T_3089) @[lsu_bus_buffer.scala 419:59] + node _T_3091 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3092 = and(_T_3091, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3093 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3094 = and(_T_3092, _T_3093) @[lsu_bus_buffer.scala 421:52] + node _T_3095 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 421:82] + node _T_3096 = and(_T_3094, _T_3095) @[lsu_bus_buffer.scala 421:71] + node _T_3097 = or(_T_3090, _T_3096) @[lsu_bus_buffer.scala 420:110] + node _T_3098 = and(_T_3078, _T_3097) @[lsu_bus_buffer.scala 418:112] + node _T_3099 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3100 = and(_T_3099, buf_state_en[3]) @[lsu_bus_buffer.scala 418:93] + node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 419:21] + node _T_3102 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 419:47] + node _T_3103 = or(_T_3101, _T_3102) @[lsu_bus_buffer.scala 419:32] + node _T_3104 = eq(_T_3103, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:6] + node _T_3105 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 420:23] + node _T_3106 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 420:53] + node _T_3107 = and(_T_3105, _T_3106) @[lsu_bus_buffer.scala 420:41] + node _T_3108 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:82] + node _T_3109 = and(_T_3107, _T_3108) @[lsu_bus_buffer.scala 420:71] + node _T_3110 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 420:101] + node _T_3111 = and(_T_3109, _T_3110) @[lsu_bus_buffer.scala 420:90] + node _T_3112 = or(_T_3104, _T_3111) @[lsu_bus_buffer.scala 419:59] + node _T_3113 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 421:17] + node _T_3114 = and(_T_3113, io.ldst_dual_r) @[lsu_bus_buffer.scala 421:35] + node _T_3115 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:63] + node _T_3116 = and(_T_3114, _T_3115) @[lsu_bus_buffer.scala 421:52] + node _T_3117 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 421:82] + node _T_3118 = and(_T_3116, _T_3117) @[lsu_bus_buffer.scala 421:71] + node _T_3119 = or(_T_3112, _T_3118) @[lsu_bus_buffer.scala 420:110] + node _T_3120 = and(_T_3100, _T_3119) @[lsu_bus_buffer.scala 418:112] + node _T_3121 = cat(_T_3120, _T_3098) @[Cat.scala 29:58] + node _T_3122 = cat(_T_3121, _T_3076) @[Cat.scala 29:58] + node _T_3123 = cat(_T_3122, _T_3054) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2850 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[1] <= _T_2941 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[2] <= _T_3032 @[lsu_bus_buffer.scala 418:18] + buf_rspage_set[3] <= _T_3123 @[lsu_bus_buffer.scala 418:18] + node _T_3124 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3125 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3126 = or(_T_3124, _T_3125) @[lsu_bus_buffer.scala 422:88] + node _T_3127 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3128 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3129 = or(_T_3127, _T_3128) @[lsu_bus_buffer.scala 422:88] + node _T_3130 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3131 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3132 = or(_T_3130, _T_3131) @[lsu_bus_buffer.scala 422:88] + node _T_3133 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3134 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3135 = or(_T_3133, _T_3134) @[lsu_bus_buffer.scala 422:88] + node _T_3136 = cat(_T_3135, _T_3132) @[Cat.scala 29:58] + node _T_3137 = cat(_T_3136, _T_3129) @[Cat.scala 29:58] + node _T_3138 = cat(_T_3137, _T_3126) @[Cat.scala 29:58] + node _T_3139 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3140 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3141 = or(_T_3139, _T_3140) @[lsu_bus_buffer.scala 422:88] + node _T_3142 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3143 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3144 = or(_T_3142, _T_3143) @[lsu_bus_buffer.scala 422:88] + node _T_3145 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3146 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3147 = or(_T_3145, _T_3146) @[lsu_bus_buffer.scala 422:88] + node _T_3148 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3149 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3150 = or(_T_3148, _T_3149) @[lsu_bus_buffer.scala 422:88] + node _T_3151 = cat(_T_3150, _T_3147) @[Cat.scala 29:58] + node _T_3152 = cat(_T_3151, _T_3144) @[Cat.scala 29:58] + node _T_3153 = cat(_T_3152, _T_3141) @[Cat.scala 29:58] + node _T_3154 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3155 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3156 = or(_T_3154, _T_3155) @[lsu_bus_buffer.scala 422:88] + node _T_3157 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3158 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3159 = or(_T_3157, _T_3158) @[lsu_bus_buffer.scala 422:88] + node _T_3160 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3161 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 422:88] + node _T_3163 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3164 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 422:88] + node _T_3166 = cat(_T_3165, _T_3162) @[Cat.scala 29:58] + node _T_3167 = cat(_T_3166, _T_3159) @[Cat.scala 29:58] + node _T_3168 = cat(_T_3167, _T_3156) @[Cat.scala 29:58] + node _T_3169 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 422:84] + node _T_3170 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 422:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 422:88] + node _T_3172 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 422:84] + node _T_3173 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 422:103] + node _T_3174 = or(_T_3172, _T_3173) @[lsu_bus_buffer.scala 422:88] + node _T_3175 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 422:84] + node _T_3176 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 422:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 422:88] + node _T_3178 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 422:84] + node _T_3179 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 422:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 422:88] + node _T_3181 = cat(_T_3180, _T_3177) @[Cat.scala 29:58] + node _T_3182 = cat(_T_3181, _T_3174) @[Cat.scala 29:58] + node _T_3183 = cat(_T_3182, _T_3171) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3138 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[1] <= _T_3153 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[2] <= _T_3168 @[lsu_bus_buffer.scala 422:17] + buf_rspage_in[3] <= _T_3183 @[lsu_bus_buffer.scala 422:17] + node _T_3184 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3185 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3186 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3187 = or(_T_3185, _T_3186) @[lsu_bus_buffer.scala 423:110] + node _T_3188 = eq(_T_3187, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3189 = and(_T_3184, _T_3188) @[lsu_bus_buffer.scala 423:82] + node _T_3190 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3191 = and(_T_3189, _T_3190) @[lsu_bus_buffer.scala 423:145] + node _T_3192 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3193 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3194 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 423:110] + node _T_3196 = eq(_T_3195, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3197 = and(_T_3192, _T_3196) @[lsu_bus_buffer.scala 423:82] + node _T_3198 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3199 = and(_T_3197, _T_3198) @[lsu_bus_buffer.scala 423:145] + node _T_3200 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3201 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3202 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3203 = or(_T_3201, _T_3202) @[lsu_bus_buffer.scala 423:110] + node _T_3204 = eq(_T_3203, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3205 = and(_T_3200, _T_3204) @[lsu_bus_buffer.scala 423:82] + node _T_3206 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3207 = and(_T_3205, _T_3206) @[lsu_bus_buffer.scala 423:145] + node _T_3208 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3209 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3210 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3211 = or(_T_3209, _T_3210) @[lsu_bus_buffer.scala 423:110] + node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3213 = and(_T_3208, _T_3212) @[lsu_bus_buffer.scala 423:82] + node _T_3214 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3215 = and(_T_3213, _T_3214) @[lsu_bus_buffer.scala 423:145] + node _T_3216 = cat(_T_3215, _T_3207) @[Cat.scala 29:58] + node _T_3217 = cat(_T_3216, _T_3199) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3191) @[Cat.scala 29:58] + node _T_3219 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3220 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3221 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3222 = or(_T_3220, _T_3221) @[lsu_bus_buffer.scala 423:110] + node _T_3223 = eq(_T_3222, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3224 = and(_T_3219, _T_3223) @[lsu_bus_buffer.scala 423:82] + node _T_3225 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3226 = and(_T_3224, _T_3225) @[lsu_bus_buffer.scala 423:145] + node _T_3227 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3228 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3229 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3230 = or(_T_3228, _T_3229) @[lsu_bus_buffer.scala 423:110] + node _T_3231 = eq(_T_3230, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3232 = and(_T_3227, _T_3231) @[lsu_bus_buffer.scala 423:82] + node _T_3233 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3234 = and(_T_3232, _T_3233) @[lsu_bus_buffer.scala 423:145] + node _T_3235 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3236 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3237 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3238 = or(_T_3236, _T_3237) @[lsu_bus_buffer.scala 423:110] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3240 = and(_T_3235, _T_3239) @[lsu_bus_buffer.scala 423:82] + node _T_3241 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3242 = and(_T_3240, _T_3241) @[lsu_bus_buffer.scala 423:145] + node _T_3243 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3244 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3245 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3246 = or(_T_3244, _T_3245) @[lsu_bus_buffer.scala 423:110] + node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3248 = and(_T_3243, _T_3247) @[lsu_bus_buffer.scala 423:82] + node _T_3249 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3250 = and(_T_3248, _T_3249) @[lsu_bus_buffer.scala 423:145] + node _T_3251 = cat(_T_3250, _T_3242) @[Cat.scala 29:58] + node _T_3252 = cat(_T_3251, _T_3234) @[Cat.scala 29:58] + node _T_3253 = cat(_T_3252, _T_3226) @[Cat.scala 29:58] + node _T_3254 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3255 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3256 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3257 = or(_T_3255, _T_3256) @[lsu_bus_buffer.scala 423:110] + node _T_3258 = eq(_T_3257, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3259 = and(_T_3254, _T_3258) @[lsu_bus_buffer.scala 423:82] + node _T_3260 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3261 = and(_T_3259, _T_3260) @[lsu_bus_buffer.scala 423:145] + node _T_3262 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3263 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3264 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3265 = or(_T_3263, _T_3264) @[lsu_bus_buffer.scala 423:110] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3267 = and(_T_3262, _T_3266) @[lsu_bus_buffer.scala 423:82] + node _T_3268 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3269 = and(_T_3267, _T_3268) @[lsu_bus_buffer.scala 423:145] + node _T_3270 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3271 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3272 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3273 = or(_T_3271, _T_3272) @[lsu_bus_buffer.scala 423:110] + node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3275 = and(_T_3270, _T_3274) @[lsu_bus_buffer.scala 423:82] + node _T_3276 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3277 = and(_T_3275, _T_3276) @[lsu_bus_buffer.scala 423:145] + node _T_3278 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3279 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3280 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3281 = or(_T_3279, _T_3280) @[lsu_bus_buffer.scala 423:110] + node _T_3282 = eq(_T_3281, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3283 = and(_T_3278, _T_3282) @[lsu_bus_buffer.scala 423:82] + node _T_3284 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3285 = and(_T_3283, _T_3284) @[lsu_bus_buffer.scala 423:145] + node _T_3286 = cat(_T_3285, _T_3277) @[Cat.scala 29:58] + node _T_3287 = cat(_T_3286, _T_3269) @[Cat.scala 29:58] + node _T_3288 = cat(_T_3287, _T_3261) @[Cat.scala 29:58] + node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 423:78] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3292 = or(_T_3290, _T_3291) @[lsu_bus_buffer.scala 423:110] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3294 = and(_T_3289, _T_3293) @[lsu_bus_buffer.scala 423:82] + node _T_3295 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3296 = and(_T_3294, _T_3295) @[lsu_bus_buffer.scala 423:145] + node _T_3297 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 423:78] + node _T_3298 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3299 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3300 = or(_T_3298, _T_3299) @[lsu_bus_buffer.scala 423:110] + node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3302 = and(_T_3297, _T_3301) @[lsu_bus_buffer.scala 423:82] + node _T_3303 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3304 = and(_T_3302, _T_3303) @[lsu_bus_buffer.scala 423:145] + node _T_3305 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 423:78] + node _T_3306 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3307 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3308 = or(_T_3306, _T_3307) @[lsu_bus_buffer.scala 423:110] + node _T_3309 = eq(_T_3308, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3310 = and(_T_3305, _T_3309) @[lsu_bus_buffer.scala 423:82] + node _T_3311 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3312 = and(_T_3310, _T_3311) @[lsu_bus_buffer.scala 423:145] + node _T_3313 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 423:78] + node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 423:99] + node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 423:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 423:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 423:82] + node _T_3319 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 423:147] + node _T_3320 = and(_T_3318, _T_3319) @[lsu_bus_buffer.scala 423:145] + node _T_3321 = cat(_T_3320, _T_3312) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3304) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3296) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3218 @[lsu_bus_buffer.scala 423:14] + buf_rspage[1] <= _T_3253 @[lsu_bus_buffer.scala 423:14] + buf_rspage[2] <= _T_3288 @[lsu_bus_buffer.scala 423:14] + buf_rspage[3] <= _T_3323 @[lsu_bus_buffer.scala 423:14] + node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:75] + node _T_3325 = and(ibuf_drain_vld, _T_3324) @[lsu_bus_buffer.scala 428:63] + node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:75] + node _T_3327 = and(ibuf_drain_vld, _T_3326) @[lsu_bus_buffer.scala 428:63] + node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 428:63] + node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 428:63] + node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] + node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] + node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3334 @[lsu_bus_buffer.scala 428:21] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:64] + node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 430:46] + node _T_3339 = and(_T_3337, _T_3338) @[lsu_bus_buffer.scala 430:35] + node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[lsu_bus_buffer.scala 430:8] + node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[lsu_bus_buffer.scala 429:46] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:64] + node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 430:46] + node _T_3348 = and(_T_3346, _T_3347) @[lsu_bus_buffer.scala 430:35] + node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[lsu_bus_buffer.scala 430:8] + node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[lsu_bus_buffer.scala 429:46] + node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:64] + node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 430:46] + node _T_3357 = and(_T_3355, _T_3356) @[lsu_bus_buffer.scala 430:35] + node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[lsu_bus_buffer.scala 430:8] + node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[lsu_bus_buffer.scala 429:46] + node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:64] + node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 429:84] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:18] + node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 430:46] + node _T_3366 = and(_T_3364, _T_3365) @[lsu_bus_buffer.scala 430:35] + node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 430:71] + node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 430:94] + node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[lsu_bus_buffer.scala 430:8] + node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[lsu_bus_buffer.scala 429:46] + buf_byteen_in[0] <= _T_3343 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[1] <= _T_3352 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[2] <= _T_3361 @[lsu_bus_buffer.scala 429:17] + buf_byteen_in[3] <= _T_3370 @[lsu_bus_buffer.scala 429:17] + node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:62] + node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 431:119] + node _T_3374 = and(_T_3372, _T_3373) @[lsu_bus_buffer.scala 431:108] + node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[lsu_bus_buffer.scala 431:44] + node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:62] + node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 431:119] + node _T_3380 = and(_T_3378, _T_3379) @[lsu_bus_buffer.scala 431:108] + node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[lsu_bus_buffer.scala 431:44] + node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:62] + node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 431:119] + node _T_3386 = and(_T_3384, _T_3385) @[lsu_bus_buffer.scala 431:108] + node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[lsu_bus_buffer.scala 431:44] + node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:62] + node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 431:91] + node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 431:119] + node _T_3392 = and(_T_3390, _T_3391) @[lsu_bus_buffer.scala 431:108] + node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 431:81] + node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[lsu_bus_buffer.scala 431:44] + buf_addr_in[0] <= _T_3376 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[1] <= _T_3382 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[2] <= _T_3388 @[lsu_bus_buffer.scala 431:15] + buf_addr_in[3] <= _T_3394 @[lsu_bus_buffer.scala 431:15] + node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:63] + node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:63] + node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:45] + node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] + node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] + node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] + buf_dual_in <= _T_3405 @[lsu_bus_buffer.scala 432:15] + node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 433:47] + node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] + node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] + node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] + buf_samedw_in <= _T_3416 @[lsu_bus_buffer.scala 433:17] + node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:66] + node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:66] + node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:66] + node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:66] + node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 434:84] + node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[lsu_bus_buffer.scala 434:48] + node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] + node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3431 @[lsu_bus_buffer.scala 434:18] + node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 435:118] + node _T_3435 = and(_T_3433, _T_3434) @[lsu_bus_buffer.scala 435:107] + node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[lsu_bus_buffer.scala 435:47] + node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 435:118] + node _T_3440 = and(_T_3438, _T_3439) @[lsu_bus_buffer.scala 435:107] + node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[lsu_bus_buffer.scala 435:47] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 435:118] + node _T_3445 = and(_T_3443, _T_3444) @[lsu_bus_buffer.scala 435:107] + node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[lsu_bus_buffer.scala 435:47] + node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 435:90] + node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 435:118] + node _T_3450 = and(_T_3448, _T_3449) @[lsu_bus_buffer.scala 435:107] + node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[lsu_bus_buffer.scala 435:47] + node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3454 @[lsu_bus_buffer.scala 435:17] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 436:125] + node _T_3458 = and(_T_3456, _T_3457) @[lsu_bus_buffer.scala 436:114] + node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[lsu_bus_buffer.scala 436:47] + node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 436:125] + node _T_3464 = and(_T_3462, _T_3463) @[lsu_bus_buffer.scala 436:114] + node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[lsu_bus_buffer.scala 436:47] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 436:125] + node _T_3470 = and(_T_3468, _T_3469) @[lsu_bus_buffer.scala 436:114] + node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[lsu_bus_buffer.scala 436:47] + node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 436:97] + node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 436:125] + node _T_3476 = and(_T_3474, _T_3475) @[lsu_bus_buffer.scala 436:114] + node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 436:87] + node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[lsu_bus_buffer.scala 436:47] + buf_dualtag_in[0] <= _T_3460 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[1] <= _T_3466 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[2] <= _T_3472 @[lsu_bus_buffer.scala 436:18] + buf_dualtag_in[3] <= _T_3478 @[lsu_bus_buffer.scala 436:18] + node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:69] + node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:69] + node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 437:51] + node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] + node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] + node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3489 @[lsu_bus_buffer.scala 437:21] + node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:65] + node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:65] + node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 438:47] + node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] + node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] + node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] + buf_unsign_in <= _T_3500 @[lsu_bus_buffer.scala 438:17] + node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 439:60] + node _T_3502 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[lsu_bus_buffer.scala 439:42] + node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 439:60] + node _T_3505 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[lsu_bus_buffer.scala 439:42] + node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 439:60] + node _T_3508 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[lsu_bus_buffer.scala 439:42] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 439:60] + node _T_3511 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[lsu_bus_buffer.scala 439:42] + buf_sz_in[0] <= _T_3503 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[1] <= _T_3506 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[2] <= _T_3509 @[lsu_bus_buffer.scala 439:13] + buf_sz_in[3] <= _T_3512 @[lsu_bus_buffer.scala 439:13] + node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 440:64] + node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 440:64] + node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 440:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 440:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 440:46] + node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] + node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] + node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] + buf_write_in <= _T_3523 @[lsu_bus_buffer.scala 440:16] + node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3524 : @[Conditional.scala 40:58] + node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[0] <= _T_3526 @[lsu_bus_buffer.scala 445:25] + node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3530 = and(_T_3528, _T_3529) @[lsu_bus_buffer.scala 446:95] + node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3532 = and(_T_3530, _T_3531) @[lsu_bus_buffer.scala 446:112] + node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3535 = and(_T_3533, _T_3534) @[lsu_bus_buffer.scala 446:161] + node _T_3536 = or(_T_3532, _T_3535) @[lsu_bus_buffer.scala 446:132] + node _T_3537 = and(_T_3527, _T_3536) @[lsu_bus_buffer.scala 446:63] + node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3539 = and(ibuf_drain_vld, _T_3538) @[lsu_bus_buffer.scala 446:201] + node _T_3540 = or(_T_3537, _T_3539) @[lsu_bus_buffer.scala 446:183] + buf_state_en[0] <= _T_3540 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 447:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 448:24] + node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3542 = and(ibuf_drain_vld, _T_3541) @[lsu_bus_buffer.scala 449:47] + node _T_3543 = bits(_T_3542, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3544 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3545 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[lsu_bus_buffer.scala 449:30] + buf_data_in[0] <= _T_3546 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3547 : @[Conditional.scala 39:67] + node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3549 @[lsu_bus_buffer.scala 453:25] + node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[0] <= _T_3550 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3553 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3555 = and(_T_3553, _T_3554) @[lsu_bus_buffer.scala 458:104] + node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[0] <= _T_3557 @[lsu_bus_buffer.scala 458:25] + node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:48] + node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 459:104] + node _T_3560 = and(obuf_merge, _T_3559) @[lsu_bus_buffer.scala 459:91] + node _T_3561 = or(_T_3558, _T_3560) @[lsu_bus_buffer.scala 459:77] + node _T_3562 = and(_T_3561, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3563 = and(_T_3562, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[0] <= _T_3563 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 460:29] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[0] <= _T_3565 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3566 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 463:56] + node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3568 = and(buf_state_en[0], _T_3567) @[lsu_bus_buffer.scala 463:44] + node _T_3569 = and(_T_3568, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3571 = and(_T_3569, _T_3570) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[0] <= _T_3571 @[lsu_bus_buffer.scala 463:25] + node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[0] <= _T_3572 @[lsu_bus_buffer.scala 464:28] + node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3574 = and(_T_3573, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3575 = and(_T_3574, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[0] <= _T_3575 @[lsu_bus_buffer.scala 465:24] + node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3577 = and(_T_3576, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3578 = and(_T_3577, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[0] <= _T_3578 @[lsu_bus_buffer.scala 466:25] + node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3580 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[lsu_bus_buffer.scala 467:73] + node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[lsu_bus_buffer.scala 467:30] + buf_data_in[0] <= _T_3584 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 470:67] + node _T_3587 = and(_T_3586, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3588 = or(io.dec_tlu_force_halt, _T_3587) @[lsu_bus_buffer.scala 470:55] + node _T_3589 = bits(_T_3588, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3590 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3591 = and(buf_dual[0], _T_3590) @[lsu_bus_buffer.scala 471:28] + node _T_3592 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:57] + node _T_3593 = eq(_T_3592, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3594 = and(_T_3591, _T_3593) @[lsu_bus_buffer.scala 471:45] + node _T_3595 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3596 = and(_T_3594, _T_3595) @[lsu_bus_buffer.scala 471:61] + node _T_3597 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 472:27] + node _T_3598 = or(_T_3597, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3599 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3600 = and(buf_dual[0], _T_3599) @[lsu_bus_buffer.scala 472:68] + node _T_3601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:97] + node _T_3602 = eq(_T_3601, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3603 = and(_T_3600, _T_3602) @[lsu_bus_buffer.scala 472:85] + node _T_3604 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3605 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3606 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3607 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3608 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3609 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3610 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3611 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3612 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3613 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3614 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3615 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3616 = or(_T_3612, _T_3613) @[Mux.scala 27:72] + node _T_3617 = or(_T_3616, _T_3614) @[Mux.scala 27:72] + node _T_3618 = or(_T_3617, _T_3615) @[Mux.scala 27:72] + wire _T_3619 : UInt<1> @[Mux.scala 27:72] + _T_3619 <= _T_3618 @[Mux.scala 27:72] + node _T_3620 = and(_T_3603, _T_3619) @[lsu_bus_buffer.scala 472:101] + node _T_3621 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3622 = and(_T_3620, _T_3621) @[lsu_bus_buffer.scala 472:138] + node _T_3623 = and(_T_3622, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3624 = or(_T_3598, _T_3623) @[lsu_bus_buffer.scala 472:53] + node _T_3625 = mux(_T_3624, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3626 = mux(_T_3596, UInt<3>("h04"), _T_3625) @[lsu_bus_buffer.scala 471:14] + node _T_3627 = mux(_T_3589, UInt<3>("h00"), _T_3626) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[0] <= _T_3627 @[lsu_bus_buffer.scala 470:25] + node _T_3628 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 473:73] + node _T_3629 = and(bus_rsp_write, _T_3628) @[lsu_bus_buffer.scala 473:52] + node _T_3630 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 474:46] + node _T_3631 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 475:23] + node _T_3632 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 475:47] + node _T_3633 = and(_T_3631, _T_3632) @[lsu_bus_buffer.scala 475:27] + node _T_3634 = or(_T_3630, _T_3633) @[lsu_bus_buffer.scala 474:77] + node _T_3635 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 476:26] + node _T_3636 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 476:54] + node _T_3637 = not(_T_3636) @[lsu_bus_buffer.scala 476:44] + node _T_3638 = and(_T_3635, _T_3637) @[lsu_bus_buffer.scala 476:42] + node _T_3639 = and(_T_3638, buf_samedw[0]) @[lsu_bus_buffer.scala 476:58] + node _T_3640 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 476:94] + node _T_3641 = and(_T_3639, _T_3640) @[lsu_bus_buffer.scala 476:74] + node _T_3642 = or(_T_3634, _T_3641) @[lsu_bus_buffer.scala 475:71] + node _T_3643 = and(bus_rsp_read, _T_3642) @[lsu_bus_buffer.scala 474:25] + node _T_3644 = or(_T_3629, _T_3643) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[0] <= _T_3644 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 477:29] + node _T_3645 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3646 = or(_T_3645, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[0] <= _T_3646 @[lsu_bus_buffer.scala 478:25] + node _T_3647 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3648 = and(_T_3647, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[0] <= _T_3648 @[lsu_bus_buffer.scala 479:24] + node _T_3649 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3650 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 480:111] + node _T_3651 = and(bus_rsp_read_error, _T_3650) @[lsu_bus_buffer.scala 480:91] + node _T_3652 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:42] + node _T_3653 = and(bus_rsp_read_error, _T_3652) @[lsu_bus_buffer.scala 481:31] + node _T_3654 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 481:66] + node _T_3655 = and(_T_3653, _T_3654) @[lsu_bus_buffer.scala 481:46] + node _T_3656 = or(_T_3651, _T_3655) @[lsu_bus_buffer.scala 480:143] + node _T_3657 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 482:54] + node _T_3658 = and(bus_rsp_write_error, _T_3657) @[lsu_bus_buffer.scala 482:33] + node _T_3659 = or(_T_3656, _T_3658) @[lsu_bus_buffer.scala 481:88] + node _T_3660 = and(_T_3649, _T_3659) @[lsu_bus_buffer.scala 480:68] + buf_error_en[0] <= _T_3660 @[lsu_bus_buffer.scala 480:25] + node _T_3661 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3662 = and(buf_state_en[0], _T_3661) @[lsu_bus_buffer.scala 483:48] + node _T_3663 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3664 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3665 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3666 = mux(_T_3663, _T_3664, _T_3665) @[lsu_bus_buffer.scala 483:72] + node _T_3667 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3668 = mux(_T_3662, _T_3666, _T_3667) @[lsu_bus_buffer.scala 483:30] + buf_data_in[0] <= _T_3668 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3669 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3669 : @[Conditional.scala 39:67] + node _T_3670 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3671 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 487:86] + node _T_3672 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 487:101] + node _T_3673 = bits(_T_3672, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3674 = or(_T_3671, _T_3673) @[lsu_bus_buffer.scala 487:90] + node _T_3675 = or(_T_3674, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3676 = mux(_T_3675, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3677 = mux(_T_3670, UInt<3>("h00"), _T_3676) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3677 @[lsu_bus_buffer.scala 487:25] + node _T_3678 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 488:66] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 489:21] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 489:58] + node _T_3682 = and(_T_3680, _T_3681) @[lsu_bus_buffer.scala 489:38] + node _T_3683 = or(_T_3678, _T_3682) @[lsu_bus_buffer.scala 488:95] + node _T_3684 = and(bus_rsp_read, _T_3683) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[0] <= _T_3684 @[lsu_bus_buffer.scala 488:29] + node _T_3685 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3686 = or(_T_3685, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[0] <= _T_3686 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3687 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3687 : @[Conditional.scala 39:67] + node _T_3688 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3689 = mux(_T_3688, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3689 @[lsu_bus_buffer.scala 494:25] + node _T_3690 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 495:37] + node _T_3691 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3692 = and(buf_dual[0], _T_3691) @[lsu_bus_buffer.scala 495:80] + node _T_3693 = or(_T_3690, _T_3692) @[lsu_bus_buffer.scala 495:65] + node _T_3694 = or(_T_3693, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[0] <= _T_3694 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3695 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3695 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_3696 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3697 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3696 : @[Reg.scala 28:19] + _T_3697 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3697 @[lsu_bus_buffer.scala 507:18] + reg _T_3698 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3698 <= buf_age_in_0 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[0] <= _T_3698 @[lsu_bus_buffer.scala 508:17] + reg _T_3699 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3699 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[0] <= _T_3699 @[lsu_bus_buffer.scala 509:20] + node _T_3700 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3700 : @[Reg.scala 28:19] + _T_3701 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3701 @[lsu_bus_buffer.scala 510:20] + node _T_3702 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 511:74] + node _T_3703 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3704 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= _T_3702 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3704 @[lsu_bus_buffer.scala 511:17] + node _T_3705 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 512:78] + node _T_3706 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3706 : @[Reg.scala 28:19] + _T_3707 <= _T_3705 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3707 @[lsu_bus_buffer.scala 512:19] + node _T_3708 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 513:80] + node _T_3709 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3709 : @[Reg.scala 28:19] + _T_3710 <= _T_3708 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3710 @[lsu_bus_buffer.scala 513:20] + node _T_3711 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 514:78] + node _T_3712 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3712 : @[Reg.scala 28:19] + _T_3713 <= _T_3711 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3713 @[lsu_bus_buffer.scala 514:19] + node _T_3714 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3714 : @[Conditional.scala 40:58] + node _T_3715 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3716 = mux(_T_3715, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[1] <= _T_3716 @[lsu_bus_buffer.scala 445:25] + node _T_3717 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3718 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3719 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3720 = and(_T_3718, _T_3719) @[lsu_bus_buffer.scala 446:95] + node _T_3721 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3722 = and(_T_3720, _T_3721) @[lsu_bus_buffer.scala 446:112] + node _T_3723 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3724 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3725 = and(_T_3723, _T_3724) @[lsu_bus_buffer.scala 446:161] + node _T_3726 = or(_T_3722, _T_3725) @[lsu_bus_buffer.scala 446:132] + node _T_3727 = and(_T_3717, _T_3726) @[lsu_bus_buffer.scala 446:63] + node _T_3728 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3729 = and(ibuf_drain_vld, _T_3728) @[lsu_bus_buffer.scala 446:201] + node _T_3730 = or(_T_3727, _T_3729) @[lsu_bus_buffer.scala 446:183] + buf_state_en[1] <= _T_3730 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 447:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 448:24] + node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3732 = and(ibuf_drain_vld, _T_3731) @[lsu_bus_buffer.scala 449:47] + node _T_3733 = bits(_T_3732, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3734 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3735 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3736 = mux(_T_3733, _T_3734, _T_3735) @[lsu_bus_buffer.scala 449:30] + buf_data_in[1] <= _T_3736 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3737 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3737 : @[Conditional.scala 39:67] + node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3739 @[lsu_bus_buffer.scala 453:25] + node _T_3740 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[1] <= _T_3740 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3741 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3741 : @[Conditional.scala 39:67] + node _T_3742 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3743 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3744 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3745 = and(_T_3743, _T_3744) @[lsu_bus_buffer.scala 458:104] + node _T_3746 = mux(_T_3745, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3747 = mux(_T_3742, UInt<3>("h00"), _T_3746) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[1] <= _T_3747 @[lsu_bus_buffer.scala 458:25] + node _T_3748 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:48] + node _T_3749 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 459:104] + node _T_3750 = and(obuf_merge, _T_3749) @[lsu_bus_buffer.scala 459:91] + node _T_3751 = or(_T_3748, _T_3750) @[lsu_bus_buffer.scala 459:77] + node _T_3752 = and(_T_3751, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3753 = and(_T_3752, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[1] <= _T_3753 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 460:29] + node _T_3754 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3755 = or(_T_3754, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[1] <= _T_3755 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3756 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 463:56] + node _T_3757 = eq(_T_3756, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3758 = and(buf_state_en[1], _T_3757) @[lsu_bus_buffer.scala 463:44] + node _T_3759 = and(_T_3758, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3760 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3761 = and(_T_3759, _T_3760) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[1] <= _T_3761 @[lsu_bus_buffer.scala 463:25] + node _T_3762 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[1] <= _T_3762 @[lsu_bus_buffer.scala 464:28] + node _T_3763 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3764 = and(_T_3763, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3765 = and(_T_3764, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[1] <= _T_3765 @[lsu_bus_buffer.scala 465:24] + node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3767 = and(_T_3766, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3768 = and(_T_3767, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[1] <= _T_3768 @[lsu_bus_buffer.scala 466:25] + node _T_3769 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3770 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3771 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3773 = mux(_T_3770, _T_3771, _T_3772) @[lsu_bus_buffer.scala 467:73] + node _T_3774 = mux(buf_error_en[1], _T_3769, _T_3773) @[lsu_bus_buffer.scala 467:30] + buf_data_in[1] <= _T_3774 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3775 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3775 : @[Conditional.scala 39:67] + node _T_3776 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 470:67] + node _T_3777 = and(_T_3776, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3778 = or(io.dec_tlu_force_halt, _T_3777) @[lsu_bus_buffer.scala 470:55] + node _T_3779 = bits(_T_3778, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3780 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3781 = and(buf_dual[1], _T_3780) @[lsu_bus_buffer.scala 471:28] + node _T_3782 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:57] + node _T_3783 = eq(_T_3782, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3784 = and(_T_3781, _T_3783) @[lsu_bus_buffer.scala 471:45] + node _T_3785 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3786 = and(_T_3784, _T_3785) @[lsu_bus_buffer.scala 471:61] + node _T_3787 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 472:27] + node _T_3788 = or(_T_3787, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 472:68] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:97] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 472:85] + node _T_3794 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3795 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3796 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3797 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3798 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3799 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3800 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3801 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3802 = mux(_T_3794, _T_3795, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3803 = mux(_T_3796, _T_3797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3804 = mux(_T_3798, _T_3799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3805 = mux(_T_3800, _T_3801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3806 = or(_T_3802, _T_3803) @[Mux.scala 27:72] + node _T_3807 = or(_T_3806, _T_3804) @[Mux.scala 27:72] + node _T_3808 = or(_T_3807, _T_3805) @[Mux.scala 27:72] + wire _T_3809 : UInt<1> @[Mux.scala 27:72] + _T_3809 <= _T_3808 @[Mux.scala 27:72] + node _T_3810 = and(_T_3793, _T_3809) @[lsu_bus_buffer.scala 472:101] + node _T_3811 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_3812 = and(_T_3810, _T_3811) @[lsu_bus_buffer.scala 472:138] + node _T_3813 = and(_T_3812, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_3814 = or(_T_3788, _T_3813) @[lsu_bus_buffer.scala 472:53] + node _T_3815 = mux(_T_3814, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_3816 = mux(_T_3786, UInt<3>("h04"), _T_3815) @[lsu_bus_buffer.scala 471:14] + node _T_3817 = mux(_T_3779, UInt<3>("h00"), _T_3816) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[1] <= _T_3817 @[lsu_bus_buffer.scala 470:25] + node _T_3818 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 473:73] + node _T_3819 = and(bus_rsp_write, _T_3818) @[lsu_bus_buffer.scala 473:52] + node _T_3820 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 474:46] + node _T_3821 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 475:23] + node _T_3822 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 475:47] + node _T_3823 = and(_T_3821, _T_3822) @[lsu_bus_buffer.scala 475:27] + node _T_3824 = or(_T_3820, _T_3823) @[lsu_bus_buffer.scala 474:77] + node _T_3825 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 476:26] + node _T_3826 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 476:54] + node _T_3827 = not(_T_3826) @[lsu_bus_buffer.scala 476:44] + node _T_3828 = and(_T_3825, _T_3827) @[lsu_bus_buffer.scala 476:42] + node _T_3829 = and(_T_3828, buf_samedw[1]) @[lsu_bus_buffer.scala 476:58] + node _T_3830 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 476:94] + node _T_3831 = and(_T_3829, _T_3830) @[lsu_bus_buffer.scala 476:74] + node _T_3832 = or(_T_3824, _T_3831) @[lsu_bus_buffer.scala 475:71] + node _T_3833 = and(bus_rsp_read, _T_3832) @[lsu_bus_buffer.scala 474:25] + node _T_3834 = or(_T_3819, _T_3833) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[1] <= _T_3834 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 477:29] + node _T_3835 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_3836 = or(_T_3835, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[1] <= _T_3836 @[lsu_bus_buffer.scala 478:25] + node _T_3837 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_3838 = and(_T_3837, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[1] <= _T_3838 @[lsu_bus_buffer.scala 479:24] + node _T_3839 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_3840 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 480:111] + node _T_3841 = and(bus_rsp_read_error, _T_3840) @[lsu_bus_buffer.scala 480:91] + node _T_3842 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:42] + node _T_3843 = and(bus_rsp_read_error, _T_3842) @[lsu_bus_buffer.scala 481:31] + node _T_3844 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 481:66] + node _T_3845 = and(_T_3843, _T_3844) @[lsu_bus_buffer.scala 481:46] + node _T_3846 = or(_T_3841, _T_3845) @[lsu_bus_buffer.scala 480:143] + node _T_3847 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 482:54] + node _T_3848 = and(bus_rsp_write_error, _T_3847) @[lsu_bus_buffer.scala 482:33] + node _T_3849 = or(_T_3846, _T_3848) @[lsu_bus_buffer.scala 481:88] + node _T_3850 = and(_T_3839, _T_3849) @[lsu_bus_buffer.scala 480:68] + buf_error_en[1] <= _T_3850 @[lsu_bus_buffer.scala 480:25] + node _T_3851 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_3852 = and(buf_state_en[1], _T_3851) @[lsu_bus_buffer.scala 483:48] + node _T_3853 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_3854 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_3856 = mux(_T_3853, _T_3854, _T_3855) @[lsu_bus_buffer.scala 483:72] + node _T_3857 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_3858 = mux(_T_3852, _T_3856, _T_3857) @[lsu_bus_buffer.scala 483:30] + buf_data_in[1] <= _T_3858 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3859 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3859 : @[Conditional.scala 39:67] + node _T_3860 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3861 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 487:86] + node _T_3862 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 487:101] + node _T_3863 = bits(_T_3862, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_3864 = or(_T_3861, _T_3863) @[lsu_bus_buffer.scala 487:90] + node _T_3865 = or(_T_3864, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_3866 = mux(_T_3865, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_3867 = mux(_T_3860, UInt<3>("h00"), _T_3866) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3867 @[lsu_bus_buffer.scala 487:25] + node _T_3868 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 488:66] + node _T_3869 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 489:21] + node _T_3870 = bits(_T_3869, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_3871 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 489:58] + node _T_3872 = and(_T_3870, _T_3871) @[lsu_bus_buffer.scala 489:38] + node _T_3873 = or(_T_3868, _T_3872) @[lsu_bus_buffer.scala 488:95] + node _T_3874 = and(bus_rsp_read, _T_3873) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[1] <= _T_3874 @[lsu_bus_buffer.scala 488:29] + node _T_3875 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_3876 = or(_T_3875, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[1] <= _T_3876 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3877 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3877 : @[Conditional.scala 39:67] + node _T_3878 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_3879 = mux(_T_3878, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3879 @[lsu_bus_buffer.scala 494:25] + node _T_3880 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 495:37] + node _T_3881 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_3882 = and(buf_dual[1], _T_3881) @[lsu_bus_buffer.scala 495:80] + node _T_3883 = or(_T_3880, _T_3882) @[lsu_bus_buffer.scala 495:65] + node _T_3884 = or(_T_3883, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[1] <= _T_3884 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3885 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3885 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_3886 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3886 : @[Reg.scala 28:19] + _T_3887 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3887 @[lsu_bus_buffer.scala 507:18] + reg _T_3888 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_3888 <= buf_age_in_1 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[1] <= _T_3888 @[lsu_bus_buffer.scala 508:17] + reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_3889 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[1] <= _T_3889 @[lsu_bus_buffer.scala 509:20] + node _T_3890 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_3891 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3890 : @[Reg.scala 28:19] + _T_3891 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3891 @[lsu_bus_buffer.scala 510:20] + node _T_3892 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 511:74] + node _T_3893 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_3894 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3893 : @[Reg.scala 28:19] + _T_3894 <= _T_3892 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3894 @[lsu_bus_buffer.scala 511:17] + node _T_3895 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 512:78] + node _T_3896 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_3897 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= _T_3895 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3897 @[lsu_bus_buffer.scala 512:19] + node _T_3898 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 513:80] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3899 : @[Reg.scala 28:19] + _T_3900 <= _T_3898 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3900 @[lsu_bus_buffer.scala 513:20] + node _T_3901 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 514:78] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3902 : @[Reg.scala 28:19] + _T_3903 <= _T_3901 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3903 @[lsu_bus_buffer.scala 514:19] + node _T_3904 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3904 : @[Conditional.scala 40:58] + node _T_3905 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_3906 = mux(_T_3905, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[2] <= _T_3906 @[lsu_bus_buffer.scala 445:25] + node _T_3907 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_3908 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_3909 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_3910 = and(_T_3908, _T_3909) @[lsu_bus_buffer.scala 446:95] + node _T_3911 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_3912 = and(_T_3910, _T_3911) @[lsu_bus_buffer.scala 446:112] + node _T_3913 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_3914 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_3915 = and(_T_3913, _T_3914) @[lsu_bus_buffer.scala 446:161] + node _T_3916 = or(_T_3912, _T_3915) @[lsu_bus_buffer.scala 446:132] + node _T_3917 = and(_T_3907, _T_3916) @[lsu_bus_buffer.scala 446:63] + node _T_3918 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_3919 = and(ibuf_drain_vld, _T_3918) @[lsu_bus_buffer.scala 446:201] + node _T_3920 = or(_T_3917, _T_3919) @[lsu_bus_buffer.scala 446:183] + buf_state_en[2] <= _T_3920 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 447:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 448:24] + node _T_3921 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_3922 = and(ibuf_drain_vld, _T_3921) @[lsu_bus_buffer.scala 449:47] + node _T_3923 = bits(_T_3922, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_3924 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_3925 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_3926 = mux(_T_3923, _T_3924, _T_3925) @[lsu_bus_buffer.scala 449:30] + buf_data_in[2] <= _T_3926 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3927 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3927 : @[Conditional.scala 39:67] + node _T_3928 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3929 = mux(_T_3928, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3929 @[lsu_bus_buffer.scala 453:25] + node _T_3930 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3931 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3931 : @[Conditional.scala 39:67] + node _T_3932 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_3933 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_3934 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_3935 = and(_T_3933, _T_3934) @[lsu_bus_buffer.scala 458:104] + node _T_3936 = mux(_T_3935, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_3937 = mux(_T_3932, UInt<3>("h00"), _T_3936) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[2] <= _T_3937 @[lsu_bus_buffer.scala 458:25] + node _T_3938 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:48] + node _T_3939 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 459:104] + node _T_3940 = and(obuf_merge, _T_3939) @[lsu_bus_buffer.scala 459:91] + node _T_3941 = or(_T_3938, _T_3940) @[lsu_bus_buffer.scala 459:77] + node _T_3942 = and(_T_3941, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_3943 = and(_T_3942, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[2] <= _T_3943 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 460:29] + node _T_3944 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_3945 = or(_T_3944, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[2] <= _T_3945 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_3946 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 463:56] + node _T_3947 = eq(_T_3946, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_3948 = and(buf_state_en[2], _T_3947) @[lsu_bus_buffer.scala 463:44] + node _T_3949 = and(_T_3948, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_3950 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_3951 = and(_T_3949, _T_3950) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[2] <= _T_3951 @[lsu_bus_buffer.scala 463:25] + node _T_3952 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[2] <= _T_3952 @[lsu_bus_buffer.scala 464:28] + node _T_3953 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_3954 = and(_T_3953, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_3955 = and(_T_3954, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[2] <= _T_3955 @[lsu_bus_buffer.scala 465:24] + node _T_3956 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_3957 = and(_T_3956, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_3958 = and(_T_3957, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[2] <= _T_3958 @[lsu_bus_buffer.scala 466:25] + node _T_3959 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_3960 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_3961 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_3962 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_3963 = mux(_T_3960, _T_3961, _T_3962) @[lsu_bus_buffer.scala 467:73] + node _T_3964 = mux(buf_error_en[2], _T_3959, _T_3963) @[lsu_bus_buffer.scala 467:30] + buf_data_in[2] <= _T_3964 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3965 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3965 : @[Conditional.scala 39:67] + node _T_3966 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 470:67] + node _T_3967 = and(_T_3966, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[lsu_bus_buffer.scala 470:55] + node _T_3969 = bits(_T_3968, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_3971 = and(buf_dual[2], _T_3970) @[lsu_bus_buffer.scala 471:28] + node _T_3972 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:57] + node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_3974 = and(_T_3971, _T_3973) @[lsu_bus_buffer.scala 471:45] + node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_3976 = and(_T_3974, _T_3975) @[lsu_bus_buffer.scala 471:61] + node _T_3977 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 472:27] + node _T_3978 = or(_T_3977, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_3980 = and(buf_dual[2], _T_3979) @[lsu_bus_buffer.scala 472:68] + node _T_3981 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:97] + node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_3983 = and(_T_3980, _T_3982) @[lsu_bus_buffer.scala 472:85] + node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_3985 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_3987 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_3991 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] + node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] + node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] + wire _T_3999 : UInt<1> @[Mux.scala 27:72] + _T_3999 <= _T_3998 @[Mux.scala 27:72] + node _T_4000 = and(_T_3983, _T_3999) @[lsu_bus_buffer.scala 472:101] + node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4002 = and(_T_4000, _T_4001) @[lsu_bus_buffer.scala 472:138] + node _T_4003 = and(_T_4002, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4004 = or(_T_3978, _T_4003) @[lsu_bus_buffer.scala 472:53] + node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[lsu_bus_buffer.scala 471:14] + node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[2] <= _T_4007 @[lsu_bus_buffer.scala 470:25] + node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 473:73] + node _T_4009 = and(bus_rsp_write, _T_4008) @[lsu_bus_buffer.scala 473:52] + node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 474:46] + node _T_4011 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 475:23] + node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 475:47] + node _T_4013 = and(_T_4011, _T_4012) @[lsu_bus_buffer.scala 475:27] + node _T_4014 = or(_T_4010, _T_4013) @[lsu_bus_buffer.scala 474:77] + node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 476:26] + node _T_4016 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 476:54] + node _T_4017 = not(_T_4016) @[lsu_bus_buffer.scala 476:44] + node _T_4018 = and(_T_4015, _T_4017) @[lsu_bus_buffer.scala 476:42] + node _T_4019 = and(_T_4018, buf_samedw[2]) @[lsu_bus_buffer.scala 476:58] + node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 476:94] + node _T_4021 = and(_T_4019, _T_4020) @[lsu_bus_buffer.scala 476:74] + node _T_4022 = or(_T_4014, _T_4021) @[lsu_bus_buffer.scala 475:71] + node _T_4023 = and(bus_rsp_read, _T_4022) @[lsu_bus_buffer.scala 474:25] + node _T_4024 = or(_T_4009, _T_4023) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[2] <= _T_4024 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 477:29] + node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[2] <= _T_4026 @[lsu_bus_buffer.scala 478:25] + node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[2] <= _T_4028 @[lsu_bus_buffer.scala 479:24] + node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 480:111] + node _T_4031 = and(bus_rsp_read_error, _T_4030) @[lsu_bus_buffer.scala 480:91] + node _T_4032 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:42] + node _T_4033 = and(bus_rsp_read_error, _T_4032) @[lsu_bus_buffer.scala 481:31] + node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 481:66] + node _T_4035 = and(_T_4033, _T_4034) @[lsu_bus_buffer.scala 481:46] + node _T_4036 = or(_T_4031, _T_4035) @[lsu_bus_buffer.scala 480:143] + node _T_4037 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 482:54] + node _T_4038 = and(bus_rsp_write_error, _T_4037) @[lsu_bus_buffer.scala 482:33] + node _T_4039 = or(_T_4036, _T_4038) @[lsu_bus_buffer.scala 481:88] + node _T_4040 = and(_T_4029, _T_4039) @[lsu_bus_buffer.scala 480:68] + buf_error_en[2] <= _T_4040 @[lsu_bus_buffer.scala 480:25] + node _T_4041 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4042 = and(buf_state_en[2], _T_4041) @[lsu_bus_buffer.scala 483:48] + node _T_4043 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4044 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4045 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4046 = mux(_T_4043, _T_4044, _T_4045) @[lsu_bus_buffer.scala 483:72] + node _T_4047 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4048 = mux(_T_4042, _T_4046, _T_4047) @[lsu_bus_buffer.scala 483:30] + buf_data_in[2] <= _T_4048 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4049 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4049 : @[Conditional.scala 39:67] + node _T_4050 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4051 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 487:86] + node _T_4052 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 487:101] + node _T_4053 = bits(_T_4052, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4054 = or(_T_4051, _T_4053) @[lsu_bus_buffer.scala 487:90] + node _T_4055 = or(_T_4054, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4056 = mux(_T_4055, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4057 = mux(_T_4050, UInt<3>("h00"), _T_4056) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4057 @[lsu_bus_buffer.scala 487:25] + node _T_4058 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 488:66] + node _T_4059 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 489:21] + node _T_4060 = bits(_T_4059, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 489:58] + node _T_4062 = and(_T_4060, _T_4061) @[lsu_bus_buffer.scala 489:38] + node _T_4063 = or(_T_4058, _T_4062) @[lsu_bus_buffer.scala 488:95] + node _T_4064 = and(bus_rsp_read, _T_4063) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[2] <= _T_4064 @[lsu_bus_buffer.scala 488:29] + node _T_4065 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4066 = or(_T_4065, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[2] <= _T_4066 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4067 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4067 : @[Conditional.scala 39:67] + node _T_4068 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4069 = mux(_T_4068, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_4069 @[lsu_bus_buffer.scala 494:25] + node _T_4070 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 495:37] + node _T_4071 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4072 = and(buf_dual[2], _T_4071) @[lsu_bus_buffer.scala 495:80] + node _T_4073 = or(_T_4070, _T_4072) @[lsu_bus_buffer.scala 495:65] + node _T_4074 = or(_T_4073, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[2] <= _T_4074 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4075 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4075 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_4076 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4077 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4076 : @[Reg.scala 28:19] + _T_4077 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4077 @[lsu_bus_buffer.scala 507:18] + reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4078 <= buf_age_in_2 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[2] <= _T_4078 @[lsu_bus_buffer.scala 508:17] + reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4079 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[2] <= _T_4079 @[lsu_bus_buffer.scala 509:20] + node _T_4080 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4081 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4080 : @[Reg.scala 28:19] + _T_4081 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4081 @[lsu_bus_buffer.scala 510:20] + node _T_4082 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 511:74] + node _T_4083 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4084 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4083 : @[Reg.scala 28:19] + _T_4084 <= _T_4082 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4084 @[lsu_bus_buffer.scala 511:17] + node _T_4085 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 512:78] + node _T_4086 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4087 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4086 : @[Reg.scala 28:19] + _T_4087 <= _T_4085 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4087 @[lsu_bus_buffer.scala 512:19] + node _T_4088 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 513:80] + node _T_4089 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4090 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= _T_4088 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4090 @[lsu_bus_buffer.scala 513:20] + node _T_4091 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 514:78] + node _T_4092 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4092 : @[Reg.scala 28:19] + _T_4093 <= _T_4091 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4093 @[lsu_bus_buffer.scala 514:19] + node _T_4094 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4094 : @[Conditional.scala 40:58] + node _T_4095 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 445:56] + node _T_4096 = mux(_T_4095, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 445:31] + buf_nxtstate[3] <= _T_4096 @[lsu_bus_buffer.scala 445:25] + node _T_4097 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 446:45] + node _T_4098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:77] + node _T_4099 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 446:97] + node _T_4100 = and(_T_4098, _T_4099) @[lsu_bus_buffer.scala 446:95] + node _T_4101 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 446:117] + node _T_4102 = and(_T_4100, _T_4101) @[lsu_bus_buffer.scala 446:112] + node _T_4103 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 446:144] + node _T_4104 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 446:166] + node _T_4105 = and(_T_4103, _T_4104) @[lsu_bus_buffer.scala 446:161] + node _T_4106 = or(_T_4102, _T_4105) @[lsu_bus_buffer.scala 446:132] + node _T_4107 = and(_T_4097, _T_4106) @[lsu_bus_buffer.scala 446:63] + node _T_4108 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:206] + node _T_4109 = and(ibuf_drain_vld, _T_4108) @[lsu_bus_buffer.scala 446:201] + node _T_4110 = or(_T_4107, _T_4109) @[lsu_bus_buffer.scala 446:183] + buf_state_en[3] <= _T_4110 @[lsu_bus_buffer.scala 446:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 447:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 448:24] + node _T_4111 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 449:52] + node _T_4112 = and(ibuf_drain_vld, _T_4111) @[lsu_bus_buffer.scala 449:47] + node _T_4113 = bits(_T_4112, 0, 0) @[lsu_bus_buffer.scala 449:73] + node _T_4114 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 449:90] + node _T_4115 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 449:114] + node _T_4116 = mux(_T_4113, _T_4114, _T_4115) @[lsu_bus_buffer.scala 449:30] + buf_data_in[3] <= _T_4116 @[lsu_bus_buffer.scala 449:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 450:34] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4117 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4117 : @[Conditional.scala 39:67] + node _T_4118 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4119 = mux(_T_4118, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4119 @[lsu_bus_buffer.scala 453:25] + node _T_4120 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 454:46] + buf_state_en[3] <= _T_4120 @[lsu_bus_buffer.scala 454:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 455:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4121 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4121 : @[Conditional.scala 39:67] + node _T_4122 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 458:60] + node _T_4123 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 458:89] + node _T_4124 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 458:124] + node _T_4125 = and(_T_4123, _T_4124) @[lsu_bus_buffer.scala 458:104] + node _T_4126 = mux(_T_4125, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 458:75] + node _T_4127 = mux(_T_4122, UInt<3>("h00"), _T_4126) @[lsu_bus_buffer.scala 458:31] + buf_nxtstate[3] <= _T_4127 @[lsu_bus_buffer.scala 458:25] + node _T_4128 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:48] + node _T_4129 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 459:104] + node _T_4130 = and(obuf_merge, _T_4129) @[lsu_bus_buffer.scala 459:91] + node _T_4131 = or(_T_4128, _T_4130) @[lsu_bus_buffer.scala 459:77] + node _T_4132 = and(_T_4131, obuf_valid) @[lsu_bus_buffer.scala 459:135] + node _T_4133 = and(_T_4132, obuf_wr_enQ) @[lsu_bus_buffer.scala 459:148] + buf_cmd_state_bus_en[3] <= _T_4133 @[lsu_bus_buffer.scala 459:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 460:29] + node _T_4134 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:49] + node _T_4135 = or(_T_4134, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 461:70] + buf_state_en[3] <= _T_4135 @[lsu_bus_buffer.scala 461:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 462:25] + node _T_4136 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 463:56] + node _T_4137 = eq(_T_4136, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:46] + node _T_4138 = and(buf_state_en[3], _T_4137) @[lsu_bus_buffer.scala 463:44] + node _T_4139 = and(_T_4138, obuf_nosend) @[lsu_bus_buffer.scala 463:60] + node _T_4140 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 463:76] + node _T_4141 = and(_T_4139, _T_4140) @[lsu_bus_buffer.scala 463:74] + buf_ldfwd_en[3] <= _T_4141 @[lsu_bus_buffer.scala 463:25] + node _T_4142 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 464:46] + buf_ldfwdtag_in[3] <= _T_4142 @[lsu_bus_buffer.scala 464:28] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 465:47] + node _T_4144 = and(_T_4143, obuf_nosend) @[lsu_bus_buffer.scala 465:67] + node _T_4145 = and(_T_4144, bus_rsp_read) @[lsu_bus_buffer.scala 465:81] + buf_data_en[3] <= _T_4145 @[lsu_bus_buffer.scala 465:24] + node _T_4146 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 466:48] + node _T_4147 = and(_T_4146, obuf_nosend) @[lsu_bus_buffer.scala 466:68] + node _T_4148 = and(_T_4147, bus_rsp_read_error) @[lsu_bus_buffer.scala 466:82] + buf_error_en[3] <= _T_4148 @[lsu_bus_buffer.scala 466:25] + node _T_4149 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:61] + node _T_4150 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 467:85] + node _T_4151 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 467:103] + node _T_4152 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 467:126] + node _T_4153 = mux(_T_4150, _T_4151, _T_4152) @[lsu_bus_buffer.scala 467:73] + node _T_4154 = mux(buf_error_en[3], _T_4149, _T_4153) @[lsu_bus_buffer.scala 467:30] + buf_data_in[3] <= _T_4154 @[lsu_bus_buffer.scala 467:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4155 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4155 : @[Conditional.scala 39:67] + node _T_4156 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 470:67] + node _T_4157 = and(_T_4156, bus_rsp_write_error) @[lsu_bus_buffer.scala 470:71] + node _T_4158 = or(io.dec_tlu_force_halt, _T_4157) @[lsu_bus_buffer.scala 470:55] + node _T_4159 = bits(_T_4158, 0, 0) @[lsu_bus_buffer.scala 470:101] + node _T_4160 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 471:30] + node _T_4161 = and(buf_dual[3], _T_4160) @[lsu_bus_buffer.scala 471:28] + node _T_4162 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:57] + node _T_4163 = eq(_T_4162, UInt<1>("h00")) @[lsu_bus_buffer.scala 471:47] + node _T_4164 = and(_T_4161, _T_4163) @[lsu_bus_buffer.scala 471:45] + node _T_4165 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 471:90] + node _T_4166 = and(_T_4164, _T_4165) @[lsu_bus_buffer.scala 471:61] + node _T_4167 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 472:27] + node _T_4168 = or(_T_4167, any_done_wait_state) @[lsu_bus_buffer.scala 472:31] + node _T_4169 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 472:70] + node _T_4170 = and(buf_dual[3], _T_4169) @[lsu_bus_buffer.scala 472:68] + node _T_4171 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:97] + node _T_4172 = eq(_T_4171, UInt<1>("h00")) @[lsu_bus_buffer.scala 472:87] + node _T_4173 = and(_T_4170, _T_4172) @[lsu_bus_buffer.scala 472:85] + node _T_4174 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4175 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4176 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4177 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4178 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4179 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4180 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4181 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4182 = mux(_T_4174, _T_4175, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4183 = mux(_T_4176, _T_4177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4184 = mux(_T_4178, _T_4179, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4185 = mux(_T_4180, _T_4181, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4186 = or(_T_4182, _T_4183) @[Mux.scala 27:72] + node _T_4187 = or(_T_4186, _T_4184) @[Mux.scala 27:72] + node _T_4188 = or(_T_4187, _T_4185) @[Mux.scala 27:72] + wire _T_4189 : UInt<1> @[Mux.scala 27:72] + _T_4189 <= _T_4188 @[Mux.scala 27:72] + node _T_4190 = and(_T_4173, _T_4189) @[lsu_bus_buffer.scala 472:101] + node _T_4191 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 472:167] + node _T_4192 = and(_T_4190, _T_4191) @[lsu_bus_buffer.scala 472:138] + node _T_4193 = and(_T_4192, any_done_wait_state) @[lsu_bus_buffer.scala 472:187] + node _T_4194 = or(_T_4168, _T_4193) @[lsu_bus_buffer.scala 472:53] + node _T_4195 = mux(_T_4194, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 472:16] + node _T_4196 = mux(_T_4166, UInt<3>("h04"), _T_4195) @[lsu_bus_buffer.scala 471:14] + node _T_4197 = mux(_T_4159, UInt<3>("h00"), _T_4196) @[lsu_bus_buffer.scala 470:31] + buf_nxtstate[3] <= _T_4197 @[lsu_bus_buffer.scala 470:25] + node _T_4198 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 473:73] + node _T_4199 = and(bus_rsp_write, _T_4198) @[lsu_bus_buffer.scala 473:52] + node _T_4200 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 474:46] + node _T_4201 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 475:23] + node _T_4202 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 475:47] + node _T_4203 = and(_T_4201, _T_4202) @[lsu_bus_buffer.scala 475:27] + node _T_4204 = or(_T_4200, _T_4203) @[lsu_bus_buffer.scala 474:77] + node _T_4205 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 476:26] + node _T_4206 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 476:54] + node _T_4207 = not(_T_4206) @[lsu_bus_buffer.scala 476:44] + node _T_4208 = and(_T_4205, _T_4207) @[lsu_bus_buffer.scala 476:42] + node _T_4209 = and(_T_4208, buf_samedw[3]) @[lsu_bus_buffer.scala 476:58] + node _T_4210 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 476:94] + node _T_4211 = and(_T_4209, _T_4210) @[lsu_bus_buffer.scala 476:74] + node _T_4212 = or(_T_4204, _T_4211) @[lsu_bus_buffer.scala 475:71] + node _T_4213 = and(bus_rsp_read, _T_4212) @[lsu_bus_buffer.scala 474:25] + node _T_4214 = or(_T_4199, _T_4213) @[lsu_bus_buffer.scala 473:105] + buf_resp_state_bus_en[3] <= _T_4214 @[lsu_bus_buffer.scala 473:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 477:29] + node _T_4215 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 478:49] + node _T_4216 = or(_T_4215, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 478:70] + buf_state_en[3] <= _T_4216 @[lsu_bus_buffer.scala 478:25] + node _T_4217 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 479:47] + node _T_4218 = and(_T_4217, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 479:62] + buf_data_en[3] <= _T_4218 @[lsu_bus_buffer.scala 479:24] + node _T_4219 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 480:48] + node _T_4220 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 480:111] + node _T_4221 = and(bus_rsp_read_error, _T_4220) @[lsu_bus_buffer.scala 480:91] + node _T_4222 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:42] + node _T_4223 = and(bus_rsp_read_error, _T_4222) @[lsu_bus_buffer.scala 481:31] + node _T_4224 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 481:66] + node _T_4225 = and(_T_4223, _T_4224) @[lsu_bus_buffer.scala 481:46] + node _T_4226 = or(_T_4221, _T_4225) @[lsu_bus_buffer.scala 480:143] + node _T_4227 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 482:54] + node _T_4228 = and(bus_rsp_write_error, _T_4227) @[lsu_bus_buffer.scala 482:33] + node _T_4229 = or(_T_4226, _T_4228) @[lsu_bus_buffer.scala 481:88] + node _T_4230 = and(_T_4219, _T_4229) @[lsu_bus_buffer.scala 480:68] + buf_error_en[3] <= _T_4230 @[lsu_bus_buffer.scala 480:25] + node _T_4231 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 483:50] + node _T_4232 = and(buf_state_en[3], _T_4231) @[lsu_bus_buffer.scala 483:48] + node _T_4233 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 483:84] + node _T_4234 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 483:102] + node _T_4235 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:125] + node _T_4236 = mux(_T_4233, _T_4234, _T_4235) @[lsu_bus_buffer.scala 483:72] + node _T_4237 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 483:148] + node _T_4238 = mux(_T_4232, _T_4236, _T_4237) @[lsu_bus_buffer.scala 483:30] + buf_data_in[3] <= _T_4238 @[lsu_bus_buffer.scala 483:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 484:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4239 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4239 : @[Conditional.scala 39:67] + node _T_4240 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4241 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 487:86] + node _T_4242 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 487:101] + node _T_4243 = bits(_T_4242, 0, 0) @[lsu_bus_buffer.scala 487:101] + node _T_4244 = or(_T_4241, _T_4243) @[lsu_bus_buffer.scala 487:90] + node _T_4245 = or(_T_4244, any_done_wait_state) @[lsu_bus_buffer.scala 487:118] + node _T_4246 = mux(_T_4245, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:75] + node _T_4247 = mux(_T_4240, UInt<3>("h00"), _T_4246) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4247 @[lsu_bus_buffer.scala 487:25] + node _T_4248 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 488:66] + node _T_4249 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 489:21] + node _T_4250 = bits(_T_4249, 0, 0) @[lsu_bus_buffer.scala 489:21] + node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 489:58] + node _T_4252 = and(_T_4250, _T_4251) @[lsu_bus_buffer.scala 489:38] + node _T_4253 = or(_T_4248, _T_4252) @[lsu_bus_buffer.scala 488:95] + node _T_4254 = and(bus_rsp_read, _T_4253) @[lsu_bus_buffer.scala 488:45] + buf_state_bus_en[3] <= _T_4254 @[lsu_bus_buffer.scala 488:29] + node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 490:49] + node _T_4256 = or(_T_4255, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 490:70] + buf_state_en[3] <= _T_4256 @[lsu_bus_buffer.scala 490:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 491:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4257 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4257 : @[Conditional.scala 39:67] + node _T_4258 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 494:60] + node _T_4259 = mux(_T_4258, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4259 @[lsu_bus_buffer.scala 494:25] + node _T_4260 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 495:37] + node _T_4261 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 495:98] + node _T_4262 = and(buf_dual[3], _T_4261) @[lsu_bus_buffer.scala 495:80] + node _T_4263 = or(_T_4260, _T_4262) @[lsu_bus_buffer.scala 495:65] + node _T_4264 = or(_T_4263, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 495:112] + buf_state_en[3] <= _T_4264 @[lsu_bus_buffer.scala 495:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 496:34] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4265 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4265 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 499:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 500:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 501:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 502:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 503:25] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 504:34] + skip @[Conditional.scala 39:67] + node _T_4266 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 507:108] + reg _T_4267 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4266 : @[Reg.scala 28:19] + _T_4267 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4267 @[lsu_bus_buffer.scala 507:18] + reg _T_4268 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 508:60] + _T_4268 <= buf_age_in_3 @[lsu_bus_buffer.scala 508:60] + buf_ageQ[3] <= _T_4268 @[lsu_bus_buffer.scala 508:17] + reg _T_4269 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 509:63] + _T_4269 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 509:63] + buf_rspageQ[3] <= _T_4269 @[lsu_bus_buffer.scala 509:20] + node _T_4270 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:109] + reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4270 : @[Reg.scala 28:19] + _T_4271 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4271 @[lsu_bus_buffer.scala 510:20] + node _T_4272 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 511:74] + node _T_4273 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:107] + reg _T_4274 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4273 : @[Reg.scala 28:19] + _T_4274 <= _T_4272 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4274 @[lsu_bus_buffer.scala 511:17] + node _T_4275 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 512:78] + node _T_4276 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:111] + reg _T_4277 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4276 : @[Reg.scala 28:19] + _T_4277 <= _T_4275 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4277 @[lsu_bus_buffer.scala 512:19] + node _T_4278 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 513:80] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:113] + reg _T_4280 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4279 : @[Reg.scala 28:19] + _T_4280 <= _T_4278 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4280 @[lsu_bus_buffer.scala 513:20] + node _T_4281 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 514:78] + node _T_4282 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:111] + reg _T_4283 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= _T_4281 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4283 @[lsu_bus_buffer.scala 514:19] + node _T_4284 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4285 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4284 : @[Reg.scala 28:19] + _T_4285 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4286 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4288 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4288 : @[Reg.scala 28:19] + _T_4289 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4290 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 517:131] + reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4290 : @[Reg.scala 28:19] + _T_4291 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4292 = cat(_T_4291, _T_4289) @[Cat.scala 29:58] + node _T_4293 = cat(_T_4292, _T_4287) @[Cat.scala 29:58] + node _T_4294 = cat(_T_4293, _T_4285) @[Cat.scala 29:58] + buf_ldfwd <= _T_4294 @[lsu_bus_buffer.scala 517:13] + node _T_4295 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4297 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4297 : @[Reg.scala 28:19] + _T_4298 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4299 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4299 : @[Reg.scala 28:19] + _T_4300 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4301 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 518:132] + reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4301 : @[Reg.scala 28:19] + _T_4302 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4296 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[1] <= _T_4298 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[2] <= _T_4300 @[lsu_bus_buffer.scala 518:16] + buf_ldfwdtag[3] <= _T_4302 @[lsu_bus_buffer.scala 518:16] + node _T_4303 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 519:105] + node _T_4304 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= _T_4303 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 519:105] + node _T_4307 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= _T_4306 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4309 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 519:105] + node _T_4310 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4310 : @[Reg.scala 28:19] + _T_4311 <= _T_4309 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4312 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 519:105] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 519:138] + reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= _T_4312 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = cat(_T_4314, _T_4311) @[Cat.scala 29:58] + node _T_4316 = cat(_T_4315, _T_4308) @[Cat.scala 29:58] + node _T_4317 = cat(_T_4316, _T_4305) @[Cat.scala 29:58] + buf_sideeffect <= _T_4317 @[lsu_bus_buffer.scala 519:18] + node _T_4318 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 520:97] + node _T_4319 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= _T_4318 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4321 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 520:97] + node _T_4322 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4322 : @[Reg.scala 28:19] + _T_4323 <= _T_4321 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4324 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 520:97] + node _T_4325 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= _T_4324 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4327 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 520:97] + node _T_4328 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 520:130] + reg _T_4329 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4328 : @[Reg.scala 28:19] + _T_4329 <= _T_4327 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4330 = cat(_T_4329, _T_4326) @[Cat.scala 29:58] + node _T_4331 = cat(_T_4330, _T_4323) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4320) @[Cat.scala 29:58] + buf_unsign <= _T_4332 @[lsu_bus_buffer.scala 520:14] + node _T_4333 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 521:95] + node _T_4334 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= _T_4333 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4336 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 521:95] + node _T_4337 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= _T_4336 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4339 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 521:95] + node _T_4340 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4340 : @[Reg.scala 28:19] + _T_4341 <= _T_4339 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4342 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 521:95] + node _T_4343 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 521:128] + reg _T_4344 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4343 : @[Reg.scala 28:19] + _T_4344 <= _T_4342 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4345 = cat(_T_4344, _T_4341) @[Cat.scala 29:58] + node _T_4346 = cat(_T_4345, _T_4338) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4335) @[Cat.scala 29:58] + buf_write <= _T_4347 @[lsu_bus_buffer.scala 521:13] + node _T_4348 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4349 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4348 : @[Reg.scala 28:19] + _T_4349 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4350 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4351 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4352 : @[Reg.scala 28:19] + _T_4353 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4354 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 522:117] + reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4354 : @[Reg.scala 28:19] + _T_4355 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4349 @[lsu_bus_buffer.scala 522:10] + buf_sz[1] <= _T_4351 @[lsu_bus_buffer.scala 522:10] + buf_sz[2] <= _T_4353 @[lsu_bus_buffer.scala 522:10] + buf_sz[3] <= _T_4355 @[lsu_bus_buffer.scala 522:10] + node _T_4356 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4356 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4357 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4357 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4358 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4358 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4359 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4359 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4360 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4360 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4361 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4361 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4362 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 523:80] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4362 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4363 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4363 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4357 @[lsu_bus_buffer.scala 523:12] + buf_addr[1] <= _T_4359 @[lsu_bus_buffer.scala 523:12] + buf_addr[2] <= _T_4361 @[lsu_bus_buffer.scala 523:12] + buf_addr[3] <= _T_4363 @[lsu_bus_buffer.scala 523:12] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 524:125] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4365 @[lsu_bus_buffer.scala 524:14] + buf_byteen[1] <= _T_4367 @[lsu_bus_buffer.scala 524:14] + buf_byteen[2] <= _T_4369 @[lsu_bus_buffer.scala 524:14] + buf_byteen[3] <= _T_4371 @[lsu_bus_buffer.scala 524:14] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4372 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4372 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4374 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4374 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4372 @[lsu_bus_buffer.scala 525:12] + buf_data[1] <= _T_4373 @[lsu_bus_buffer.scala 525:12] + buf_data[2] <= _T_4374 @[lsu_bus_buffer.scala 525:12] + buf_data[3] <= _T_4375 @[lsu_bus_buffer.scala 525:12] + node _T_4376 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 526:119] + node _T_4377 = mux(buf_error_en[0], UInt<1>("h01"), _T_4376) @[lsu_bus_buffer.scala 526:84] + node _T_4378 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4379 = and(_T_4377, _T_4378) @[lsu_bus_buffer.scala 526:124] + reg _T_4380 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4380 <= _T_4379 @[lsu_bus_buffer.scala 526:80] + node _T_4381 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 526:119] + node _T_4382 = mux(buf_error_en[1], UInt<1>("h01"), _T_4381) @[lsu_bus_buffer.scala 526:84] + node _T_4383 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4384 = and(_T_4382, _T_4383) @[lsu_bus_buffer.scala 526:124] + reg _T_4385 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4385 <= _T_4384 @[lsu_bus_buffer.scala 526:80] + node _T_4386 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 526:119] + node _T_4387 = mux(buf_error_en[2], UInt<1>("h01"), _T_4386) @[lsu_bus_buffer.scala 526:84] + node _T_4388 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4389 = and(_T_4387, _T_4388) @[lsu_bus_buffer.scala 526:124] + reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4390 <= _T_4389 @[lsu_bus_buffer.scala 526:80] + node _T_4391 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 526:119] + node _T_4392 = mux(buf_error_en[3], UInt<1>("h01"), _T_4391) @[lsu_bus_buffer.scala 526:84] + node _T_4393 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 526:126] + node _T_4394 = and(_T_4392, _T_4393) @[lsu_bus_buffer.scala 526:124] + reg _T_4395 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 526:80] + _T_4395 <= _T_4394 @[lsu_bus_buffer.scala 526:80] + node _T_4396 = cat(_T_4395, _T_4390) @[Cat.scala 29:58] + node _T_4397 = cat(_T_4396, _T_4385) @[Cat.scala 29:58] + node _T_4398 = cat(_T_4397, _T_4380) @[Cat.scala 29:58] + buf_error <= _T_4398 @[lsu_bus_buffer.scala 526:13] + node _T_4399 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4400 = mux(io.ldst_dual_m, _T_4399, io.lsu_busreq_m) @[lsu_bus_buffer.scala 528:28] + node _T_4401 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4402 = mux(io.ldst_dual_r, _T_4401, io.lsu_busreq_r) @[lsu_bus_buffer.scala 528:94] + node _T_4403 = add(_T_4400, _T_4402) @[lsu_bus_buffer.scala 528:88] + node _T_4404 = add(_T_4403, ibuf_valid) @[lsu_bus_buffer.scala 528:154] + node _T_4405 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4406 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4407 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4408 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 528:190] + node _T_4409 = add(_T_4405, _T_4406) @[lsu_bus_buffer.scala 528:217] + node _T_4410 = add(_T_4409, _T_4407) @[lsu_bus_buffer.scala 528:217] + node _T_4411 = add(_T_4410, _T_4408) @[lsu_bus_buffer.scala 528:217] + node _T_4412 = add(_T_4404, _T_4411) @[lsu_bus_buffer.scala 528:169] + node buf_numvld_any = tail(_T_4412, 1) @[lsu_bus_buffer.scala 528:169] + node _T_4413 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 529:60] + node _T_4414 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4415 = and(_T_4413, _T_4414) @[lsu_bus_buffer.scala 529:64] + node _T_4416 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4417 = and(_T_4415, _T_4416) @[lsu_bus_buffer.scala 529:89] + node _T_4418 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 529:60] + node _T_4419 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4420 = and(_T_4418, _T_4419) @[lsu_bus_buffer.scala 529:64] + node _T_4421 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 529:89] + node _T_4423 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 529:60] + node _T_4424 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4425 = and(_T_4423, _T_4424) @[lsu_bus_buffer.scala 529:64] + node _T_4426 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 529:89] + node _T_4428 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 529:60] + node _T_4429 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 529:79] + node _T_4430 = and(_T_4428, _T_4429) @[lsu_bus_buffer.scala 529:64] + node _T_4431 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:91] + node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 529:89] + node _T_4433 = add(_T_4432, _T_4427) @[lsu_bus_buffer.scala 529:142] + node _T_4434 = add(_T_4433, _T_4422) @[lsu_bus_buffer.scala 529:142] + node _T_4435 = add(_T_4434, _T_4417) @[lsu_bus_buffer.scala 529:142] + buf_numvld_wrcmd_any <= _T_4435 @[lsu_bus_buffer.scala 529:24] + node _T_4436 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4437 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 530:73] + node _T_4439 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4440 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 530:73] + node _T_4442 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4443 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4444 = and(_T_4442, _T_4443) @[lsu_bus_buffer.scala 530:73] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 530:63] + node _T_4446 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 530:75] + node _T_4447 = and(_T_4445, _T_4446) @[lsu_bus_buffer.scala 530:73] + node _T_4448 = add(_T_4447, _T_4444) @[lsu_bus_buffer.scala 530:126] + node _T_4449 = add(_T_4448, _T_4441) @[lsu_bus_buffer.scala 530:126] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 530:126] + buf_numvld_cmd_any <= _T_4450 @[lsu_bus_buffer.scala 530:22] + node _T_4451 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 531:100] + node _T_4455 = or(_T_4451, _T_4454) @[lsu_bus_buffer.scala 531:74] + node _T_4456 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4457 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4459 = and(_T_4457, _T_4458) @[lsu_bus_buffer.scala 531:100] + node _T_4460 = or(_T_4456, _T_4459) @[lsu_bus_buffer.scala 531:74] + node _T_4461 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4462 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4464 = and(_T_4462, _T_4463) @[lsu_bus_buffer.scala 531:100] + node _T_4465 = or(_T_4461, _T_4464) @[lsu_bus_buffer.scala 531:74] + node _T_4466 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 531:63] + node _T_4467 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:90] + node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:102] + node _T_4469 = and(_T_4467, _T_4468) @[lsu_bus_buffer.scala 531:100] + node _T_4470 = or(_T_4466, _T_4469) @[lsu_bus_buffer.scala 531:74] + node _T_4471 = add(_T_4470, _T_4465) @[lsu_bus_buffer.scala 531:154] + node _T_4472 = add(_T_4471, _T_4460) @[lsu_bus_buffer.scala 531:154] + node _T_4473 = add(_T_4472, _T_4455) @[lsu_bus_buffer.scala 531:154] + buf_numvld_pend_any <= _T_4473 @[lsu_bus_buffer.scala 531:23] + node _T_4474 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4475 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4476 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4477 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 532:61] + node _T_4478 = or(_T_4477, _T_4476) @[lsu_bus_buffer.scala 532:93] + node _T_4479 = or(_T_4478, _T_4475) @[lsu_bus_buffer.scala 532:93] + node _T_4480 = or(_T_4479, _T_4474) @[lsu_bus_buffer.scala 532:93] + any_done_wait_state <= _T_4480 @[lsu_bus_buffer.scala 532:23] + node _T_4481 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 533:53] + io.lsu_bus_buffer_pend_any <= _T_4481 @[lsu_bus_buffer.scala 533:30] + node _T_4482 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 534:52] + node _T_4483 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 534:92] + node _T_4484 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 534:121] + node _T_4485 = mux(_T_4482, _T_4483, _T_4484) @[lsu_bus_buffer.scala 534:36] + io.lsu_bus_buffer_full_any <= _T_4485 @[lsu_bus_buffer.scala 534:30] + node _T_4486 = orr(buf_state[0]) @[lsu_bus_buffer.scala 535:52] + node _T_4487 = orr(buf_state[1]) @[lsu_bus_buffer.scala 535:52] + node _T_4488 = orr(buf_state[2]) @[lsu_bus_buffer.scala 535:52] + node _T_4489 = orr(buf_state[3]) @[lsu_bus_buffer.scala 535:52] + node _T_4490 = or(_T_4486, _T_4487) @[lsu_bus_buffer.scala 535:65] + node _T_4491 = or(_T_4490, _T_4488) @[lsu_bus_buffer.scala 535:65] + node _T_4492 = or(_T_4491, _T_4489) @[lsu_bus_buffer.scala 535:65] + node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:34] + node _T_4494 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:72] + node _T_4495 = and(_T_4493, _T_4494) @[lsu_bus_buffer.scala 535:70] + node _T_4496 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:86] + node _T_4497 = and(_T_4495, _T_4496) @[lsu_bus_buffer.scala 535:84] + io.lsu_bus_buffer_empty_any <= _T_4497 @[lsu_bus_buffer.scala 535:31] + node _T_4498 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 537:64] + node _T_4499 = and(_T_4498, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 537:85] + node _T_4500 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:112] + node _T_4501 = and(_T_4499, _T_4500) @[lsu_bus_buffer.scala 537:110] + node _T_4502 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:129] + node _T_4503 = and(_T_4501, _T_4502) @[lsu_bus_buffer.scala 537:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4503 @[lsu_bus_buffer.scala 537:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 538:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4504 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 540:74] + node _T_4505 = and(lsu_nonblock_load_valid_r, _T_4504) @[lsu_bus_buffer.scala 540:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4505 @[lsu_bus_buffer.scala 540:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 541:47] + node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4507 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 542:106] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4510 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 542:106] + node _T_4511 = eq(_T_4510, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4512 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4513 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 542:106] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4515 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 542:80] + node _T_4516 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 542:106] + node _T_4517 = eq(_T_4516, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:95] + node _T_4518 = mux(_T_4506, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4519 = mux(_T_4509, _T_4511, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4520 = mux(_T_4512, _T_4514, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4521 = mux(_T_4515, _T_4517, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4522 = or(_T_4518, _T_4519) @[Mux.scala 27:72] + node _T_4523 = or(_T_4522, _T_4520) @[Mux.scala 27:72] + node _T_4524 = or(_T_4523, _T_4521) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4524 @[Mux.scala 27:72] + node _T_4525 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4526 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 543:117] + node _T_4527 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 543:133] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4529 = and(_T_4526, _T_4528) @[lsu_bus_buffer.scala 543:121] + node _T_4530 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4531 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 543:117] + node _T_4532 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 543:133] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4534 = and(_T_4531, _T_4533) @[lsu_bus_buffer.scala 543:121] + node _T_4535 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4536 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 543:117] + node _T_4537 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 543:133] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4539 = and(_T_4536, _T_4538) @[lsu_bus_buffer.scala 543:121] + node _T_4540 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 543:93] + node _T_4541 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 543:117] + node _T_4542 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 543:133] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[lsu_bus_buffer.scala 543:123] + node _T_4544 = and(_T_4541, _T_4543) @[lsu_bus_buffer.scala 543:121] + node _T_4545 = mux(_T_4525, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4546 = mux(_T_4530, _T_4534, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4547 = mux(_T_4535, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4548 = mux(_T_4540, _T_4544, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4549 = or(_T_4545, _T_4546) @[Mux.scala 27:72] + node _T_4550 = or(_T_4549, _T_4547) @[Mux.scala 27:72] + node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] + wire _T_4552 : UInt<1> @[Mux.scala 27:72] + _T_4552 <= _T_4551 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4552 @[lsu_bus_buffer.scala 543:48] + node _T_4553 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4554 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:115] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4556 = and(_T_4553, _T_4555) @[lsu_bus_buffer.scala 544:103] + node _T_4557 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4558 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4559 = or(_T_4557, _T_4558) @[lsu_bus_buffer.scala 544:135] + node _T_4560 = and(_T_4556, _T_4559) @[lsu_bus_buffer.scala 544:119] + node _T_4561 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4562 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:115] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 544:103] + node _T_4565 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4566 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4567 = or(_T_4565, _T_4566) @[lsu_bus_buffer.scala 544:135] + node _T_4568 = and(_T_4564, _T_4567) @[lsu_bus_buffer.scala 544:119] + node _T_4569 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4570 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:115] + node _T_4571 = eq(_T_4570, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4572 = and(_T_4569, _T_4571) @[lsu_bus_buffer.scala 544:103] + node _T_4573 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4574 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4575 = or(_T_4573, _T_4574) @[lsu_bus_buffer.scala 544:135] + node _T_4576 = and(_T_4572, _T_4575) @[lsu_bus_buffer.scala 544:119] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:92] + node _T_4578 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:115] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:105] + node _T_4580 = and(_T_4577, _T_4579) @[lsu_bus_buffer.scala 544:103] + node _T_4581 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:122] + node _T_4582 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 544:137] + node _T_4583 = or(_T_4581, _T_4582) @[lsu_bus_buffer.scala 544:135] + node _T_4584 = and(_T_4580, _T_4583) @[lsu_bus_buffer.scala 544:119] + node _T_4585 = mux(_T_4560, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4586 = mux(_T_4568, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = mux(_T_4576, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4584, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = or(_T_4585, _T_4586) @[Mux.scala 27:72] + node _T_4590 = or(_T_4589, _T_4587) @[Mux.scala 27:72] + node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] + wire _T_4592 : UInt<2> @[Mux.scala 27:72] + _T_4592 <= _T_4591 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4592 @[lsu_bus_buffer.scala 544:46] + node _T_4593 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4594 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:101] + node _T_4595 = eq(_T_4594, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4596 = and(_T_4593, _T_4595) @[lsu_bus_buffer.scala 545:89] + node _T_4597 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4598 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4599 = or(_T_4597, _T_4598) @[lsu_bus_buffer.scala 545:121] + node _T_4600 = and(_T_4596, _T_4599) @[lsu_bus_buffer.scala 545:105] + node _T_4601 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4602 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:101] + node _T_4603 = eq(_T_4602, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4604 = and(_T_4601, _T_4603) @[lsu_bus_buffer.scala 545:89] + node _T_4605 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4606 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4607 = or(_T_4605, _T_4606) @[lsu_bus_buffer.scala 545:121] + node _T_4608 = and(_T_4604, _T_4607) @[lsu_bus_buffer.scala 545:105] + node _T_4609 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4610 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:101] + node _T_4611 = eq(_T_4610, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4612 = and(_T_4609, _T_4611) @[lsu_bus_buffer.scala 545:89] + node _T_4613 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4614 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4615 = or(_T_4613, _T_4614) @[lsu_bus_buffer.scala 545:121] + node _T_4616 = and(_T_4612, _T_4615) @[lsu_bus_buffer.scala 545:105] + node _T_4617 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:78] + node _T_4618 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:101] + node _T_4619 = eq(_T_4618, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:91] + node _T_4620 = and(_T_4617, _T_4619) @[lsu_bus_buffer.scala 545:89] + node _T_4621 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:108] + node _T_4622 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4623 = or(_T_4621, _T_4622) @[lsu_bus_buffer.scala 545:121] + node _T_4624 = and(_T_4620, _T_4623) @[lsu_bus_buffer.scala 545:105] + node _T_4625 = mux(_T_4600, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4626 = mux(_T_4608, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4627 = mux(_T_4616, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4628 = mux(_T_4624, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4629 = or(_T_4625, _T_4626) @[Mux.scala 27:72] + node _T_4630 = or(_T_4629, _T_4627) @[Mux.scala 27:72] + node _T_4631 = or(_T_4630, _T_4628) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4631 @[Mux.scala 27:72] + node _T_4632 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4633 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:101] + node _T_4634 = eq(_T_4633, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4635 = and(_T_4632, _T_4634) @[lsu_bus_buffer.scala 546:89] + node _T_4636 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 546:120] + node _T_4637 = and(_T_4635, _T_4636) @[lsu_bus_buffer.scala 546:105] + node _T_4638 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4639 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:101] + node _T_4640 = eq(_T_4639, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4641 = and(_T_4638, _T_4640) @[lsu_bus_buffer.scala 546:89] + node _T_4642 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 546:120] + node _T_4643 = and(_T_4641, _T_4642) @[lsu_bus_buffer.scala 546:105] + node _T_4644 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4645 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:101] + node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4647 = and(_T_4644, _T_4646) @[lsu_bus_buffer.scala 546:89] + node _T_4648 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 546:120] + node _T_4649 = and(_T_4647, _T_4648) @[lsu_bus_buffer.scala 546:105] + node _T_4650 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:78] + node _T_4651 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:101] + node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:91] + node _T_4653 = and(_T_4650, _T_4652) @[lsu_bus_buffer.scala 546:89] + node _T_4654 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 546:120] + node _T_4655 = and(_T_4653, _T_4654) @[lsu_bus_buffer.scala 546:105] + node _T_4656 = mux(_T_4637, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4657 = mux(_T_4643, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4658 = mux(_T_4649, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4659 = mux(_T_4655, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4660 = or(_T_4656, _T_4657) @[Mux.scala 27:72] + node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] + node _T_4662 = or(_T_4661, _T_4659) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4662 @[Mux.scala 27:72] + node _T_4663 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4664 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4665 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4666 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4667 = mux(_T_4663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] + wire _T_4674 : UInt<32> @[Mux.scala 27:72] + _T_4674 <= _T_4673 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4674, 1, 0) @[lsu_bus_buffer.scala 547:96] + node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4678 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4679 = mux(_T_4675, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = mux(_T_4678, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4683 = or(_T_4679, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + node _T_4685 = or(_T_4684, _T_4682) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4685 @[Mux.scala 27:72] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4687 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4689 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4690 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4691 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4692 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4693 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4694 = mux(_T_4686, _T_4687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4695 = mux(_T_4688, _T_4689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4696 = mux(_T_4690, _T_4691, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4697 = mux(_T_4692, _T_4693, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4698 = or(_T_4694, _T_4695) @[Mux.scala 27:72] + node _T_4699 = or(_T_4698, _T_4696) @[Mux.scala 27:72] + node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4700 @[Mux.scala 27:72] + node _T_4701 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4702 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 551:121] + node lsu_nonblock_data_unalgn = dshr(_T_4701, _T_4702) @[lsu_bus_buffer.scala 551:92] + node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:82] + node _T_4704 = and(lsu_nonblock_load_data_ready, _T_4703) @[lsu_bus_buffer.scala 553:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4704 @[lsu_bus_buffer.scala 553:48] + node _T_4705 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:94] + node _T_4706 = and(lsu_nonblock_unsign, _T_4705) @[lsu_bus_buffer.scala 554:76] + node _T_4707 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 554:144] + node _T_4708 = cat(UInt<24>("h00"), _T_4707) @[Cat.scala 29:58] + node _T_4709 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 555:45] + node _T_4710 = and(lsu_nonblock_unsign, _T_4709) @[lsu_bus_buffer.scala 555:26] + node _T_4711 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 555:95] + node _T_4712 = cat(UInt<16>("h00"), _T_4711) @[Cat.scala 29:58] + node _T_4713 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:6] + node _T_4714 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:45] + node _T_4715 = and(_T_4713, _T_4714) @[lsu_bus_buffer.scala 556:27] + node _T_4716 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 556:93] + node _T_4717 = bits(_T_4716, 0, 0) @[Bitwise.scala 72:15] + node _T_4718 = mux(_T_4717, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4719 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:123] + node _T_4720 = cat(_T_4718, _T_4719) @[Cat.scala 29:58] + node _T_4721 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 557:6] + node _T_4722 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4723 = and(_T_4721, _T_4722) @[lsu_bus_buffer.scala 557:27] + node _T_4724 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 557:93] + node _T_4725 = bits(_T_4724, 0, 0) @[Bitwise.scala 72:15] + node _T_4726 = mux(_T_4725, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4727 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:124] + node _T_4728 = cat(_T_4726, _T_4727) @[Cat.scala 29:58] + node _T_4729 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 558:21] + node _T_4730 = mux(_T_4706, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4731 = mux(_T_4710, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4732 = mux(_T_4715, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4723, _T_4728, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4729, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = or(_T_4730, _T_4731) @[Mux.scala 27:72] + node _T_4736 = or(_T_4735, _T_4732) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4733) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4734) @[Mux.scala 27:72] + wire _T_4739 : UInt<64> @[Mux.scala 27:72] + _T_4739 <= _T_4738 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4739 @[lsu_bus_buffer.scala 554:42] + node _T_4740 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4741 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 559:89] + node _T_4742 = and(_T_4740, _T_4741) @[lsu_bus_buffer.scala 559:73] + node _T_4743 = and(_T_4742, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4744 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4745 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 559:89] + node _T_4746 = and(_T_4744, _T_4745) @[lsu_bus_buffer.scala 559:73] + node _T_4747 = and(_T_4746, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4748 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4749 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 559:89] + node _T_4750 = and(_T_4748, _T_4749) @[lsu_bus_buffer.scala 559:73] + node _T_4751 = and(_T_4750, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4752 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 559:62] + node _T_4753 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 559:89] + node _T_4754 = and(_T_4752, _T_4753) @[lsu_bus_buffer.scala 559:73] + node _T_4755 = and(_T_4754, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:93] + node _T_4756 = or(_T_4743, _T_4747) @[lsu_bus_buffer.scala 559:153] + node _T_4757 = or(_T_4756, _T_4751) @[lsu_bus_buffer.scala 559:153] + node _T_4758 = or(_T_4757, _T_4755) @[lsu_bus_buffer.scala 559:153] + node _T_4759 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 559:171] + node _T_4760 = and(_T_4759, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 559:189] + node _T_4761 = or(_T_4758, _T_4760) @[lsu_bus_buffer.scala 559:157] + bus_sideeffect_pend <= _T_4761 @[lsu_bus_buffer.scala 559:23] + node _T_4762 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4763 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4764 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4765 = eq(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:37] + node _T_4766 = and(obuf_valid, _T_4765) @[lsu_bus_buffer.scala 561:19] + node _T_4767 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:73] + node _T_4768 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:107] + node _T_4769 = and(obuf_merge, _T_4768) @[lsu_bus_buffer.scala 561:95] + node _T_4770 = or(_T_4767, _T_4769) @[lsu_bus_buffer.scala 561:81] + node _T_4771 = eq(_T_4770, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4772 = and(_T_4766, _T_4771) @[lsu_bus_buffer.scala 561:59] + node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4775 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 561:37] + node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 561:19] + node _T_4778 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:73] + node _T_4779 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 561:107] + node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 561:95] + node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 561:81] + node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 561:59] + node _T_4784 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4786 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 561:37] + node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 561:19] + node _T_4789 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:73] + node _T_4790 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 561:107] + node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 561:95] + node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 561:81] + node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 561:59] + node _T_4795 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 560:71] + node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 561:31] + node _T_4797 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 561:51] + node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 561:37] + node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 561:19] + node _T_4800 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:73] + node _T_4801 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 561:107] + node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 561:95] + node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 561:81] + node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 561:61] + node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 561:59] + node _T_4806 = mux(_T_4762, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4807 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4808 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4809 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4810 = or(_T_4806, _T_4807) @[Mux.scala 27:72] + node _T_4811 = or(_T_4810, _T_4808) @[Mux.scala 27:72] + node _T_4812 = or(_T_4811, _T_4809) @[Mux.scala 27:72] + wire _T_4813 : UInt<1> @[Mux.scala 27:72] + _T_4813 <= _T_4812 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4813 @[lsu_bus_buffer.scala 560:26] + node _T_4814 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 563:54] + node _T_4815 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 563:75] + node _T_4816 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 563:153] + node _T_4817 = mux(_T_4814, _T_4815, _T_4816) @[lsu_bus_buffer.scala 563:39] + node _T_4818 = mux(obuf_write, _T_4817, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 563:23] + bus_cmd_ready <= _T_4818 @[lsu_bus_buffer.scala 563:17] + node _T_4819 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 564:40] + bus_wcmd_sent <= _T_4819 @[lsu_bus_buffer.scala 564:17] + node _T_4820 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:40] + bus_wdata_sent <= _T_4820 @[lsu_bus_buffer.scala 565:18] + node _T_4821 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 566:35] + node _T_4822 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 566:70] + node _T_4823 = and(_T_4821, _T_4822) @[lsu_bus_buffer.scala 566:52] + node _T_4824 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 566:112] + node _T_4825 = or(_T_4823, _T_4824) @[lsu_bus_buffer.scala 566:89] + bus_cmd_sent <= _T_4825 @[lsu_bus_buffer.scala 566:16] + node _T_4826 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 567:38] + bus_rsp_read <= _T_4826 @[lsu_bus_buffer.scala 567:16] + node _T_4827 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 568:39] + bus_rsp_write <= _T_4827 @[lsu_bus_buffer.scala 568:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 569:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 570:21] + node _T_4828 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 571:66] + node _T_4829 = and(bus_rsp_write, _T_4828) @[lsu_bus_buffer.scala 571:40] + bus_rsp_write_error <= _T_4829 @[lsu_bus_buffer.scala 571:23] + node _T_4830 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 572:64] + node _T_4831 = and(bus_rsp_read, _T_4830) @[lsu_bus_buffer.scala 572:38] + bus_rsp_read_error <= _T_4831 @[lsu_bus_buffer.scala 572:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 573:17] + node _T_4832 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 576:37] + node _T_4833 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:52] + node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 576:50] + node _T_4835 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 576:69] + node _T_4836 = and(_T_4834, _T_4835) @[lsu_bus_buffer.scala 576:67] + io.lsu_axi.aw.valid <= _T_4836 @[lsu_bus_buffer.scala 576:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 577:25] + node _T_4837 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 578:75] + node _T_4838 = cat(_T_4837, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4839 = mux(obuf_sideeffect, obuf_addr, _T_4838) @[lsu_bus_buffer.scala 578:33] + io.lsu_axi.aw.bits.addr <= _T_4839 @[lsu_bus_buffer.scala 578:27] + node _T_4840 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4841 = mux(obuf_sideeffect, _T_4840, UInt<3>("h03")) @[lsu_bus_buffer.scala 579:33] + io.lsu_axi.aw.bits.size <= _T_4841 @[lsu_bus_buffer.scala 579:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 580:27] + node _T_4842 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 581:34] + io.lsu_axi.aw.bits.cache <= _T_4842 @[lsu_bus_buffer.scala 581:28] + node _T_4843 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.aw.bits.region <= _T_4843 @[lsu_bus_buffer.scala 582:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 583:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 584:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 586:27] + node _T_4844 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 588:36] + node _T_4845 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:51] + node _T_4846 = and(_T_4844, _T_4845) @[lsu_bus_buffer.scala 588:49] + node _T_4847 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 588:69] + node _T_4848 = and(_T_4846, _T_4847) @[lsu_bus_buffer.scala 588:67] + io.lsu_axi.w.valid <= _T_4848 @[lsu_bus_buffer.scala 588:22] + node _T_4849 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4850 = mux(_T_4849, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4851 = and(obuf_byteen, _T_4850) @[lsu_bus_buffer.scala 589:41] + io.lsu_axi.w.bits.strb <= _T_4851 @[lsu_bus_buffer.scala 589:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 590:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 591:26] + node _T_4852 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:39] + node _T_4853 = and(obuf_valid, _T_4852) @[lsu_bus_buffer.scala 593:37] + node _T_4854 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:53] + node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 593:51] + node _T_4856 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 593:68] + node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 593:66] + io.lsu_axi.ar.valid <= _T_4857 @[lsu_bus_buffer.scala 593:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 594:25] + node _T_4858 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 595:75] + node _T_4859 = cat(_T_4858, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4860 = mux(obuf_sideeffect, obuf_addr, _T_4859) @[lsu_bus_buffer.scala 595:33] + io.lsu_axi.ar.bits.addr <= _T_4860 @[lsu_bus_buffer.scala 595:27] + node _T_4861 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4862 = mux(obuf_sideeffect, _T_4861, UInt<3>("h03")) @[lsu_bus_buffer.scala 596:33] + io.lsu_axi.ar.bits.size <= _T_4862 @[lsu_bus_buffer.scala 596:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:27] + node _T_4863 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 598:34] + io.lsu_axi.ar.bits.cache <= _T_4863 @[lsu_bus_buffer.scala 598:28] + node _T_4864 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 599:41] + io.lsu_axi.ar.bits.region <= _T_4864 @[lsu_bus_buffer.scala 599:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 600:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 601:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 604:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:22] + node _T_4865 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4866 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 606:137] + node _T_4867 = and(io.lsu_bus_clk_en_q, _T_4866) @[lsu_bus_buffer.scala 606:126] + node _T_4868 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 606:152] + node _T_4869 = and(_T_4867, _T_4868) @[lsu_bus_buffer.scala 606:141] + node _T_4870 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4871 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 606:137] + node _T_4872 = and(io.lsu_bus_clk_en_q, _T_4871) @[lsu_bus_buffer.scala 606:126] + node _T_4873 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 606:152] + node _T_4874 = and(_T_4872, _T_4873) @[lsu_bus_buffer.scala 606:141] + node _T_4875 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4876 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 606:137] + node _T_4877 = and(io.lsu_bus_clk_en_q, _T_4876) @[lsu_bus_buffer.scala 606:126] + node _T_4878 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 606:152] + node _T_4879 = and(_T_4877, _T_4878) @[lsu_bus_buffer.scala 606:141] + node _T_4880 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 606:93] + node _T_4881 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 606:137] + node _T_4882 = and(io.lsu_bus_clk_en_q, _T_4881) @[lsu_bus_buffer.scala 606:126] + node _T_4883 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 606:152] + node _T_4884 = and(_T_4882, _T_4883) @[lsu_bus_buffer.scala 606:141] + node _T_4885 = mux(_T_4865, _T_4869, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4886 = mux(_T_4870, _T_4874, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4887 = mux(_T_4875, _T_4879, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4888 = mux(_T_4880, _T_4884, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4889 = or(_T_4885, _T_4886) @[Mux.scala 27:72] + node _T_4890 = or(_T_4889, _T_4887) @[Mux.scala 27:72] + node _T_4891 = or(_T_4890, _T_4888) @[Mux.scala 27:72] + wire _T_4892 : UInt<1> @[Mux.scala 27:72] + _T_4892 <= _T_4891 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4892 @[lsu_bus_buffer.scala 606:48] + node _T_4893 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4894 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 607:104] + node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 607:93] + node _T_4896 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 607:119] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 607:108] + node _T_4898 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4899 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 607:104] + node _T_4900 = and(_T_4898, _T_4899) @[lsu_bus_buffer.scala 607:93] + node _T_4901 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 607:119] + node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 607:108] + node _T_4903 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4904 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 607:104] + node _T_4905 = and(_T_4903, _T_4904) @[lsu_bus_buffer.scala 607:93] + node _T_4906 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 607:119] + node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 607:108] + node _T_4908 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 607:82] + node _T_4909 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 607:104] + node _T_4910 = and(_T_4908, _T_4909) @[lsu_bus_buffer.scala 607:93] + node _T_4911 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 607:119] + node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 607:108] + node _T_4913 = mux(_T_4897, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4914 = mux(_T_4902, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4915 = mux(_T_4907, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4912, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = or(_T_4913, _T_4914) @[Mux.scala 27:72] + node _T_4918 = or(_T_4917, _T_4915) @[Mux.scala 27:72] + node _T_4919 = or(_T_4918, _T_4916) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4919 @[Mux.scala 27:72] + node _T_4920 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 609:97] + node _T_4921 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4920) @[lsu_bus_buffer.scala 609:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4921 @[lsu_bus_buffer.scala 609:47] + node _T_4922 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 610:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4922 @[lsu_bus_buffer.scala 610:47] + node _T_4923 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 616:59] + node _T_4924 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 616:104] + node _T_4925 = or(_T_4923, _T_4924) @[lsu_bus_buffer.scala 616:82] + node _T_4926 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 616:149] + node _T_4927 = or(_T_4925, _T_4926) @[lsu_bus_buffer.scala 616:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4927 @[lsu_bus_buffer.scala 616:35] + node _T_4928 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 617:60] + node _T_4929 = and(_T_4928, io.lsu_commit_r) @[lsu_bus_buffer.scala 617:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4929 @[lsu_bus_buffer.scala 617:41] + node _T_4930 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 618:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4930 @[lsu_bus_buffer.scala 618:36] + node _T_4931 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:61] + node _T_4932 = and(io.lsu_axi.aw.valid, _T_4931) @[lsu_bus_buffer.scala 620:59] + node _T_4933 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:107] + node _T_4934 = and(io.lsu_axi.w.valid, _T_4933) @[lsu_bus_buffer.scala 620:105] + node _T_4935 = or(_T_4932, _T_4934) @[lsu_bus_buffer.scala 620:83] + node _T_4936 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 620:153] + node _T_4937 = and(io.lsu_axi.ar.valid, _T_4936) @[lsu_bus_buffer.scala 620:151] + node _T_4938 = or(_T_4935, _T_4937) @[lsu_bus_buffer.scala 620:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4938 @[lsu_bus_buffer.scala 620:35] + reg _T_4939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 622:49] + _T_4939 <= WrPtr0_m @[lsu_bus_buffer.scala 622:49] + WrPtr0_r <= _T_4939 @[lsu_bus_buffer.scala 622:12] + reg _T_4940 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 623:49] + _T_4940 <= WrPtr1_m @[lsu_bus_buffer.scala 623:49] + WrPtr1_r <= _T_4940 @[lsu_bus_buffer.scala 623:12] + node _T_4941 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:75] + node _T_4942 = and(io.lsu_busreq_m, _T_4941) @[lsu_bus_buffer.scala 624:73] + node _T_4943 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 624:89] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 624:87] + reg _T_4945 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:56] + _T_4945 <= _T_4944 @[lsu_bus_buffer.scala 624:56] + io.lsu_busreq_r <= _T_4945 @[lsu_bus_buffer.scala 624:19] + reg _T_4946 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:66] + _T_4946 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 625:66] + lsu_nonblock_load_valid_r <= _T_4946 @[lsu_bus_buffer.scala 625:29] + + module lsu_bus_intf : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, flip clk_override : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_bus_obuf_c1_clken : UInt<1>, flip lsu_busm_clken : UInt<1>, flip lsu_c1_r_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip active_clk : Clock, flip lsu_busm_clk : Clock, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_busreq_m : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip store_data_r : UInt<32>, flip dec_tlu_force_halt : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, bus_read_data_m : UInt<32>, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip lsu_bus_clk_en : UInt<1>} + + wire lsu_bus_clk_en_q : UInt<1> + lsu_bus_clk_en_q <= UInt<1>("h00") + wire ldst_byteen_m : UInt<4> + ldst_byteen_m <= UInt<1>("h00") + wire ldst_byteen_r : UInt<4> + ldst_byteen_r <= UInt<1>("h00") + wire ldst_byteen_ext_m : UInt<8> + ldst_byteen_ext_m <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ldst_byteen_hi_m : UInt<4> + ldst_byteen_hi_m <= UInt<1>("h00") + wire ldst_byteen_hi_r : UInt<4> + ldst_byteen_hi_r <= UInt<1>("h00") + wire ldst_byteen_lo_m : UInt<4> + ldst_byteen_lo_m <= UInt<1>("h00") + wire ldst_byteen_lo_r : UInt<4> + ldst_byteen_lo_r <= UInt<1>("h00") + wire is_sideeffects_r : UInt<1> + is_sideeffects_r <= UInt<1>("h00") + wire store_data_ext_r : UInt<64> + store_data_ext_r <= UInt<1>("h00") + wire store_data_hi_r : UInt<32> + store_data_hi_r <= UInt<1>("h00") + wire store_data_lo_r : UInt<32> + store_data_lo_r <= UInt<1>("h00") + wire addr_match_dw_lo_r_m : UInt<1> + addr_match_dw_lo_r_m <= UInt<1>("h00") + wire addr_match_word_lo_r_m : UInt<1> + addr_match_word_lo_r_m <= UInt<1>("h00") + wire no_word_merge_r : UInt<1> + no_word_merge_r <= UInt<1>("h00") + wire no_dword_merge_r : UInt<1> + no_dword_merge_r <= UInt<1>("h00") + wire ld_addr_rhit_lo_lo : UInt<1> + ld_addr_rhit_lo_lo <= UInt<1>("h00") + wire ld_addr_rhit_hi_lo : UInt<1> + ld_addr_rhit_hi_lo <= UInt<1>("h00") + wire ld_addr_rhit_lo_hi : UInt<1> + ld_addr_rhit_lo_hi <= UInt<1>("h00") + wire ld_addr_rhit_hi_hi : UInt<1> + ld_addr_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire ld_byte_hit_buf_lo : UInt<4> + ld_byte_hit_buf_lo <= UInt<1>("h00") + wire ld_byte_hit_buf_hi : UInt<4> + ld_byte_hit_buf_hi <= UInt<1>("h00") + wire ld_fwddata_buf_lo : UInt<32> + ld_fwddata_buf_lo <= UInt<1>("h00") + wire ld_fwddata_buf_hi : UInt<32> + ld_fwddata_buf_hi <= UInt<1>("h00") + wire ld_fwddata_lo : UInt<64> + ld_fwddata_lo <= UInt<1>("h00") + wire ld_fwddata_hi : UInt<64> + ld_fwddata_hi <= UInt<1>("h00") + wire ld_fwddata_m : UInt<64> + ld_fwddata_m <= UInt<1>("h00") + wire ld_full_hit_hi_m : UInt<1> + ld_full_hit_hi_m <= UInt<1>("h01") + wire ld_full_hit_lo_m : UInt<1> + ld_full_hit_lo_m <= UInt<1>("h01") + wire ld_full_hit_m : UInt<1> + ld_full_hit_m <= UInt<1>("h00") + inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 100:39] + bus_buffer.clock <= clock + bus_buffer.reset <= reset + bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 102:29] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 103:18] + bus_buffer.io.clk_override <= io.clk_override @[lsu_bus_intf.scala 104:51] + bus_buffer.io.lsu_bus_obuf_c1_clken <= io.lsu_bus_obuf_c1_clken @[lsu_bus_intf.scala 105:51] + bus_buffer.io.lsu_busm_clken <= io.lsu_busm_clken @[lsu_bus_intf.scala 106:51] + bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 107:51] + bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 108:51] + bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 109:51] + bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 110:51] + bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 111:51] + bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 112:51] + bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 113:51] + bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 114:51] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 117:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 118:27] + bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 121:51] + bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 122:51] + bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 123:51] + bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 124:51] + bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 125:51] + bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 127:51] + bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 128:51] + bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 129:51] + bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 130:51] + bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 131:51] + io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 131:51] + io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 131:51] + io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 131:51] + io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 131:51] + io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 131:51] + io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 131:51] + io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 131:51] + io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 131:51] + io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 131:51] + io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 131:51] + bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 132:51] + io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 134:38] + io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 135:38] + io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 136:38] + io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 137:38] + ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 139:38] + ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 140:38] + ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 141:38] + ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 142:38] + io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 143:19] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 143:19] + bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 144:51] + bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 145:51] + bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 146:51] + bus_buffer.io.ldst_dual_d <= io.ldst_dual_d @[lsu_bus_intf.scala 147:51] + bus_buffer.io.ldst_dual_m <= io.ldst_dual_m @[lsu_bus_intf.scala 148:51] + bus_buffer.io.ldst_dual_r <= io.ldst_dual_r @[lsu_bus_intf.scala 149:51] + bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 150:51] + bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 151:51] + bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 152:51] + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 154:63] + node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 154:107] + node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 154:148] + node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = or(_T_3, _T_4) @[Mux.scala 27:72] + node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72] + wire _T_8 : UInt<4> @[Mux.scala 27:72] + _T_8 <= _T_7 @[Mux.scala 27:72] + ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 154:27] + node _T_9 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 155:44] + node _T_10 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 155:68] + node _T_11 = eq(_T_9, _T_10) @[lsu_bus_intf.scala 155:51] + addr_match_dw_lo_r_m <= _T_11 @[lsu_bus_intf.scala 155:27] + node _T_12 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 156:68] + node _T_13 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 156:85] + node _T_14 = xor(_T_12, _T_13) @[lsu_bus_intf.scala 156:71] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[lsu_bus_intf.scala 156:53] + node _T_16 = and(addr_match_dw_lo_r_m, _T_15) @[lsu_bus_intf.scala 156:51] + addr_match_word_lo_r_m <= _T_16 @[lsu_bus_intf.scala 156:27] + node _T_17 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] + node _T_18 = and(io.lsu_busreq_r, _T_17) @[lsu_bus_intf.scala 157:46] + node _T_19 = and(_T_18, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:64] + node _T_20 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:110] + node _T_21 = or(io.lsu_pkt_m.bits.load, _T_20) @[lsu_bus_intf.scala 157:108] + node _T_22 = and(_T_19, _T_21) @[lsu_bus_intf.scala 157:82] + no_word_merge_r <= _T_22 @[lsu_bus_intf.scala 157:27] + node _T_23 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 158:48] + node _T_24 = and(io.lsu_busreq_r, _T_23) @[lsu_bus_intf.scala 158:46] + node _T_25 = and(_T_24, io.lsu_busreq_m) @[lsu_bus_intf.scala 158:64] + node _T_26 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 158:110] + node _T_27 = or(io.lsu_pkt_m.bits.load, _T_26) @[lsu_bus_intf.scala 158:108] + node _T_28 = and(_T_25, _T_27) @[lsu_bus_intf.scala 158:82] + no_dword_merge_r <= _T_28 @[lsu_bus_intf.scala 158:27] + node _T_29 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 160:43] + node _T_30 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 160:65] + node _T_31 = dshl(_T_29, _T_30) @[lsu_bus_intf.scala 160:49] + ldst_byteen_ext_m <= _T_31 @[lsu_bus_intf.scala 160:27] + node _T_32 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 161:43] + node _T_33 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:65] + node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 161:49] + ldst_byteen_ext_r <= _T_34 @[lsu_bus_intf.scala 161:27] + node _T_35 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 162:45] + node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 162:72] + node _T_37 = cat(_T_36, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_38 = dshl(_T_35, _T_37) @[lsu_bus_intf.scala 162:52] + store_data_ext_r <= _T_38 @[lsu_bus_intf.scala 162:27] + node _T_39 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 163:47] + ldst_byteen_hi_m <= _T_39 @[lsu_bus_intf.scala 163:27] + node _T_40 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 164:47] + ldst_byteen_lo_m <= _T_40 @[lsu_bus_intf.scala 164:27] + node _T_41 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 165:47] + ldst_byteen_hi_r <= _T_41 @[lsu_bus_intf.scala 165:27] + node _T_42 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 166:47] + ldst_byteen_lo_r <= _T_42 @[lsu_bus_intf.scala 166:27] + node _T_43 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 168:46] + store_data_hi_r <= _T_43 @[lsu_bus_intf.scala 168:27] + node _T_44 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 169:46] + store_data_lo_r <= _T_44 @[lsu_bus_intf.scala 169:27] + node _T_45 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] + node _T_46 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] + node _T_47 = eq(_T_45, _T_46) @[lsu_bus_intf.scala 170:51] + node _T_48 = and(_T_47, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] + node _T_49 = and(_T_48, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] + node _T_50 = and(_T_49, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] + ld_addr_rhit_lo_lo <= _T_50 @[lsu_bus_intf.scala 170:27] + node _T_51 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] + node _T_52 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] + node _T_53 = eq(_T_51, _T_52) @[lsu_bus_intf.scala 171:51] + node _T_54 = and(_T_53, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] + node _T_55 = and(_T_54, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] + node _T_56 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] + ld_addr_rhit_lo_hi <= _T_56 @[lsu_bus_intf.scala 171:27] + node _T_57 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] + node _T_58 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] + node _T_59 = eq(_T_57, _T_58) @[lsu_bus_intf.scala 172:51] + node _T_60 = and(_T_59, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] + node _T_61 = and(_T_60, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] + node _T_62 = and(_T_61, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] + ld_addr_rhit_hi_lo <= _T_62 @[lsu_bus_intf.scala 172:27] + node _T_63 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 173:44] + node _T_64 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 173:68] + node _T_65 = eq(_T_63, _T_64) @[lsu_bus_intf.scala 173:51] + node _T_66 = and(_T_65, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 173:76] + node _T_67 = and(_T_66, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 173:97] + node _T_68 = and(_T_67, io.lsu_busreq_m) @[lsu_bus_intf.scala 173:123] + ld_addr_rhit_hi_hi <= _T_68 @[lsu_bus_intf.scala 173:27] + node _T_69 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] + node _T_70 = and(ld_addr_rhit_lo_lo, _T_69) @[lsu_bus_intf.scala 175:70] + node _T_71 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 175:110] + node _T_72 = and(_T_70, _T_71) @[lsu_bus_intf.scala 175:92] + node _T_73 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] + node _T_74 = and(ld_addr_rhit_lo_lo, _T_73) @[lsu_bus_intf.scala 175:70] + node _T_75 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 175:110] + node _T_76 = and(_T_74, _T_75) @[lsu_bus_intf.scala 175:92] + node _T_77 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] + node _T_78 = and(ld_addr_rhit_lo_lo, _T_77) @[lsu_bus_intf.scala 175:70] + node _T_79 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 175:110] + node _T_80 = and(_T_78, _T_79) @[lsu_bus_intf.scala 175:92] + node _T_81 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] + node _T_82 = and(ld_addr_rhit_lo_lo, _T_81) @[lsu_bus_intf.scala 175:70] + node _T_83 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 175:110] + node _T_84 = and(_T_82, _T_83) @[lsu_bus_intf.scala 175:92] + node _T_85 = cat(_T_84, _T_80) @[Cat.scala 29:58] + node _T_86 = cat(_T_85, _T_76) @[Cat.scala 29:58] + node _T_87 = cat(_T_86, _T_72) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_87 @[lsu_bus_intf.scala 175:27] + node _T_88 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 176:88] + node _T_89 = and(ld_addr_rhit_lo_hi, _T_88) @[lsu_bus_intf.scala 176:70] + node _T_90 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 176:110] + node _T_91 = and(_T_89, _T_90) @[lsu_bus_intf.scala 176:92] + node _T_92 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 176:88] + node _T_93 = and(ld_addr_rhit_lo_hi, _T_92) @[lsu_bus_intf.scala 176:70] + node _T_94 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 176:110] + node _T_95 = and(_T_93, _T_94) @[lsu_bus_intf.scala 176:92] + node _T_96 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 176:88] + node _T_97 = and(ld_addr_rhit_lo_hi, _T_96) @[lsu_bus_intf.scala 176:70] + node _T_98 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 176:110] + node _T_99 = and(_T_97, _T_98) @[lsu_bus_intf.scala 176:92] + node _T_100 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 176:88] + node _T_101 = and(ld_addr_rhit_lo_hi, _T_100) @[lsu_bus_intf.scala 176:70] + node _T_102 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 176:110] + node _T_103 = and(_T_101, _T_102) @[lsu_bus_intf.scala 176:92] + node _T_104 = cat(_T_103, _T_99) @[Cat.scala 29:58] + node _T_105 = cat(_T_104, _T_95) @[Cat.scala 29:58] + node _T_106 = cat(_T_105, _T_91) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_106 @[lsu_bus_intf.scala 176:27] + node _T_107 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] + node _T_108 = and(ld_addr_rhit_hi_lo, _T_107) @[lsu_bus_intf.scala 177:70] + node _T_109 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 177:110] + node _T_110 = and(_T_108, _T_109) @[lsu_bus_intf.scala 177:92] + node _T_111 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] + node _T_112 = and(ld_addr_rhit_hi_lo, _T_111) @[lsu_bus_intf.scala 177:70] + node _T_113 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 177:110] + node _T_114 = and(_T_112, _T_113) @[lsu_bus_intf.scala 177:92] + node _T_115 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] + node _T_116 = and(ld_addr_rhit_hi_lo, _T_115) @[lsu_bus_intf.scala 177:70] + node _T_117 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 177:110] + node _T_118 = and(_T_116, _T_117) @[lsu_bus_intf.scala 177:92] + node _T_119 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] + node _T_120 = and(ld_addr_rhit_hi_lo, _T_119) @[lsu_bus_intf.scala 177:70] + node _T_121 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 177:110] + node _T_122 = and(_T_120, _T_121) @[lsu_bus_intf.scala 177:92] + node _T_123 = cat(_T_122, _T_118) @[Cat.scala 29:58] + node _T_124 = cat(_T_123, _T_114) @[Cat.scala 29:58] + node _T_125 = cat(_T_124, _T_110) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_125 @[lsu_bus_intf.scala 177:27] + node _T_126 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 178:88] + node _T_127 = and(ld_addr_rhit_hi_hi, _T_126) @[lsu_bus_intf.scala 178:70] + node _T_128 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 178:110] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_intf.scala 178:92] + node _T_130 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 178:88] + node _T_131 = and(ld_addr_rhit_hi_hi, _T_130) @[lsu_bus_intf.scala 178:70] + node _T_132 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 178:110] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_intf.scala 178:92] + node _T_134 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 178:88] + node _T_135 = and(ld_addr_rhit_hi_hi, _T_134) @[lsu_bus_intf.scala 178:70] + node _T_136 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 178:110] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_intf.scala 178:92] + node _T_138 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 178:88] + node _T_139 = and(ld_addr_rhit_hi_hi, _T_138) @[lsu_bus_intf.scala 178:70] + node _T_140 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 178:110] + node _T_141 = and(_T_139, _T_140) @[lsu_bus_intf.scala 178:92] + node _T_142 = cat(_T_141, _T_137) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_133) @[Cat.scala 29:58] + node _T_144 = cat(_T_143, _T_129) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_144 @[lsu_bus_intf.scala 178:27] + node _T_145 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 180:69] + node _T_146 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 180:93] + node _T_147 = or(_T_145, _T_146) @[lsu_bus_intf.scala 180:73] + node _T_148 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 180:117] + node _T_149 = or(_T_147, _T_148) @[lsu_bus_intf.scala 180:97] + node _T_150 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 180:69] + node _T_151 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 180:93] + node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 180:73] + node _T_153 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 180:117] + node _T_154 = or(_T_152, _T_153) @[lsu_bus_intf.scala 180:97] + node _T_155 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 180:69] + node _T_156 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 180:93] + node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 180:73] + node _T_158 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 180:117] + node _T_159 = or(_T_157, _T_158) @[lsu_bus_intf.scala 180:97] + node _T_160 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 180:69] + node _T_161 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 180:93] + node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 180:73] + node _T_163 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 180:117] + node _T_164 = or(_T_162, _T_163) @[lsu_bus_intf.scala 180:97] + node _T_165 = cat(_T_164, _T_159) @[Cat.scala 29:58] + node _T_166 = cat(_T_165, _T_154) @[Cat.scala 29:58] + node _T_167 = cat(_T_166, _T_149) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_167 @[lsu_bus_intf.scala 180:27] + node _T_168 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 181:69] + node _T_169 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 181:93] + node _T_170 = or(_T_168, _T_169) @[lsu_bus_intf.scala 181:73] + node _T_171 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 181:117] + node _T_172 = or(_T_170, _T_171) @[lsu_bus_intf.scala 181:97] + node _T_173 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 181:69] + node _T_174 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 181:93] + node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 181:73] + node _T_176 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 181:117] + node _T_177 = or(_T_175, _T_176) @[lsu_bus_intf.scala 181:97] + node _T_178 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 181:69] + node _T_179 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 181:93] + node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 181:73] + node _T_181 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 181:117] + node _T_182 = or(_T_180, _T_181) @[lsu_bus_intf.scala 181:97] + node _T_183 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 181:69] + node _T_184 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 181:93] + node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 181:73] + node _T_186 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 181:117] + node _T_187 = or(_T_185, _T_186) @[lsu_bus_intf.scala 181:97] + node _T_188 = cat(_T_187, _T_182) @[Cat.scala 29:58] + node _T_189 = cat(_T_188, _T_177) @[Cat.scala 29:58] + node _T_190 = cat(_T_189, _T_172) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_190 @[lsu_bus_intf.scala 181:27] + node _T_191 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 182:69] + node _T_192 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 182:93] + node _T_193 = or(_T_191, _T_192) @[lsu_bus_intf.scala 182:73] + node _T_194 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 182:69] + node _T_195 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 182:93] + node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 182:73] + node _T_197 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 182:69] + node _T_198 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 182:93] + node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 182:73] + node _T_200 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 182:69] + node _T_201 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 182:93] + node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 182:73] + node _T_203 = cat(_T_202, _T_199) @[Cat.scala 29:58] + node _T_204 = cat(_T_203, _T_196) @[Cat.scala 29:58] + node _T_205 = cat(_T_204, _T_193) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_205 @[lsu_bus_intf.scala 182:27] + node _T_206 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 183:69] + node _T_207 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 183:93] + node _T_208 = or(_T_206, _T_207) @[lsu_bus_intf.scala 183:73] + node _T_209 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 183:69] + node _T_210 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 183:93] + node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 183:73] + node _T_212 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 183:69] + node _T_213 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 183:93] + node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 183:73] + node _T_215 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 183:69] + node _T_216 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 183:93] + node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 183:73] + node _T_218 = cat(_T_217, _T_214) @[Cat.scala 29:58] + node _T_219 = cat(_T_218, _T_211) @[Cat.scala 29:58] + node _T_220 = cat(_T_219, _T_208) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_220 @[lsu_bus_intf.scala 183:27] + node _T_221 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 184:79] + node _T_222 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] + node _T_223 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 184:136] + node _T_224 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] + node _T_225 = mux(_T_221, _T_222, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_226 = mux(_T_223, _T_224, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_227 = or(_T_225, _T_226) @[Mux.scala 27:72] + wire _T_228 : UInt<8> @[Mux.scala 27:72] + _T_228 <= _T_227 @[Mux.scala 27:72] + node _T_229 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 184:79] + node _T_230 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] + node _T_231 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 184:136] + node _T_232 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] + node _T_233 = mux(_T_229, _T_230, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_234 = mux(_T_231, _T_232, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_235 = or(_T_233, _T_234) @[Mux.scala 27:72] + wire _T_236 : UInt<8> @[Mux.scala 27:72] + _T_236 <= _T_235 @[Mux.scala 27:72] + node _T_237 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 184:79] + node _T_238 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] + node _T_239 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 184:136] + node _T_240 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] + node _T_241 = mux(_T_237, _T_238, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_242 = mux(_T_239, _T_240, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_243 = or(_T_241, _T_242) @[Mux.scala 27:72] + wire _T_244 : UInt<8> @[Mux.scala 27:72] + _T_244 <= _T_243 @[Mux.scala 27:72] + node _T_245 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 184:79] + node _T_246 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] + node _T_247 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 184:136] + node _T_248 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] + node _T_249 = mux(_T_245, _T_246, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_250 = mux(_T_247, _T_248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_251 = or(_T_249, _T_250) @[Mux.scala 27:72] + wire _T_252 : UInt<8> @[Mux.scala 27:72] + _T_252 <= _T_251 @[Mux.scala 27:72] + node _T_253 = cat(_T_252, _T_244) @[Cat.scala 29:58] + node _T_254 = cat(_T_253, _T_236) @[Cat.scala 29:58] + node _T_255 = cat(_T_254, _T_228) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_255 @[lsu_bus_intf.scala 184:27] + node _T_256 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 185:79] + node _T_257 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 185:101] + node _T_258 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 185:136] + node _T_259 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 185:158] + node _T_260 = mux(_T_256, _T_257, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_261 = mux(_T_258, _T_259, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_262 = or(_T_260, _T_261) @[Mux.scala 27:72] + wire _T_263 : UInt<8> @[Mux.scala 27:72] + _T_263 <= _T_262 @[Mux.scala 27:72] + node _T_264 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 185:79] + node _T_265 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 185:101] + node _T_266 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 185:136] + node _T_267 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 185:158] + node _T_268 = mux(_T_264, _T_265, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_269 = mux(_T_266, _T_267, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_270 = or(_T_268, _T_269) @[Mux.scala 27:72] + wire _T_271 : UInt<8> @[Mux.scala 27:72] + _T_271 <= _T_270 @[Mux.scala 27:72] + node _T_272 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 185:79] + node _T_273 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 185:101] + node _T_274 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 185:136] + node _T_275 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 185:158] + node _T_276 = mux(_T_272, _T_273, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_277 = mux(_T_274, _T_275, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_278 = or(_T_276, _T_277) @[Mux.scala 27:72] + wire _T_279 : UInt<8> @[Mux.scala 27:72] + _T_279 <= _T_278 @[Mux.scala 27:72] + node _T_280 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 185:79] + node _T_281 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 185:101] + node _T_282 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 185:136] + node _T_283 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 185:158] + node _T_284 = mux(_T_280, _T_281, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_285 = mux(_T_282, _T_283, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = or(_T_284, _T_285) @[Mux.scala 27:72] + wire _T_287 : UInt<8> @[Mux.scala 27:72] + _T_287 <= _T_286 @[Mux.scala 27:72] + node _T_288 = cat(_T_287, _T_279) @[Cat.scala 29:58] + node _T_289 = cat(_T_288, _T_271) @[Cat.scala 29:58] + node _T_290 = cat(_T_289, _T_263) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_290 @[lsu_bus_intf.scala 185:27] + node _T_291 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 186:70] + node _T_292 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 186:94] + node _T_293 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 186:128] + node _T_294 = mux(_T_291, _T_292, _T_293) @[lsu_bus_intf.scala 186:54] + node _T_295 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 186:70] + node _T_296 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 186:94] + node _T_297 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 186:128] + node _T_298 = mux(_T_295, _T_296, _T_297) @[lsu_bus_intf.scala 186:54] + node _T_299 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 186:70] + node _T_300 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 186:94] + node _T_301 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 186:128] + node _T_302 = mux(_T_299, _T_300, _T_301) @[lsu_bus_intf.scala 186:54] + node _T_303 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 186:70] + node _T_304 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 186:94] + node _T_305 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 186:128] + node _T_306 = mux(_T_303, _T_304, _T_305) @[lsu_bus_intf.scala 186:54] + node _T_307 = cat(_T_306, _T_302) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_298) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_294) @[Cat.scala 29:58] + ld_fwddata_lo <= _T_309 @[lsu_bus_intf.scala 186:27] + node _T_310 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 187:70] + node _T_311 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 187:94] + node _T_312 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 187:128] + node _T_313 = mux(_T_310, _T_311, _T_312) @[lsu_bus_intf.scala 187:54] + node _T_314 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 187:70] + node _T_315 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 187:94] + node _T_316 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 187:128] + node _T_317 = mux(_T_314, _T_315, _T_316) @[lsu_bus_intf.scala 187:54] + node _T_318 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 187:70] + node _T_319 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 187:94] + node _T_320 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 187:128] + node _T_321 = mux(_T_318, _T_319, _T_320) @[lsu_bus_intf.scala 187:54] + node _T_322 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 187:70] + node _T_323 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 187:94] + node _T_324 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 187:128] + node _T_325 = mux(_T_322, _T_323, _T_324) @[lsu_bus_intf.scala 187:54] + node _T_326 = cat(_T_325, _T_321) @[Cat.scala 29:58] + node _T_327 = cat(_T_326, _T_317) @[Cat.scala 29:58] + node _T_328 = cat(_T_327, _T_313) @[Cat.scala 29:58] + ld_fwddata_hi <= _T_328 @[lsu_bus_intf.scala 187:27] + node _T_329 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 188:66] + node _T_330 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 188:89] + node _T_331 = eq(_T_330, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_332 = or(_T_329, _T_331) @[lsu_bus_intf.scala 188:70] + node _T_333 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 188:66] + node _T_334 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 188:89] + node _T_335 = eq(_T_334, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_336 = or(_T_333, _T_335) @[lsu_bus_intf.scala 188:70] + node _T_337 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 188:66] + node _T_338 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 188:89] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_340 = or(_T_337, _T_339) @[lsu_bus_intf.scala 188:70] + node _T_341 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 188:66] + node _T_342 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 188:89] + node _T_343 = eq(_T_342, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_344 = or(_T_341, _T_343) @[lsu_bus_intf.scala 188:70] + node _T_345 = and(_T_332, _T_336) @[lsu_bus_intf.scala 188:111] + node _T_346 = and(_T_345, _T_340) @[lsu_bus_intf.scala 188:111] + node _T_347 = and(_T_346, _T_344) @[lsu_bus_intf.scala 188:111] + ld_full_hit_lo_m <= _T_347 @[lsu_bus_intf.scala 188:27] + node _T_348 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 189:66] + node _T_349 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 189:89] + node _T_350 = eq(_T_349, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_351 = or(_T_348, _T_350) @[lsu_bus_intf.scala 189:70] + node _T_352 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 189:66] + node _T_353 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 189:89] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_355 = or(_T_352, _T_354) @[lsu_bus_intf.scala 189:70] + node _T_356 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 189:66] + node _T_357 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 189:89] + node _T_358 = eq(_T_357, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_359 = or(_T_356, _T_358) @[lsu_bus_intf.scala 189:70] + node _T_360 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 189:66] + node _T_361 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 189:89] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] + node _T_363 = or(_T_360, _T_362) @[lsu_bus_intf.scala 189:70] + node _T_364 = and(_T_351, _T_355) @[lsu_bus_intf.scala 189:111] + node _T_365 = and(_T_364, _T_359) @[lsu_bus_intf.scala 189:111] + node _T_366 = and(_T_365, _T_363) @[lsu_bus_intf.scala 189:111] + ld_full_hit_hi_m <= _T_366 @[lsu_bus_intf.scala 189:27] + node _T_367 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 190:47] + node _T_368 = and(_T_367, io.lsu_busreq_m) @[lsu_bus_intf.scala 190:66] + node _T_369 = and(_T_368, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 190:84] + node _T_370 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 190:111] + node _T_371 = and(_T_369, _T_370) @[lsu_bus_intf.scala 190:109] + ld_full_hit_m <= _T_371 @[lsu_bus_intf.scala 190:27] + node _T_372 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 191:47] + node _T_373 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 191:68] + node _T_374 = cat(_T_372, _T_373) @[Cat.scala 29:58] + node _T_375 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 191:97] + node _T_376 = mul(UInt<4>("h08"), _T_375) @[lsu_bus_intf.scala 191:83] + node _T_377 = dshr(_T_374, _T_376) @[lsu_bus_intf.scala 191:76] + ld_fwddata_m <= _T_377 @[lsu_bus_intf.scala 191:27] + node _T_378 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 192:42] + io.bus_read_data_m <= _T_378 @[lsu_bus_intf.scala 192:27] + reg _T_379 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 195:32] + _T_379 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 195:32] + lsu_bus_clk_en_q <= _T_379 @[lsu_bus_intf.scala 195:22] + reg _T_380 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 199:33] + _T_380 <= io.is_sideeffects_m @[lsu_bus_intf.scala 199:33] + is_sideeffects_r <= _T_380 @[lsu_bus_intf.scala 199:23] + reg _T_381 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 200:33] + _T_381 <= ldst_byteen_m @[lsu_bus_intf.scala 200:33] + ldst_byteen_r <= _T_381 @[lsu_bus_intf.scala 200:23] + diff --git a/lsu_bus_intf.v b/lsu_bus_intf.v new file mode 100644 index 00000000..ac2f346d --- /dev/null +++ b/lsu_bus_intf.v @@ -0,0 +1,5287 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [2:0] io_lsu_axi_aw_bits_size, + output [3:0] io_lsu_axi_aw_bits_cache, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [2:0] io_lsu_axi_ar_bits_size, + output [3:0] io_lsu_axi_ar_bits_cache, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 76:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 77:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 79:74] + reg _T_4344; // @[Reg.scala 27:20] + reg _T_4341; // @[Reg.scala 27:20] + reg _T_4338; // @[Reg.scala 27:20] + reg _T_4335; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4344,_T_4341,_T_4338,_T_4335}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 79:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 79:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 79:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 79:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 79:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 80:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 80:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 80:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 80:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 80:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 80:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 80:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 144:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 508:60] + wire _T_2583 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_4094 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4117 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4121 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1780; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 354:13] + wire _T_4128 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 459:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_362 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 459:104] + wire _T_4129 = _GEN_362 == 3'h3; // @[lsu_bus_buffer.scala 459:104] + wire _T_4130 = obuf_merge & _T_4129; // @[lsu_bus_buffer.scala 459:91] + wire _T_4131 = _T_4128 | _T_4130; // @[lsu_bus_buffer.scala 459:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 348:54] + wire _T_4132 = _T_4131 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 347:55] + wire _T_4133 = _T_4132 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_4155 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4239 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4257 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4265 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_284 = _T_4121 & _T_4133; // @[Conditional.scala 39:67] + wire _GEN_297 = _T_4117 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_297; // @[Conditional.scala 40:58] + wire _T_2584 = _T_2583 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 414:103] + wire _T_2585 = ~_T_2584; // @[lsu_bus_buffer.scala 414:78] + wire _T_2586 = buf_ageQ_3[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2587 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 414:140] + wire _T_2588 = _T_2586 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2576 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3904 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3927 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3931 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3938 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 459:48] + wire _T_3939 = _GEN_362 == 3'h2; // @[lsu_bus_buffer.scala 459:104] + wire _T_3940 = obuf_merge & _T_3939; // @[lsu_bus_buffer.scala 459:91] + wire _T_3941 = _T_3938 | _T_3940; // @[lsu_bus_buffer.scala 459:77] + wire _T_3942 = _T_3941 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3943 = _T_3942 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3965 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4049 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4067 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4075 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_207 = _T_3931 & _T_3943; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3927 ? 1'h0 : _GEN_207; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire _T_2577 = _T_2576 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 414:103] + wire _T_2578 = ~_T_2577; // @[lsu_bus_buffer.scala 414:78] + wire _T_2579 = buf_ageQ_3[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2581 = _T_2579 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2569 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3714 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3737 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3741 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 459:48] + wire _T_3749 = _GEN_362 == 3'h1; // @[lsu_bus_buffer.scala 459:104] + wire _T_3750 = obuf_merge & _T_3749; // @[lsu_bus_buffer.scala 459:91] + wire _T_3751 = _T_3748 | _T_3750; // @[lsu_bus_buffer.scala 459:77] + wire _T_3752 = _T_3751 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3753 = _T_3752 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3775 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3859 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3877 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3885 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_130 = _T_3741 & _T_3753; // @[Conditional.scala 39:67] + wire _GEN_143 = _T_3737 ? 1'h0 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_143; // @[Conditional.scala 40:58] + wire _T_2570 = _T_2569 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 414:103] + wire _T_2571 = ~_T_2570; // @[lsu_bus_buffer.scala 414:78] + wire _T_2572 = buf_ageQ_3[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2574 = _T_2572 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2562 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 414:93] + wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3558 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 459:48] + wire _T_3559 = _GEN_362 == 3'h0; // @[lsu_bus_buffer.scala 459:104] + wire _T_3560 = obuf_merge & _T_3559; // @[lsu_bus_buffer.scala 459:91] + wire _T_3561 = _T_3558 | _T_3560; // @[lsu_bus_buffer.scala 459:77] + wire _T_3562 = _T_3561 & obuf_valid; // @[lsu_bus_buffer.scala 459:135] + wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 459:148] + wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3669 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3687 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3695 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_53 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_2563 = _T_2562 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 414:103] + wire _T_2564 = ~_T_2563; // @[lsu_bus_buffer.scala 414:78] + wire _T_2565 = buf_ageQ_3[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2567 = _T_2565 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_3 = {_T_2588,_T_2581,_T_2574,_T_2567}; // @[Cat.scala 29:58] + wire _T_2687 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2689 = _T_2687 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2681 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2683 = _T_2681 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2675 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2677 = _T_2675 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2689,_T_2683,_T_2677}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 149:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 149:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 149:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 155:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 155:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 243:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 155:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 155:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 160:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 160:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 149:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 508:60] + wire _T_2555 = buf_ageQ_2[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2557 = _T_2555 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2548 = buf_ageQ_2[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2550 = _T_2548 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2541 = buf_ageQ_2[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2543 = _T_2541 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2534 = buf_ageQ_2[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2536 = _T_2534 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_2 = {_T_2557,_T_2550,_T_2543,_T_2536}; // @[Cat.scala 29:58] + wire _T_2666 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2668 = _T_2666 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2654 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2656 = _T_2654 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire _T_2648 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2650 = _T_2648 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_2 = {_T_2668,1'h0,_T_2656,_T_2650}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 149:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 149:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 149:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 508:60] + wire _T_2524 = buf_ageQ_1[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2526 = _T_2524 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2517 = buf_ageQ_1[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2519 = _T_2517 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2510 = buf_ageQ_1[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2512 = _T_2510 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2503 = buf_ageQ_1[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2505 = _T_2503 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_1 = {_T_2526,_T_2519,_T_2512,_T_2505}; // @[Cat.scala 29:58] + wire _T_2639 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2641 = _T_2639 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2633 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2635 = _T_2633 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2621 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2623 = _T_2621 & _T_5; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_1 = {_T_2641,_T_2635,1'h0,_T_2623}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 149:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 149:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 149:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 149:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 508:60] + wire _T_2493 = buf_ageQ_0[3] & _T_2585; // @[lsu_bus_buffer.scala 414:76] + wire _T_2495 = _T_2493 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2486 = buf_ageQ_0[2] & _T_2578; // @[lsu_bus_buffer.scala 414:76] + wire _T_2488 = _T_2486 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2479 = buf_ageQ_0[1] & _T_2571; // @[lsu_bus_buffer.scala 414:76] + wire _T_2481 = _T_2479 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire _T_2472 = buf_ageQ_0[0] & _T_2564; // @[lsu_bus_buffer.scala 414:76] + wire _T_2474 = _T_2472 & _T_2587; // @[lsu_bus_buffer.scala 414:138] + wire [3:0] buf_age_0 = {_T_2495,_T_2488,_T_2481,_T_2474}; // @[Cat.scala 29:58] + wire _T_2612 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2614 = _T_2612 & _T_26; // @[lsu_bus_buffer.scala 415:104] + wire _T_2606 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2608 = _T_2606 & _T_19; // @[lsu_bus_buffer.scala 415:104] + wire _T_2600 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 415:89] + wire _T_2602 = _T_2600 & _T_12; // @[lsu_bus_buffer.scala 415:104] + wire [3:0] buf_age_younger_0 = {_T_2614,_T_2608,_T_2602,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 149:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 149:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 149:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 141:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 141:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 144:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 149:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 149:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 149:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 149:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 149:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 149:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 149:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 149:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 149:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 149:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 149:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 149:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 149:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 141:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 141:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 144:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 149:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 149:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 149:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 149:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 149:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 149:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 149:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 149:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 149:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 149:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 149:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 149:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 149:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 141:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 141:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 144:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 144:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 149:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 149:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 149:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 149:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 149:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 149:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 149:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 149:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 149:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 149:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 149:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 149:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 149:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 149:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 149:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 149:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 149:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 149:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 141:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 141:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 145:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 150:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 150:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 150:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 156:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 156:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 156:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 156:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 161:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 161:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 150:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 150:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 150:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 150:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 150:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 150:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 150:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 150:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 150:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 150:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 142:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 142:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 145:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 150:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 150:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 150:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 150:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 150:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 150:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 150:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 150:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 150:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 150:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 150:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 150:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 150:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 142:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 142:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 145:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 150:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 150:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 150:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 150:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 150:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 150:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 150:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 150:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 150:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 150:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 150:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 150:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 150:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 142:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 142:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 145:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 145:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 150:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 150:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 150:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 150:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 150:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 150:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 150:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 150:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 150:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 150:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 150:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 150:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 150:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 150:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 150:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 150:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 150:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 150:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 142:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 142:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 168:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 168:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 169:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 169:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 170:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 170:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 171:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 172:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 174:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 174:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 175:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 175:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 176:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 176:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 177:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 177:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 177:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 178:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 185:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 186:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 187:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 188:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 206:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 208:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 210:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 210:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 210:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 210:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 211:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 211:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 211:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 213:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 256:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 219:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 219:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 238:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 238:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 238:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 238:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 238:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 238:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 238:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 238:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 238:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 239:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 219:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 219:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 219:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 220:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 214:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 214:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 214:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 214:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 214:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 214:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 220:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 220:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 220:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 220:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 220:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 219:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 213:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 213:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 623:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 622:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 229:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 233:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 234:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 235:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 233:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 236:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 236:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 240:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 240:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 240:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 240:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 240:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 240:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 240:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 240:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 240:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 240:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 241:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 241:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 243:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 243:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4430 = buf_write[3] & _T_2583; // @[lsu_bus_buffer.scala 529:64] + wire _T_4431 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 529:91] + wire _T_4432 = _T_4430 & _T_4431; // @[lsu_bus_buffer.scala 529:89] + wire _T_4425 = buf_write[2] & _T_2576; // @[lsu_bus_buffer.scala 529:64] + wire _T_4426 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 529:91] + wire _T_4427 = _T_4425 & _T_4426; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _T_4433 = _T_4432 + _T_4427; // @[lsu_bus_buffer.scala 529:142] + wire _T_4420 = buf_write[1] & _T_2569; // @[lsu_bus_buffer.scala 529:64] + wire _T_4421 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 529:91] + wire _T_4422 = _T_4420 & _T_4421; // @[lsu_bus_buffer.scala 529:89] + wire [1:0] _GEN_366 = {{1'd0}, _T_4422}; // @[lsu_bus_buffer.scala 529:142] + wire [2:0] _T_4434 = _T_4433 + _GEN_366; // @[lsu_bus_buffer.scala 529:142] + wire _T_4415 = buf_write[0] & _T_2562; // @[lsu_bus_buffer.scala 529:64] + wire _T_4416 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 529:91] + wire _T_4417 = _T_4415 & _T_4416; // @[lsu_bus_buffer.scala 529:89] + wire [2:0] _GEN_367 = {{2'd0}, _T_4417}; // @[lsu_bus_buffer.scala 529:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4434 + _GEN_367; // @[lsu_bus_buffer.scala 529:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] + wire _T_4447 = _T_2583 & _T_4431; // @[lsu_bus_buffer.scala 530:73] + wire _T_4444 = _T_2576 & _T_4426; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _T_4448 = _T_4447 + _T_4444; // @[lsu_bus_buffer.scala 530:126] + wire _T_4441 = _T_2569 & _T_4421; // @[lsu_bus_buffer.scala 530:73] + wire [1:0] _GEN_368 = {{1'd0}, _T_4441}; // @[lsu_bus_buffer.scala 530:126] + wire [2:0] _T_4449 = _T_4448 + _GEN_368; // @[lsu_bus_buffer.scala 530:126] + wire _T_4438 = _T_2562 & _T_4416; // @[lsu_bus_buffer.scala 530:73] + wire [2:0] _GEN_369 = {{2'd0}, _T_4438}; // @[lsu_bus_buffer.scala 530:126] + wire [3:0] buf_numvld_cmd_any = _T_4449 + _GEN_369; // @[lsu_bus_buffer.scala 530:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 363:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 266:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 266:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 266:114] + wire _T_1911 = |buf_age_3; // @[lsu_bus_buffer.scala 380:58] + wire _T_1912 = ~_T_1911; // @[lsu_bus_buffer.scala 380:45] + wire _T_1914 = _T_1912 & _T_2583; // @[lsu_bus_buffer.scala 380:63] + wire _T_1916 = _T_1914 & _T_4431; // @[lsu_bus_buffer.scala 380:88] + wire _T_1905 = |buf_age_2; // @[lsu_bus_buffer.scala 380:58] + wire _T_1906 = ~_T_1905; // @[lsu_bus_buffer.scala 380:45] + wire _T_1908 = _T_1906 & _T_2576; // @[lsu_bus_buffer.scala 380:63] + wire _T_1910 = _T_1908 & _T_4426; // @[lsu_bus_buffer.scala 380:88] + wire _T_1899 = |buf_age_1; // @[lsu_bus_buffer.scala 380:58] + wire _T_1900 = ~_T_1899; // @[lsu_bus_buffer.scala 380:45] + wire _T_1902 = _T_1900 & _T_2569; // @[lsu_bus_buffer.scala 380:63] + wire _T_1904 = _T_1902 & _T_4421; // @[lsu_bus_buffer.scala 380:88] + wire _T_1893 = |buf_age_0; // @[lsu_bus_buffer.scala 380:58] + wire _T_1894 = ~_T_1893; // @[lsu_bus_buffer.scala 380:45] + wire _T_1896 = _T_1894 & _T_2562; // @[lsu_bus_buffer.scala 380:63] + wire _T_1898 = _T_1896 & _T_4416; // @[lsu_bus_buffer.scala 380:88] + wire [3:0] CmdPtr0Dec = {_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] + wire [7:0] _T_1986 = {4'h0,_T_1916,_T_1910,_T_1904,_T_1898}; // @[Cat.scala 29:58] + wire _T_1989 = _T_1986[4] | _T_1986[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_1991 = _T_1989 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_1993 = _T_1991 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_1996 = _T_1986[2] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_1998 = _T_1996 | _T_1986[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2000 = _T_1998 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2003 = _T_1986[1] | _T_1986[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2005 = _T_2003 | _T_1986[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2007 = _T_2005 | _T_1986[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2009 = {_T_1993,_T_2000,_T_2007}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2009[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 267:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 267:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 267:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 267:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 267:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 267:29] + reg _T_4314; // @[Reg.scala 27:20] + reg _T_4311; // @[Reg.scala 27:20] + reg _T_4308; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4314,_T_4311,_T_4308,_T_4305}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 268:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 267:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 270:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 270:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 270:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 269:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 269:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 269:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 269:123] + wire _T_4466 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4470 = _T_4466 | _T_4447; // @[lsu_bus_buffer.scala 531:74] + wire _T_4461 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4465 = _T_4461 | _T_4444; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _T_4471 = _T_4470 + _T_4465; // @[lsu_bus_buffer.scala 531:154] + wire _T_4456 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4460 = _T_4456 | _T_4441; // @[lsu_bus_buffer.scala 531:74] + wire [1:0] _GEN_370 = {{1'd0}, _T_4460}; // @[lsu_bus_buffer.scala 531:154] + wire [2:0] _T_4472 = _T_4471 + _GEN_370; // @[lsu_bus_buffer.scala 531:154] + wire _T_4451 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 531:63] + wire _T_4455 = _T_4451 | _T_4438; // @[lsu_bus_buffer.scala 531:74] + wire [2:0] _GEN_371 = {{2'd0}, _T_4455}; // @[lsu_bus_buffer.scala 531:154] + wire [3:0] buf_numvld_pend_any = _T_4472 + _GEN_371; // @[lsu_bus_buffer.scala 531:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 287:32] + wire _T_4740 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4742 = _T_4740 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4743 = _T_4742 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4744 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4746 = _T_4744 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4747 = _T_4746 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4756 = _T_4743 | _T_4747; // @[lsu_bus_buffer.scala 559:153] + wire _T_4748 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4750 = _T_4748 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4751 = _T_4750 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4757 = _T_4756 | _T_4751; // @[lsu_bus_buffer.scala 559:153] + wire _T_4752 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 559:62] + wire _T_4754 = _T_4752 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 559:73] + wire _T_4755 = _T_4754 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:93] + wire _T_4758 = _T_4757 | _T_4755; // @[lsu_bus_buffer.scala 559:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4759 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 559:171] + wire _T_4760 = _T_4759 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 559:189] + wire bus_sideeffect_pend = _T_4758 | _T_4760; // @[lsu_bus_buffer.scala 559:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 287:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 287:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 287:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 288:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 385:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 288:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 289:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 289:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 289:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 289:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 289:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 290:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 290:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 290:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 290:8] + wire [3:0] _T_1952 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 381:62] + wire [3:0] _T_1953 = buf_age_3 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1954 = |_T_1953; // @[lsu_bus_buffer.scala 381:76] + wire _T_1955 = ~_T_1954; // @[lsu_bus_buffer.scala 381:45] + wire _T_1957 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1958 = _T_1955 & _T_1957; // @[lsu_bus_buffer.scala 381:81] + wire _T_1960 = _T_1958 & _T_2583; // @[lsu_bus_buffer.scala 381:98] + wire _T_1962 = _T_1960 & _T_4431; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1942 = buf_age_2 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1943 = |_T_1942; // @[lsu_bus_buffer.scala 381:76] + wire _T_1944 = ~_T_1943; // @[lsu_bus_buffer.scala 381:45] + wire _T_1946 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1947 = _T_1944 & _T_1946; // @[lsu_bus_buffer.scala 381:81] + wire _T_1949 = _T_1947 & _T_2576; // @[lsu_bus_buffer.scala 381:98] + wire _T_1951 = _T_1949 & _T_4426; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1931 = buf_age_1 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1932 = |_T_1931; // @[lsu_bus_buffer.scala 381:76] + wire _T_1933 = ~_T_1932; // @[lsu_bus_buffer.scala 381:45] + wire _T_1935 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1936 = _T_1933 & _T_1935; // @[lsu_bus_buffer.scala 381:81] + wire _T_1938 = _T_1936 & _T_2569; // @[lsu_bus_buffer.scala 381:98] + wire _T_1940 = _T_1938 & _T_4421; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] _T_1920 = buf_age_0 & _T_1952; // @[lsu_bus_buffer.scala 381:59] + wire _T_1921 = |_T_1920; // @[lsu_bus_buffer.scala 381:76] + wire _T_1922 = ~_T_1921; // @[lsu_bus_buffer.scala 381:45] + wire _T_1924 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 381:83] + wire _T_1925 = _T_1922 & _T_1924; // @[lsu_bus_buffer.scala 381:81] + wire _T_1927 = _T_1925 & _T_2562; // @[lsu_bus_buffer.scala 381:98] + wire _T_1929 = _T_1927 & _T_4416; // @[lsu_bus_buffer.scala 381:123] + wire [3:0] CmdPtr1Dec = {_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 386:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 290:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 290:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 290:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 289:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 287:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 350:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 351:55] + wire _T_4814 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 563:54] + wire _T_4815 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 563:75] + wire _T_4816 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 563:153] + wire _T_4817 = _T_4814 ? _T_4815 : _T_4816; // @[lsu_bus_buffer.scala 563:39] + wire bus_cmd_ready = obuf_write ? _T_4817 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 563:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 291:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 291:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 291:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 291:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 291:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 291:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire _T_4765 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4766 = obuf_valid & _T_4765; // @[lsu_bus_buffer.scala 561:19] + wire _T_4768 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 561:107] + wire _T_4769 = obuf_merge & _T_4768; // @[lsu_bus_buffer.scala 561:95] + wire _T_4770 = _T_3558 | _T_4769; // @[lsu_bus_buffer.scala 561:81] + wire _T_4771 = ~_T_4770; // @[lsu_bus_buffer.scala 561:61] + wire _T_4772 = _T_4766 & _T_4771; // @[lsu_bus_buffer.scala 561:59] + wire _T_4806 = _T_4740 & _T_4772; // @[Mux.scala 27:72] + wire _T_4776 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 561:19] + wire _T_4779 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 561:107] + wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 561:95] + wire _T_4781 = _T_3748 | _T_4780; // @[lsu_bus_buffer.scala 561:81] + wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 561:61] + wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 561:59] + wire _T_4807 = _T_4744 & _T_4783; // @[Mux.scala 27:72] + wire _T_4810 = _T_4806 | _T_4807; // @[Mux.scala 27:72] + wire _T_4787 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 561:19] + wire _T_4790 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 561:107] + wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 561:95] + wire _T_4792 = _T_3938 | _T_4791; // @[lsu_bus_buffer.scala 561:81] + wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 561:61] + wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 561:59] + wire _T_4808 = _T_4748 & _T_4794; // @[Mux.scala 27:72] + wire _T_4811 = _T_4810 | _T_4808; // @[Mux.scala 27:72] + wire _T_4798 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 561:37] + wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 561:19] + wire _T_4801 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 561:107] + wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 561:95] + wire _T_4803 = _T_4128 | _T_4802; // @[lsu_bus_buffer.scala 561:81] + wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 561:61] + wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 561:59] + wire _T_4809 = _T_4752 & _T_4805; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4811 | _T_4809; // @[Mux.scala 27:72] + wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 291:94] + wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 291:92] + wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 291:118] + wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 293:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 564:40] + wire _T_4821 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 566:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:40] + wire _T_4822 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 566:70] + wire _T_4823 = _T_4821 & _T_4822; // @[lsu_bus_buffer.scala 566:52] + wire _T_4824 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 566:112] + wire bus_cmd_sent = _T_4823 | _T_4824; // @[lsu_bus_buffer.scala 566:89] + wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 293:33] + wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 293:65] + wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 293:63] + wire _T_1244 = _T_1243 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 293:77] + wire obuf_rst = _T_1244 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 293:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 294:26] + wire [31:0] _T_1281 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1282 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1283 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1281 | _T_1282; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1285 | _T_1283; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1286 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1287; // @[lsu_bus_buffer.scala 296:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1294 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1295 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1298 = _T_1294 | _T_1295; // @[Mux.scala 27:72] + wire [1:0] _T_1299 = _T_1298 | _T_1296; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1299 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1300; // @[lsu_bus_buffer.scala 299:23] + wire [7:0] _T_2011 = {4'h0,_T_1962,_T_1951,_T_1940,_T_1929}; // @[Cat.scala 29:58] + wire _T_2014 = _T_2011[4] | _T_2011[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2016 = _T_2014 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2018 = _T_2016 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2021 = _T_2011[2] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2023 = _T_2021 | _T_2011[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2025 = _T_2023 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2028 = _T_2011[1] | _T_2011[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2030 = _T_2028 | _T_2011[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2032 = _T_2030 | _T_2011[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2034 = {_T_2018,_T_2025,_T_2032}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2034[1:0]; // @[lsu_bus_buffer.scala 395:11] + wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 307:39] + wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 307:26] + wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 311:72] + wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 311:98] + wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 311:96] + wire _T_1314 = _T_1309 | _T_1313; // @[lsu_bus_buffer.scala 311:79] + wire _T_1317 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 311:153] + wire _T_1318 = ~_T_1317; // @[lsu_bus_buffer.scala 311:134] + wire _T_1319 = obuf_sz_in[1] & _T_1318; // @[lsu_bus_buffer.scala 311:132] + wire _T_1320 = _T_1314 | _T_1319; // @[lsu_bus_buffer.scala 311:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1320; // @[lsu_bus_buffer.scala 311:28] + wire _T_1337 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 326:40] + wire _T_1338 = _T_1337 & obuf_aligned_in; // @[lsu_bus_buffer.scala 326:60] + wire _T_1339 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 326:80] + wire _T_1340 = _T_1338 & _T_1339; // @[lsu_bus_buffer.scala 326:78] + wire _T_1341 = ~obuf_write; // @[lsu_bus_buffer.scala 326:99] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 326:97] + wire _T_1343 = ~obuf_write_in; // @[lsu_bus_buffer.scala 326:113] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 326:111] + wire _T_1345 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 326:130] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 326:128] + wire _T_1347 = ~obuf_nosend; // @[lsu_bus_buffer.scala 327:20] + wire _T_1348 = obuf_valid & _T_1347; // @[lsu_bus_buffer.scala 327:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 352:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 567:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 353:55] + wire _T_1349 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 327:90] + wire _T_1350 = bus_rsp_read & _T_1349; // @[lsu_bus_buffer.scala 327:70] + wire _T_1351 = ~_T_1350; // @[lsu_bus_buffer.scala 327:55] + wire _T_1352 = obuf_rdrsp_pend & _T_1351; // @[lsu_bus_buffer.scala 327:53] + wire _T_1353 = _T_1348 | _T_1352; // @[lsu_bus_buffer.scala 327:34] + wire obuf_nosend_in = _T_1346 & _T_1353; // @[lsu_bus_buffer.scala 326:177] + wire _T_1321 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 319:45] + wire _T_1322 = obuf_wr_en & _T_1321; // @[lsu_bus_buffer.scala 319:43] + wire _T_1323 = ~_T_1322; // @[lsu_bus_buffer.scala 319:30] + wire _T_1324 = _T_1323 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 319:62] + wire _T_1328 = _T_1324 & _T_1351; // @[lsu_bus_buffer.scala 319:80] + wire _T_1330 = bus_cmd_sent & _T_1341; // @[lsu_bus_buffer.scala 320:19] + wire _T_1331 = _T_1328 | _T_1330; // @[lsu_bus_buffer.scala 319:139] + wire obuf_rdrsp_pend_en = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 321:47] + wire [7:0] _T_1356 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1357 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1358 = io_lsu_addr_r[2] ? _T_1356 : _T_1357; // @[lsu_bus_buffer.scala 328:46] + wire [3:0] _T_1377 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1378 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1379 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1377 | _T_1378; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1381 | _T_1379; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1382 | _T_1380; // @[Mux.scala 27:72] + wire [7:0] _T_1385 = {_T_1383,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1398 = {4'h0,_T_1383}; // @[Cat.scala 29:58] + wire [7:0] _T_1399 = _T_1287[2] ? _T_1385 : _T_1398; // @[lsu_bus_buffer.scala 329:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1358 : _T_1399; // @[lsu_bus_buffer.scala 328:28] + wire [7:0] _T_1401 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1402 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1403 = io_end_addr_r[2] ? _T_1401 : _T_1402; // @[lsu_bus_buffer.scala 330:46] + wire _T_1404 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_1405 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_1406 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_1407 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_1408 = _T_1404 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1409 = _T_1405 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 | _T_1409; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1412 | _T_1410; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1413 | _T_1411; // @[Mux.scala 27:72] + wire [3:0] _T_1422 = _T_1404 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1423 = _T_1405 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1422 | _T_1423; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1426 | _T_1424; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1427 | _T_1425; // @[Mux.scala 27:72] + wire [7:0] _T_1430 = {_T_1428,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1443 = {4'h0,_T_1428}; // @[Cat.scala 29:58] + wire [7:0] _T_1444 = _T_1414[2] ? _T_1430 : _T_1443; // @[lsu_bus_buffer.scala 331:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1403 : _T_1444; // @[lsu_bus_buffer.scala 330:28] + wire [63:0] _T_1446 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1447 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1448 = io_lsu_addr_r[2] ? _T_1446 : _T_1447; // @[lsu_bus_buffer.scala 333:44] + wire [31:0] _T_1467 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1468 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1469 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1467 | _T_1468; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1471 | _T_1469; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1472 | _T_1470; // @[Mux.scala 27:72] + wire [63:0] _T_1475 = {_T_1473,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1488 = {32'h0,_T_1473}; // @[Cat.scala 29:58] + wire [63:0] _T_1489 = _T_1287[2] ? _T_1475 : _T_1488; // @[lsu_bus_buffer.scala 334:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1448 : _T_1489; // @[lsu_bus_buffer.scala 333:26] + wire [63:0] _T_1491 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1492 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1493 = io_end_addr_r[2] ? _T_1491 : _T_1492; // @[lsu_bus_buffer.scala 335:44] + wire [31:0] _T_1512 = _T_1404 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1513 = _T_1405 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1514 = _T_1406 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1512 | _T_1513; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1516 | _T_1514; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1517 | _T_1515; // @[Mux.scala 27:72] + wire [63:0] _T_1520 = {_T_1518,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1533 = {32'h0,_T_1518}; // @[Cat.scala 29:58] + wire [63:0] _T_1534 = _T_1414[2] ? _T_1520 : _T_1533; // @[lsu_bus_buffer.scala 336:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1493 : _T_1534; // @[lsu_bus_buffer.scala 335:26] + wire _T_1619 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 342:30] + wire _T_1620 = _T_1619 & found_cmdptr0; // @[lsu_bus_buffer.scala 342:43] + wire _T_1621 = _T_1620 & found_cmdptr1; // @[lsu_bus_buffer.scala 342:59] + wire _T_1635 = _T_1621 & _T_1107; // @[lsu_bus_buffer.scala 342:75] + wire [2:0] _T_1640 = _T_1404 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1641 = _T_1405 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1640 | _T_1641; // @[Mux.scala 27:72] + wire [2:0] _T_1642 = _T_1406 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1644 | _T_1642; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1645 | _T_1643; // @[Mux.scala 27:72] + wire _T_1648 = _T_1646 == 3'h2; // @[lsu_bus_buffer.scala 342:150] + wire _T_1649 = _T_1635 & _T_1648; // @[lsu_bus_buffer.scala 342:118] + wire _T_1670 = _T_1649 & _T_1128; // @[lsu_bus_buffer.scala 342:161] + wire _T_1688 = _T_1670 & _T_1053; // @[lsu_bus_buffer.scala 343:85] + wire _T_1725 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 344:36] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1728 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1737 = _T_1023 & _T_1728[0]; // @[Mux.scala 27:72] + wire _T_1738 = _T_1024 & _T_1728[1]; // @[Mux.scala 27:72] + wire _T_1741 = _T_1737 | _T_1738; // @[Mux.scala 27:72] + wire _T_1739 = _T_1025 & _T_1728[2]; // @[Mux.scala 27:72] + wire _T_1742 = _T_1741 | _T_1739; // @[Mux.scala 27:72] + wire _T_1740 = _T_1026 & _T_1728[3]; // @[Mux.scala 27:72] + wire _T_1743 = _T_1742 | _T_1740; // @[Mux.scala 27:72] + wire _T_1745 = ~_T_1743; // @[lsu_bus_buffer.scala 344:107] + wire _T_1746 = _T_1725 & _T_1745; // @[lsu_bus_buffer.scala 344:105] + wire _T_1766 = _T_1746 & _T_1185; // @[lsu_bus_buffer.scala 344:177] + wire _T_1767 = _T_1688 & _T_1766; // @[lsu_bus_buffer.scala 343:122] + wire _T_1768 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 345:19] + wire _T_1769 = _T_1768 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 345:35] + wire obuf_merge_en = _T_1767 | _T_1769; // @[lsu_bus_buffer.scala 344:250] + wire _T_1537 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1538 = obuf_byteen0_in[0] | _T_1537; // @[lsu_bus_buffer.scala 337:63] + wire _T_1541 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1542 = obuf_byteen0_in[1] | _T_1541; // @[lsu_bus_buffer.scala 337:63] + wire _T_1545 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1546 = obuf_byteen0_in[2] | _T_1545; // @[lsu_bus_buffer.scala 337:63] + wire _T_1549 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1550 = obuf_byteen0_in[3] | _T_1549; // @[lsu_bus_buffer.scala 337:63] + wire _T_1553 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1554 = obuf_byteen0_in[4] | _T_1553; // @[lsu_bus_buffer.scala 337:63] + wire _T_1557 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1558 = obuf_byteen0_in[5] | _T_1557; // @[lsu_bus_buffer.scala 337:63] + wire _T_1561 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1562 = obuf_byteen0_in[6] | _T_1561; // @[lsu_bus_buffer.scala 337:63] + wire _T_1565 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 337:80] + wire _T_1566 = obuf_byteen0_in[7] | _T_1565; // @[lsu_bus_buffer.scala 337:63] + wire [7:0] obuf_byteen_in = {_T_1566,_T_1562,_T_1558,_T_1554,_T_1550,_T_1546,_T_1542,_T_1538}; // @[Cat.scala 29:58] + wire [7:0] _T_1577 = _T_1537 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1582 = _T_1541 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1587 = _T_1545 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1592 = _T_1549 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1597 = _T_1553 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1602 = _T_1557 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1607 = _T_1561 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 338:44] + wire [7:0] _T_1612 = _T_1565 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 338:44] + wire [55:0] _T_1618 = {_T_1612,_T_1607,_T_1602,_T_1597,_T_1592,_T_1587,_T_1582}; // @[Cat.scala 29:58] + wire _T_1771 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 348:58] + wire _T_1772 = ~obuf_rst; // @[lsu_bus_buffer.scala 348:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1785 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1786 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 367:30] + wire _T_1787 = ibuf_valid & _T_1786; // @[lsu_bus_buffer.scala 367:19] + wire _T_1788 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 368:18] + wire _T_1789 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 368:57] + wire _T_1790 = io_ldst_dual_r & _T_1789; // @[lsu_bus_buffer.scala 368:45] + wire _T_1791 = _T_1788 | _T_1790; // @[lsu_bus_buffer.scala 368:27] + wire _T_1792 = io_lsu_busreq_r & _T_1791; // @[lsu_bus_buffer.scala 367:58] + wire _T_1793 = _T_1787 | _T_1792; // @[lsu_bus_buffer.scala 367:39] + wire _T_1794 = ~_T_1793; // @[lsu_bus_buffer.scala 367:5] + wire _T_1795 = _T_1785 & _T_1794; // @[lsu_bus_buffer.scala 366:76] + wire _T_1796 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1797 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 367:30] + wire _T_1798 = ibuf_valid & _T_1797; // @[lsu_bus_buffer.scala 367:19] + wire _T_1799 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 368:18] + wire _T_1800 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 368:57] + wire _T_1801 = io_ldst_dual_r & _T_1800; // @[lsu_bus_buffer.scala 368:45] + wire _T_1802 = _T_1799 | _T_1801; // @[lsu_bus_buffer.scala 368:27] + wire _T_1803 = io_lsu_busreq_r & _T_1802; // @[lsu_bus_buffer.scala 367:58] + wire _T_1804 = _T_1798 | _T_1803; // @[lsu_bus_buffer.scala 367:39] + wire _T_1805 = ~_T_1804; // @[lsu_bus_buffer.scala 367:5] + wire _T_1806 = _T_1796 & _T_1805; // @[lsu_bus_buffer.scala 366:76] + wire _T_1807 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1808 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 367:30] + wire _T_1809 = ibuf_valid & _T_1808; // @[lsu_bus_buffer.scala 367:19] + wire _T_1810 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 368:18] + wire _T_1811 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 368:57] + wire _T_1812 = io_ldst_dual_r & _T_1811; // @[lsu_bus_buffer.scala 368:45] + wire _T_1813 = _T_1810 | _T_1812; // @[lsu_bus_buffer.scala 368:27] + wire _T_1814 = io_lsu_busreq_r & _T_1813; // @[lsu_bus_buffer.scala 367:58] + wire _T_1815 = _T_1809 | _T_1814; // @[lsu_bus_buffer.scala 367:39] + wire _T_1816 = ~_T_1815; // @[lsu_bus_buffer.scala 367:5] + wire _T_1817 = _T_1807 & _T_1816; // @[lsu_bus_buffer.scala 366:76] + wire _T_1818 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 366:65] + wire _T_1819 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 367:30] + wire _T_1821 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 368:18] + wire _T_1822 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 368:57] + wire [1:0] _T_1830 = _T_1817 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1831 = _T_1806 ? 2'h1 : _T_1830; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1795 ? 2'h0 : _T_1831; // @[Mux.scala 98:16] + wire _T_1836 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 373:33] + wire _T_1837 = io_lsu_busreq_m & _T_1836; // @[lsu_bus_buffer.scala 373:22] + wire _T_1838 = _T_1787 | _T_1837; // @[lsu_bus_buffer.scala 372:112] + wire _T_1844 = _T_1838 | _T_1792; // @[lsu_bus_buffer.scala 373:42] + wire _T_1845 = ~_T_1844; // @[lsu_bus_buffer.scala 372:78] + wire _T_1846 = _T_1785 & _T_1845; // @[lsu_bus_buffer.scala 372:76] + wire _T_1850 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 373:33] + wire _T_1851 = io_lsu_busreq_m & _T_1850; // @[lsu_bus_buffer.scala 373:22] + wire _T_1852 = _T_1798 | _T_1851; // @[lsu_bus_buffer.scala 372:112] + wire _T_1858 = _T_1852 | _T_1803; // @[lsu_bus_buffer.scala 373:42] + wire _T_1859 = ~_T_1858; // @[lsu_bus_buffer.scala 372:78] + wire _T_1860 = _T_1796 & _T_1859; // @[lsu_bus_buffer.scala 372:76] + wire _T_1864 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 373:33] + wire _T_1865 = io_lsu_busreq_m & _T_1864; // @[lsu_bus_buffer.scala 373:22] + wire _T_1866 = _T_1809 | _T_1865; // @[lsu_bus_buffer.scala 372:112] + wire _T_1872 = _T_1866 | _T_1814; // @[lsu_bus_buffer.scala 373:42] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 372:78] + wire _T_1874 = _T_1807 & _T_1873; // @[lsu_bus_buffer.scala 372:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 509:63] + wire _T_2710 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2711 = buf_rspageQ_0[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2707 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2708 = buf_rspageQ_0[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2704 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2705 = buf_rspageQ_0[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2701 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 416:102] + wire _T_2702 = buf_rspageQ_0[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2711,_T_2708,_T_2705,_T_2702}; // @[Cat.scala 29:58] + wire _T_1965 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 384:65] + wire _T_1966 = ~_T_1965; // @[lsu_bus_buffer.scala 384:44] + wire _T_1968 = _T_1966 & _T_2701; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 509:63] + wire _T_2726 = buf_rspageQ_1[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2723 = buf_rspageQ_1[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2720 = buf_rspageQ_1[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2717 = buf_rspageQ_1[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2726,_T_2723,_T_2720,_T_2717}; // @[Cat.scala 29:58] + wire _T_1969 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 384:65] + wire _T_1970 = ~_T_1969; // @[lsu_bus_buffer.scala 384:44] + wire _T_1972 = _T_1970 & _T_2704; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 509:63] + wire _T_2741 = buf_rspageQ_2[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2738 = buf_rspageQ_2[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2735 = buf_rspageQ_2[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2732 = buf_rspageQ_2[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2741,_T_2738,_T_2735,_T_2732}; // @[Cat.scala 29:58] + wire _T_1973 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 384:65] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 384:44] + wire _T_1976 = _T_1974 & _T_2707; // @[lsu_bus_buffer.scala 384:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 509:63] + wire _T_2756 = buf_rspageQ_3[3] & _T_2710; // @[lsu_bus_buffer.scala 416:87] + wire _T_2753 = buf_rspageQ_3[2] & _T_2707; // @[lsu_bus_buffer.scala 416:87] + wire _T_2750 = buf_rspageQ_3[1] & _T_2704; // @[lsu_bus_buffer.scala 416:87] + wire _T_2747 = buf_rspageQ_3[0] & _T_2701; // @[lsu_bus_buffer.scala 416:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2756,_T_2753,_T_2750,_T_2747}; // @[Cat.scala 29:58] + wire _T_1977 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 384:65] + wire _T_1978 = ~_T_1977; // @[lsu_bus_buffer.scala 384:44] + wire _T_1980 = _T_1978 & _T_2710; // @[lsu_bus_buffer.scala 384:70] + wire [7:0] _T_2036 = {4'h0,_T_1980,_T_1976,_T_1972,_T_1968}; // @[Cat.scala 29:58] + wire _T_2039 = _T_2036[4] | _T_2036[5]; // @[lsu_bus_buffer.scala 388:42] + wire _T_2041 = _T_2039 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:48] + wire _T_2043 = _T_2041 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:54] + wire _T_2046 = _T_2036[2] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:67] + wire _T_2048 = _T_2046 | _T_2036[6]; // @[lsu_bus_buffer.scala 388:73] + wire _T_2050 = _T_2048 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:79] + wire _T_2053 = _T_2036[1] | _T_2036[3]; // @[lsu_bus_buffer.scala 388:92] + wire _T_2055 = _T_2053 | _T_2036[5]; // @[lsu_bus_buffer.scala 388:98] + wire _T_2057 = _T_2055 | _T_2036[7]; // @[lsu_bus_buffer.scala 388:104] + wire [2:0] _T_2059 = {_T_2043,_T_2050,_T_2057}; // @[Cat.scala 29:58] + wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:77] + wire _T_3529 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 446:97] + wire _T_3530 = _T_3528 & _T_3529; // @[lsu_bus_buffer.scala 446:95] + wire _T_3531 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3532 = _T_3530 & _T_3531; // @[lsu_bus_buffer.scala 446:112] + wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 446:144] + wire _T_3534 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3535 = _T_3533 & _T_3534; // @[lsu_bus_buffer.scala 446:161] + wire _T_3536 = _T_3532 | _T_3535; // @[lsu_bus_buffer.scala 446:132] + wire _T_3537 = _T_853 & _T_3536; // @[lsu_bus_buffer.scala 446:63] + wire _T_3538 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3539 = ibuf_drain_vld & _T_3538; // @[lsu_bus_buffer.scala 446:201] + wire _T_3540 = _T_3537 | _T_3539; // @[lsu_bus_buffer.scala 446:183] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 568:39] + wire _T_3628 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 473:73] + wire _T_3629 = bus_rsp_write & _T_3628; // @[lsu_bus_buffer.scala 473:52] + wire _T_3630 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 474:46] + reg _T_4291; // @[Reg.scala 27:20] + reg _T_4289; // @[Reg.scala 27:20] + reg _T_4287; // @[Reg.scala 27:20] + reg _T_4285; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4291,_T_4289,_T_4287,_T_4285}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3632 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 475:47] + wire _T_3633 = buf_ldfwd[0] & _T_3632; // @[lsu_bus_buffer.scala 475:27] + wire _T_3634 = _T_3630 | _T_3633; // @[lsu_bus_buffer.scala 474:77] + wire _T_3635 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 476:26] + wire _T_3637 = ~buf_write[0]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3638 = _T_3635 & _T_3637; // @[lsu_bus_buffer.scala 476:42] + wire _T_3639 = _T_3638 & buf_samedw_0; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3640 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 476:94] + wire _T_3641 = _T_3639 & _T_3640; // @[lsu_bus_buffer.scala 476:74] + wire _T_3642 = _T_3634 | _T_3641; // @[lsu_bus_buffer.scala 475:71] + wire _T_3643 = bus_rsp_read & _T_3642; // @[lsu_bus_buffer.scala 474:25] + wire _T_3644 = _T_3629 | _T_3643; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_43 = _T_3585 & _T_3644; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3551 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3547 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [3:0] _T_3679 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 489:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3681 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 489:58] + wire _T_3682 = _T_3679[0] & _T_3681; // @[lsu_bus_buffer.scala 489:38] + wire _T_3683 = _T_3640 | _T_3682; // @[lsu_bus_buffer.scala 488:95] + wire _T_3684 = bus_rsp_read & _T_3683; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_37 = _T_3669 & _T_3684; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_3547 ? 1'h0 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_67; // @[Conditional.scala 40:58] + wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire [1:0] RspPtr = _T_2059[1:0]; // @[lsu_bus_buffer.scala 396:10] + wire _T_3690 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 495:37] + wire _T_3691 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3692 = buf_dual_0 & _T_3691; // @[lsu_bus_buffer.scala 495:80] + wire _T_3693 = _T_3690 | _T_3692; // @[lsu_bus_buffer.scala 495:65] + wire _T_3694 = _T_3693 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_32 = _T_3687 ? _T_3694 : _T_3695; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3669 ? _T_3565 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3585 ? _T_3565 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_3551 ? _T_3565 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3547 ? obuf_rdrsp_pend_en : _GEN_55; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2061 = _T_1785 & buf_state_en_0; // @[lsu_bus_buffer.scala 408:94] + wire _T_2067 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 410:23] + wire _T_2069 = _T_2067 & _T_3528; // @[lsu_bus_buffer.scala 410:41] + wire _T_2071 = _T_2069 & _T_1788; // @[lsu_bus_buffer.scala 410:71] + wire _T_2073 = _T_2071 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2074 = _T_4455 | _T_2073; // @[lsu_bus_buffer.scala 409:86] + wire _T_2075 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 411:17] + wire _T_2076 = _T_2075 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 411:35] + wire _T_2078 = _T_2076 & _T_1789; // @[lsu_bus_buffer.scala 411:52] + wire _T_2080 = _T_2078 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2081 = _T_2074 | _T_2080; // @[lsu_bus_buffer.scala 410:114] + wire _T_2082 = _T_2061 & _T_2081; // @[lsu_bus_buffer.scala 408:113] + wire _T_2084 = _T_2082 | buf_age_0[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2098 = _T_2071 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2099 = _T_4460 | _T_2098; // @[lsu_bus_buffer.scala 409:86] + wire _T_2105 = _T_2078 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2106 = _T_2099 | _T_2105; // @[lsu_bus_buffer.scala 410:114] + wire _T_2107 = _T_2061 & _T_2106; // @[lsu_bus_buffer.scala 408:113] + wire _T_2109 = _T_2107 | buf_age_0[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2123 = _T_2071 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2124 = _T_4465 | _T_2123; // @[lsu_bus_buffer.scala 409:86] + wire _T_2130 = _T_2078 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2131 = _T_2124 | _T_2130; // @[lsu_bus_buffer.scala 410:114] + wire _T_2132 = _T_2061 & _T_2131; // @[lsu_bus_buffer.scala 408:113] + wire _T_2134 = _T_2132 | buf_age_0[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2148 = _T_2071 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2149 = _T_4470 | _T_2148; // @[lsu_bus_buffer.scala 409:86] + wire _T_2155 = _T_2078 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2156 = _T_2149 | _T_2155; // @[lsu_bus_buffer.scala 410:114] + wire _T_2157 = _T_2061 & _T_2156; // @[lsu_bus_buffer.scala 408:113] + wire _T_2159 = _T_2157 | buf_age_0[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2161 = {_T_2159,_T_2134,_T_2109}; // @[Cat.scala 29:58] + wire _T_3721 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3722 = _T_3530 & _T_3721; // @[lsu_bus_buffer.scala 446:112] + wire _T_3724 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3725 = _T_3533 & _T_3724; // @[lsu_bus_buffer.scala 446:161] + wire _T_3726 = _T_3722 | _T_3725; // @[lsu_bus_buffer.scala 446:132] + wire _T_3727 = _T_853 & _T_3726; // @[lsu_bus_buffer.scala 446:63] + wire _T_3728 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3729 = ibuf_drain_vld & _T_3728; // @[lsu_bus_buffer.scala 446:201] + wire _T_3730 = _T_3727 | _T_3729; // @[lsu_bus_buffer.scala 446:183] + wire _T_3818 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 473:73] + wire _T_3819 = bus_rsp_write & _T_3818; // @[lsu_bus_buffer.scala 473:52] + wire _T_3820 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 475:47] + wire _T_3822 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 475:47] + wire _T_3823 = buf_ldfwd[1] & _T_3822; // @[lsu_bus_buffer.scala 475:27] + wire _T_3824 = _T_3820 | _T_3823; // @[lsu_bus_buffer.scala 474:77] + wire _T_3825 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 476:26] + wire _T_3827 = ~buf_write[1]; // @[lsu_bus_buffer.scala 476:44] + wire _T_3828 = _T_3825 & _T_3827; // @[lsu_bus_buffer.scala 476:42] + wire _T_3829 = _T_3828 & buf_samedw_1; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 476:94] + wire _T_3830 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 476:94] + wire _T_3831 = _T_3829 & _T_3830; // @[lsu_bus_buffer.scala 476:74] + wire _T_3832 = _T_3824 | _T_3831; // @[lsu_bus_buffer.scala 475:71] + wire _T_3833 = bus_rsp_read & _T_3832; // @[lsu_bus_buffer.scala 474:25] + wire _T_3834 = _T_3819 | _T_3833; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_120 = _T_3775 & _T_3834; // @[Conditional.scala 39:67] + wire _GEN_139 = _T_3741 ? 1'h0 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_151 = _T_3737 ? 1'h0 : _GEN_139; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_151; // @[Conditional.scala 40:58] + wire [3:0] _T_3869 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_100 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_101 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_100; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_102 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_101; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_102}; // @[lsu_bus_buffer.scala 489:58] + wire _T_3871 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 489:58] + wire _T_3872 = _T_3869[0] & _T_3871; // @[lsu_bus_buffer.scala 489:38] + wire _T_3873 = _T_3830 | _T_3872; // @[lsu_bus_buffer.scala 488:95] + wire _T_3874 = bus_rsp_read & _T_3873; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_114 = _T_3859 & _T_3874; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3775 ? buf_resp_state_bus_en_1 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_131 = _T_3741 ? buf_cmd_state_bus_en_1 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3737 ? 1'h0 : _GEN_131; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3714 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire _T_3754 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3755 = _T_3754 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_3880 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 495:37] + wire _T_3881 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_3882 = buf_dual_1 & _T_3881; // @[lsu_bus_buffer.scala 495:80] + wire _T_3883 = _T_3880 | _T_3882; // @[lsu_bus_buffer.scala 495:65] + wire _T_3884 = _T_3883 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_109 = _T_3877 ? _T_3884 : _T_3885; // @[Conditional.scala 39:67] + wire _GEN_115 = _T_3859 ? _T_3755 : _GEN_109; // @[Conditional.scala 39:67] + wire _GEN_122 = _T_3775 ? _T_3755 : _GEN_115; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3741 ? _T_3755 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3737 ? obuf_rdrsp_pend_en : _GEN_132; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3714 ? _T_3730 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_2163 = _T_1796 & buf_state_en_1; // @[lsu_bus_buffer.scala 408:94] + wire _T_2173 = _T_2069 & _T_1799; // @[lsu_bus_buffer.scala 410:71] + wire _T_2175 = _T_2173 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2176 = _T_4455 | _T_2175; // @[lsu_bus_buffer.scala 409:86] + wire _T_2180 = _T_2076 & _T_1800; // @[lsu_bus_buffer.scala 411:52] + wire _T_2182 = _T_2180 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2183 = _T_2176 | _T_2182; // @[lsu_bus_buffer.scala 410:114] + wire _T_2184 = _T_2163 & _T_2183; // @[lsu_bus_buffer.scala 408:113] + wire _T_2186 = _T_2184 | buf_age_1[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2200 = _T_2173 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2201 = _T_4460 | _T_2200; // @[lsu_bus_buffer.scala 409:86] + wire _T_2207 = _T_2180 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2208 = _T_2201 | _T_2207; // @[lsu_bus_buffer.scala 410:114] + wire _T_2209 = _T_2163 & _T_2208; // @[lsu_bus_buffer.scala 408:113] + wire _T_2211 = _T_2209 | buf_age_1[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2225 = _T_2173 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2226 = _T_4465 | _T_2225; // @[lsu_bus_buffer.scala 409:86] + wire _T_2232 = _T_2180 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2233 = _T_2226 | _T_2232; // @[lsu_bus_buffer.scala 410:114] + wire _T_2234 = _T_2163 & _T_2233; // @[lsu_bus_buffer.scala 408:113] + wire _T_2236 = _T_2234 | buf_age_1[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2250 = _T_2173 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2251 = _T_4470 | _T_2250; // @[lsu_bus_buffer.scala 409:86] + wire _T_2257 = _T_2180 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2258 = _T_2251 | _T_2257; // @[lsu_bus_buffer.scala 410:114] + wire _T_2259 = _T_2163 & _T_2258; // @[lsu_bus_buffer.scala 408:113] + wire _T_2261 = _T_2259 | buf_age_1[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2263 = {_T_2261,_T_2236,_T_2211}; // @[Cat.scala 29:58] + wire _T_3911 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_3912 = _T_3530 & _T_3911; // @[lsu_bus_buffer.scala 446:112] + wire _T_3914 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_3915 = _T_3533 & _T_3914; // @[lsu_bus_buffer.scala 446:161] + wire _T_3916 = _T_3912 | _T_3915; // @[lsu_bus_buffer.scala 446:132] + wire _T_3917 = _T_853 & _T_3916; // @[lsu_bus_buffer.scala 446:63] + wire _T_3918 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_3919 = ibuf_drain_vld & _T_3918; // @[lsu_bus_buffer.scala 446:201] + wire _T_3920 = _T_3917 | _T_3919; // @[lsu_bus_buffer.scala 446:183] + wire _T_4008 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 473:73] + wire _T_4009 = bus_rsp_write & _T_4008; // @[lsu_bus_buffer.scala 473:52] + wire _T_4010 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4012 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 475:47] + wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[lsu_bus_buffer.scala 475:27] + wire _T_4014 = _T_4010 | _T_4013; // @[lsu_bus_buffer.scala 474:77] + wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 476:26] + wire _T_4017 = ~buf_write[2]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4018 = _T_4015 & _T_4017; // @[lsu_bus_buffer.scala 476:42] + wire _T_4019 = _T_4018 & buf_samedw_2; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4020 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 476:94] + wire _T_4021 = _T_4019 & _T_4020; // @[lsu_bus_buffer.scala 476:74] + wire _T_4022 = _T_4014 | _T_4021; // @[lsu_bus_buffer.scala 475:71] + wire _T_4023 = bus_rsp_read & _T_4022; // @[lsu_bus_buffer.scala 474:25] + wire _T_4024 = _T_4009 | _T_4023; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_197 = _T_3965 & _T_4024; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3931 ? 1'h0 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_228 = _T_3927 ? 1'h0 : _GEN_216; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_228; // @[Conditional.scala 40:58] + wire [3:0] _T_4059 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_177 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_178 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_177; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_179 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_178; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_179}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4061 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 489:58] + wire _T_4062 = _T_4059[0] & _T_4061; // @[lsu_bus_buffer.scala 489:38] + wire _T_4063 = _T_4020 | _T_4062; // @[lsu_bus_buffer.scala 488:95] + wire _T_4064 = bus_rsp_read & _T_4063; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_191 = _T_4049 & _T_4064; // @[Conditional.scala 39:67] + wire _GEN_198 = _T_3965 ? buf_resp_state_bus_en_2 : _GEN_191; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3931 ? buf_cmd_state_bus_en_2 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_221 = _T_3927 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3904 ? 1'h0 : _GEN_221; // @[Conditional.scala 40:58] + wire _T_3944 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_3945 = _T_3944 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4070 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 495:37] + wire _T_4071 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4072 = buf_dual_2 & _T_4071; // @[lsu_bus_buffer.scala 495:80] + wire _T_4073 = _T_4070 | _T_4072; // @[lsu_bus_buffer.scala 495:65] + wire _T_4074 = _T_4073 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_186 = _T_4067 ? _T_4074 : _T_4075; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4049 ? _T_3945 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_199 = _T_3965 ? _T_3945 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_209 = _T_3931 ? _T_3945 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_219 = _T_3927 ? obuf_rdrsp_pend_en : _GEN_209; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3904 ? _T_3920 : _GEN_219; // @[Conditional.scala 40:58] + wire _T_2265 = _T_1807 & buf_state_en_2; // @[lsu_bus_buffer.scala 408:94] + wire _T_2275 = _T_2069 & _T_1810; // @[lsu_bus_buffer.scala 410:71] + wire _T_2277 = _T_2275 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2278 = _T_4455 | _T_2277; // @[lsu_bus_buffer.scala 409:86] + wire _T_2282 = _T_2076 & _T_1811; // @[lsu_bus_buffer.scala 411:52] + wire _T_2284 = _T_2282 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2285 = _T_2278 | _T_2284; // @[lsu_bus_buffer.scala 410:114] + wire _T_2286 = _T_2265 & _T_2285; // @[lsu_bus_buffer.scala 408:113] + wire _T_2288 = _T_2286 | buf_age_2[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2302 = _T_2275 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2303 = _T_4460 | _T_2302; // @[lsu_bus_buffer.scala 409:86] + wire _T_2309 = _T_2282 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2310 = _T_2303 | _T_2309; // @[lsu_bus_buffer.scala 410:114] + wire _T_2311 = _T_2265 & _T_2310; // @[lsu_bus_buffer.scala 408:113] + wire _T_2313 = _T_2311 | buf_age_2[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2327 = _T_2275 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2328 = _T_4465 | _T_2327; // @[lsu_bus_buffer.scala 409:86] + wire _T_2334 = _T_2282 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2335 = _T_2328 | _T_2334; // @[lsu_bus_buffer.scala 410:114] + wire _T_2336 = _T_2265 & _T_2335; // @[lsu_bus_buffer.scala 408:113] + wire _T_2338 = _T_2336 | buf_age_2[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2352 = _T_2275 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2353 = _T_4470 | _T_2352; // @[lsu_bus_buffer.scala 409:86] + wire _T_2359 = _T_2282 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2360 = _T_2353 | _T_2359; // @[lsu_bus_buffer.scala 410:114] + wire _T_2361 = _T_2265 & _T_2360; // @[lsu_bus_buffer.scala 408:113] + wire _T_2363 = _T_2361 | buf_age_2[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2365 = {_T_2363,_T_2338,_T_2313}; // @[Cat.scala 29:58] + wire _T_4101 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 446:117] + wire _T_4102 = _T_3530 & _T_4101; // @[lsu_bus_buffer.scala 446:112] + wire _T_4104 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 446:166] + wire _T_4105 = _T_3533 & _T_4104; // @[lsu_bus_buffer.scala 446:161] + wire _T_4106 = _T_4102 | _T_4105; // @[lsu_bus_buffer.scala 446:132] + wire _T_4107 = _T_853 & _T_4106; // @[lsu_bus_buffer.scala 446:63] + wire _T_4108 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 446:206] + wire _T_4109 = ibuf_drain_vld & _T_4108; // @[lsu_bus_buffer.scala 446:201] + wire _T_4110 = _T_4107 | _T_4109; // @[lsu_bus_buffer.scala 446:183] + wire _T_4198 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 473:73] + wire _T_4199 = bus_rsp_write & _T_4198; // @[lsu_bus_buffer.scala 473:52] + wire _T_4200 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 474:46] + wire [2:0] _GEN_384 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 475:47] + wire _T_4202 = io_lsu_axi_r_bits_id == _GEN_384; // @[lsu_bus_buffer.scala 475:47] + wire _T_4203 = buf_ldfwd[3] & _T_4202; // @[lsu_bus_buffer.scala 475:27] + wire _T_4204 = _T_4200 | _T_4203; // @[lsu_bus_buffer.scala 474:77] + wire _T_4205 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 476:26] + wire _T_4207 = ~buf_write[3]; // @[lsu_bus_buffer.scala 476:44] + wire _T_4208 = _T_4205 & _T_4207; // @[lsu_bus_buffer.scala 476:42] + wire _T_4209 = _T_4208 & buf_samedw_3; // @[lsu_bus_buffer.scala 476:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_385 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 476:94] + wire _T_4210 = io_lsu_axi_r_bits_id == _GEN_385; // @[lsu_bus_buffer.scala 476:94] + wire _T_4211 = _T_4209 & _T_4210; // @[lsu_bus_buffer.scala 476:74] + wire _T_4212 = _T_4204 | _T_4211; // @[lsu_bus_buffer.scala 475:71] + wire _T_4213 = bus_rsp_read & _T_4212; // @[lsu_bus_buffer.scala 474:25] + wire _T_4214 = _T_4199 | _T_4213; // @[lsu_bus_buffer.scala 473:105] + wire _GEN_274 = _T_4155 & _T_4214; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4121 ? 1'h0 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_305 = _T_4117 ? 1'h0 : _GEN_293; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_305; // @[Conditional.scala 40:58] + wire [3:0] _T_4249 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 489:21] + wire [1:0] _GEN_254 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_255 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_254; // @[lsu_bus_buffer.scala 489:58] + wire [1:0] _GEN_256 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_255; // @[lsu_bus_buffer.scala 489:58] + wire [2:0] _GEN_387 = {{1'd0}, _GEN_256}; // @[lsu_bus_buffer.scala 489:58] + wire _T_4251 = io_lsu_axi_r_bits_id == _GEN_387; // @[lsu_bus_buffer.scala 489:58] + wire _T_4252 = _T_4249[0] & _T_4251; // @[lsu_bus_buffer.scala 489:38] + wire _T_4253 = _T_4210 | _T_4252; // @[lsu_bus_buffer.scala 488:95] + wire _T_4254 = bus_rsp_read & _T_4253; // @[lsu_bus_buffer.scala 488:45] + wire _GEN_268 = _T_4239 & _T_4254; // @[Conditional.scala 39:67] + wire _GEN_275 = _T_4155 ? buf_resp_state_bus_en_3 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_285 = _T_4121 ? buf_cmd_state_bus_en_3 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4117 ? 1'h0 : _GEN_285; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4094 ? 1'h0 : _GEN_298; // @[Conditional.scala 40:58] + wire _T_4134 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 461:49] + wire _T_4135 = _T_4134 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 461:70] + wire _T_4260 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 495:37] + wire _T_4261 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 495:98] + wire _T_4262 = buf_dual_3 & _T_4261; // @[lsu_bus_buffer.scala 495:80] + wire _T_4263 = _T_4260 | _T_4262; // @[lsu_bus_buffer.scala 495:65] + wire _T_4264 = _T_4263 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 495:112] + wire _GEN_263 = _T_4257 ? _T_4264 : _T_4265; // @[Conditional.scala 39:67] + wire _GEN_269 = _T_4239 ? _T_4135 : _GEN_263; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4155 ? _T_4135 : _GEN_269; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4121 ? _T_4135 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4117 ? obuf_rdrsp_pend_en : _GEN_286; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4094 ? _T_4110 : _GEN_296; // @[Conditional.scala 40:58] + wire _T_2367 = _T_1818 & buf_state_en_3; // @[lsu_bus_buffer.scala 408:94] + wire _T_2377 = _T_2069 & _T_1821; // @[lsu_bus_buffer.scala 410:71] + wire _T_2379 = _T_2377 & _T_1786; // @[lsu_bus_buffer.scala 410:92] + wire _T_2380 = _T_4455 | _T_2379; // @[lsu_bus_buffer.scala 409:86] + wire _T_2384 = _T_2076 & _T_1822; // @[lsu_bus_buffer.scala 411:52] + wire _T_2386 = _T_2384 & _T_1788; // @[lsu_bus_buffer.scala 411:73] + wire _T_2387 = _T_2380 | _T_2386; // @[lsu_bus_buffer.scala 410:114] + wire _T_2388 = _T_2367 & _T_2387; // @[lsu_bus_buffer.scala 408:113] + wire _T_2390 = _T_2388 | buf_age_3[0]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2404 = _T_2377 & _T_1797; // @[lsu_bus_buffer.scala 410:92] + wire _T_2405 = _T_4460 | _T_2404; // @[lsu_bus_buffer.scala 409:86] + wire _T_2411 = _T_2384 & _T_1799; // @[lsu_bus_buffer.scala 411:73] + wire _T_2412 = _T_2405 | _T_2411; // @[lsu_bus_buffer.scala 410:114] + wire _T_2413 = _T_2367 & _T_2412; // @[lsu_bus_buffer.scala 408:113] + wire _T_2415 = _T_2413 | buf_age_3[1]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2429 = _T_2377 & _T_1808; // @[lsu_bus_buffer.scala 410:92] + wire _T_2430 = _T_4465 | _T_2429; // @[lsu_bus_buffer.scala 409:86] + wire _T_2436 = _T_2384 & _T_1810; // @[lsu_bus_buffer.scala 411:73] + wire _T_2437 = _T_2430 | _T_2436; // @[lsu_bus_buffer.scala 410:114] + wire _T_2438 = _T_2367 & _T_2437; // @[lsu_bus_buffer.scala 408:113] + wire _T_2440 = _T_2438 | buf_age_3[2]; // @[lsu_bus_buffer.scala 411:97] + wire _T_2454 = _T_2377 & _T_1819; // @[lsu_bus_buffer.scala 410:92] + wire _T_2455 = _T_4470 | _T_2454; // @[lsu_bus_buffer.scala 409:86] + wire _T_2461 = _T_2384 & _T_1821; // @[lsu_bus_buffer.scala 411:73] + wire _T_2462 = _T_2455 | _T_2461; // @[lsu_bus_buffer.scala 410:114] + wire _T_2463 = _T_2367 & _T_2462; // @[lsu_bus_buffer.scala 408:113] + wire _T_2465 = _T_2463 | buf_age_3[3]; // @[lsu_bus_buffer.scala 411:97] + wire [2:0] _T_2467 = {_T_2465,_T_2440,_T_2415}; // @[Cat.scala 29:58] + wire _T_2763 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2764 = _T_1785 | _T_2763; // @[lsu_bus_buffer.scala 419:32] + wire _T_2765 = ~_T_2764; // @[lsu_bus_buffer.scala 419:6] + wire _T_2773 = _T_2765 | _T_2073; // @[lsu_bus_buffer.scala 419:59] + wire _T_2780 = _T_2773 | _T_2080; // @[lsu_bus_buffer.scala 420:110] + wire _T_2781 = _T_2061 & _T_2780; // @[lsu_bus_buffer.scala 418:112] + wire _T_2785 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2786 = _T_1796 | _T_2785; // @[lsu_bus_buffer.scala 419:32] + wire _T_2787 = ~_T_2786; // @[lsu_bus_buffer.scala 419:6] + wire _T_2795 = _T_2787 | _T_2098; // @[lsu_bus_buffer.scala 419:59] + wire _T_2802 = _T_2795 | _T_2105; // @[lsu_bus_buffer.scala 420:110] + wire _T_2803 = _T_2061 & _T_2802; // @[lsu_bus_buffer.scala 418:112] + wire _T_2807 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2808 = _T_1807 | _T_2807; // @[lsu_bus_buffer.scala 419:32] + wire _T_2809 = ~_T_2808; // @[lsu_bus_buffer.scala 419:6] + wire _T_2817 = _T_2809 | _T_2123; // @[lsu_bus_buffer.scala 419:59] + wire _T_2824 = _T_2817 | _T_2130; // @[lsu_bus_buffer.scala 420:110] + wire _T_2825 = _T_2061 & _T_2824; // @[lsu_bus_buffer.scala 418:112] + wire _T_2829 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 419:47] + wire _T_2830 = _T_1818 | _T_2829; // @[lsu_bus_buffer.scala 419:32] + wire _T_2831 = ~_T_2830; // @[lsu_bus_buffer.scala 419:6] + wire _T_2839 = _T_2831 | _T_2148; // @[lsu_bus_buffer.scala 419:59] + wire _T_2846 = _T_2839 | _T_2155; // @[lsu_bus_buffer.scala 420:110] + wire _T_2847 = _T_2061 & _T_2846; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_0 = {_T_2847,_T_2825,_T_2803,_T_2781}; // @[Cat.scala 29:58] + wire _T_2864 = _T_2765 | _T_2175; // @[lsu_bus_buffer.scala 419:59] + wire _T_2871 = _T_2864 | _T_2182; // @[lsu_bus_buffer.scala 420:110] + wire _T_2872 = _T_2163 & _T_2871; // @[lsu_bus_buffer.scala 418:112] + wire _T_2886 = _T_2787 | _T_2200; // @[lsu_bus_buffer.scala 419:59] + wire _T_2893 = _T_2886 | _T_2207; // @[lsu_bus_buffer.scala 420:110] + wire _T_2894 = _T_2163 & _T_2893; // @[lsu_bus_buffer.scala 418:112] + wire _T_2908 = _T_2809 | _T_2225; // @[lsu_bus_buffer.scala 419:59] + wire _T_2915 = _T_2908 | _T_2232; // @[lsu_bus_buffer.scala 420:110] + wire _T_2916 = _T_2163 & _T_2915; // @[lsu_bus_buffer.scala 418:112] + wire _T_2930 = _T_2831 | _T_2250; // @[lsu_bus_buffer.scala 419:59] + wire _T_2937 = _T_2930 | _T_2257; // @[lsu_bus_buffer.scala 420:110] + wire _T_2938 = _T_2163 & _T_2937; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_1 = {_T_2938,_T_2916,_T_2894,_T_2872}; // @[Cat.scala 29:58] + wire _T_2955 = _T_2765 | _T_2277; // @[lsu_bus_buffer.scala 419:59] + wire _T_2962 = _T_2955 | _T_2284; // @[lsu_bus_buffer.scala 420:110] + wire _T_2963 = _T_2265 & _T_2962; // @[lsu_bus_buffer.scala 418:112] + wire _T_2977 = _T_2787 | _T_2302; // @[lsu_bus_buffer.scala 419:59] + wire _T_2984 = _T_2977 | _T_2309; // @[lsu_bus_buffer.scala 420:110] + wire _T_2985 = _T_2265 & _T_2984; // @[lsu_bus_buffer.scala 418:112] + wire _T_2999 = _T_2809 | _T_2327; // @[lsu_bus_buffer.scala 419:59] + wire _T_3006 = _T_2999 | _T_2334; // @[lsu_bus_buffer.scala 420:110] + wire _T_3007 = _T_2265 & _T_3006; // @[lsu_bus_buffer.scala 418:112] + wire _T_3021 = _T_2831 | _T_2352; // @[lsu_bus_buffer.scala 419:59] + wire _T_3028 = _T_3021 | _T_2359; // @[lsu_bus_buffer.scala 420:110] + wire _T_3029 = _T_2265 & _T_3028; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_2 = {_T_3029,_T_3007,_T_2985,_T_2963}; // @[Cat.scala 29:58] + wire _T_3046 = _T_2765 | _T_2379; // @[lsu_bus_buffer.scala 419:59] + wire _T_3053 = _T_3046 | _T_2386; // @[lsu_bus_buffer.scala 420:110] + wire _T_3054 = _T_2367 & _T_3053; // @[lsu_bus_buffer.scala 418:112] + wire _T_3068 = _T_2787 | _T_2404; // @[lsu_bus_buffer.scala 419:59] + wire _T_3075 = _T_3068 | _T_2411; // @[lsu_bus_buffer.scala 420:110] + wire _T_3076 = _T_2367 & _T_3075; // @[lsu_bus_buffer.scala 418:112] + wire _T_3090 = _T_2809 | _T_2429; // @[lsu_bus_buffer.scala 419:59] + wire _T_3097 = _T_3090 | _T_2436; // @[lsu_bus_buffer.scala 420:110] + wire _T_3098 = _T_2367 & _T_3097; // @[lsu_bus_buffer.scala 418:112] + wire _T_3112 = _T_2831 | _T_2454; // @[lsu_bus_buffer.scala 419:59] + wire _T_3119 = _T_3112 | _T_2461; // @[lsu_bus_buffer.scala 420:110] + wire _T_3120 = _T_2367 & _T_3119; // @[lsu_bus_buffer.scala 418:112] + wire [3:0] buf_rspage_set_3 = {_T_3120,_T_3098,_T_3076,_T_3054}; // @[Cat.scala 29:58] + wire _T_3211 = _T_2829 | _T_1818; // @[lsu_bus_buffer.scala 423:110] + wire _T_3212 = ~_T_3211; // @[lsu_bus_buffer.scala 423:84] + wire _T_3213 = buf_rspageQ_0[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3215 = _T_3213 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3203 = _T_2807 | _T_1807; // @[lsu_bus_buffer.scala 423:110] + wire _T_3204 = ~_T_3203; // @[lsu_bus_buffer.scala 423:84] + wire _T_3205 = buf_rspageQ_0[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3207 = _T_3205 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3195 = _T_2785 | _T_1796; // @[lsu_bus_buffer.scala 423:110] + wire _T_3196 = ~_T_3195; // @[lsu_bus_buffer.scala 423:84] + wire _T_3197 = buf_rspageQ_0[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3199 = _T_3197 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3187 = _T_2763 | _T_1785; // @[lsu_bus_buffer.scala 423:110] + wire _T_3188 = ~_T_3187; // @[lsu_bus_buffer.scala 423:84] + wire _T_3189 = buf_rspageQ_0[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3191 = _T_3189 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_0 = {_T_3215,_T_3207,_T_3199,_T_3191}; // @[Cat.scala 29:58] + wire _T_3126 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3129 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3132 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3135 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3137 = {_T_3135,_T_3132,_T_3129}; // @[Cat.scala 29:58] + wire _T_3248 = buf_rspageQ_1[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3250 = _T_3248 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3240 = buf_rspageQ_1[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3242 = _T_3240 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3232 = buf_rspageQ_1[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3234 = _T_3232 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3224 = buf_rspageQ_1[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3226 = _T_3224 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_1 = {_T_3250,_T_3242,_T_3234,_T_3226}; // @[Cat.scala 29:58] + wire _T_3141 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3144 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3147 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3150 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3152 = {_T_3150,_T_3147,_T_3144}; // @[Cat.scala 29:58] + wire _T_3283 = buf_rspageQ_2[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3285 = _T_3283 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3275 = buf_rspageQ_2[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3277 = _T_3275 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3267 = buf_rspageQ_2[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3269 = _T_3267 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3259 = buf_rspageQ_2[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3261 = _T_3259 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_2 = {_T_3285,_T_3277,_T_3269,_T_3261}; // @[Cat.scala 29:58] + wire _T_3156 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3159 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3162 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3165 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3167 = {_T_3165,_T_3162,_T_3159}; // @[Cat.scala 29:58] + wire _T_3318 = buf_rspageQ_3[3] & _T_3212; // @[lsu_bus_buffer.scala 423:82] + wire _T_3320 = _T_3318 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3310 = buf_rspageQ_3[2] & _T_3204; // @[lsu_bus_buffer.scala 423:82] + wire _T_3312 = _T_3310 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3302 = buf_rspageQ_3[1] & _T_3196; // @[lsu_bus_buffer.scala 423:82] + wire _T_3304 = _T_3302 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire _T_3294 = buf_rspageQ_3[0] & _T_3188; // @[lsu_bus_buffer.scala 423:82] + wire _T_3296 = _T_3294 & _T_2587; // @[lsu_bus_buffer.scala 423:145] + wire [3:0] buf_rspage_3 = {_T_3320,_T_3312,_T_3304,_T_3296}; // @[Cat.scala 29:58] + wire _T_3171 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3174 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3177 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 422:88] + wire _T_3180 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 422:88] + wire [2:0] _T_3182 = {_T_3180,_T_3177,_T_3174}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_drain_vld & _T_1786; // @[lsu_bus_buffer.scala 428:63] + wire _T_3327 = ibuf_drain_vld & _T_1797; // @[lsu_bus_buffer.scala 428:63] + wire _T_3329 = ibuf_drain_vld & _T_1808; // @[lsu_bus_buffer.scala 428:63] + wire _T_3331 = ibuf_drain_vld & _T_1819; // @[lsu_bus_buffer.scala 428:63] + wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] + wire _T_3339 = _T_3533 & _T_1789; // @[lsu_bus_buffer.scala 430:35] + wire _T_3348 = _T_3533 & _T_1800; // @[lsu_bus_buffer.scala 430:35] + wire _T_3357 = _T_3533 & _T_1811; // @[lsu_bus_buffer.scala 430:35] + wire _T_3366 = _T_3533 & _T_1822; // @[lsu_bus_buffer.scala 430:35] + wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 432:45] + wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] + wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 433:47] + wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] + wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 434:84] + wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 434:48] + wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] + wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[lsu_bus_buffer.scala 435:47] + wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[lsu_bus_buffer.scala 435:47] + wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[lsu_bus_buffer.scala 435:47] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[lsu_bus_buffer.scala 435:47] + wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] + wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 437:51] + wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] + wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 438:47] + wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] + wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 440:46] + wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] + wire _T_3553 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 458:89] + wire _T_3555 = _T_3553 & _T_1349; // @[lsu_bus_buffer.scala 458:104] + wire _T_3568 = buf_state_en_0 & _T_3637; // @[lsu_bus_buffer.scala 463:44] + wire _T_3569 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3571 = _T_3569 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3574 = _T_3564 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3575 = _T_3574 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4830 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 572:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4830; // @[lsu_bus_buffer.scala 572:38] + wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3651 = bus_rsp_read_error & _T_3630; // @[lsu_bus_buffer.scala 480:91] + wire _T_3653 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3655 = _T_3653 & _T_3632; // @[lsu_bus_buffer.scala 481:46] + wire _T_3656 = _T_3651 | _T_3655; // @[lsu_bus_buffer.scala 480:143] + wire _T_4828 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 571:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4828; // @[lsu_bus_buffer.scala 571:40] + wire _T_3658 = bus_rsp_write_error & _T_3628; // @[lsu_bus_buffer.scala 482:33] + wire _T_3659 = _T_3656 | _T_3658; // @[lsu_bus_buffer.scala 481:88] + wire _T_3660 = _T_3564 & _T_3659; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_47 = _T_3585 & _T_3660; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_3551 ? _T_3578 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_72 = _T_3547 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_72; // @[Conditional.scala 40:58] + wire _T_3587 = buf_write[0] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3588 = io_dec_tlu_force_halt | _T_3587; // @[lsu_bus_buffer.scala 470:55] + wire _T_3590 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 471:30] + wire _T_3591 = buf_dual_0 & _T_3590; // @[lsu_bus_buffer.scala 471:28] + wire _T_3594 = _T_3591 & _T_3637; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 471:90] + wire _T_3595 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3596 = _T_3594 & _T_3595; // @[lsu_bus_buffer.scala 471:61] + wire _T_4478 = _T_2710 | _T_2707; // @[lsu_bus_buffer.scala 532:93] + wire _T_4479 = _T_4478 | _T_2704; // @[lsu_bus_buffer.scala 532:93] + wire any_done_wait_state = _T_4479 | _T_2701; // @[lsu_bus_buffer.scala 532:93] + wire _T_3598 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3604 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3606 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3608 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3610 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3612 = _T_3604 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3613 = _T_3606 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3614 = _T_3608 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3615 = _T_3610 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3616 = _T_3612 | _T_3613; // @[Mux.scala 27:72] + wire _T_3617 = _T_3616 | _T_3614; // @[Mux.scala 27:72] + wire _T_3618 = _T_3617 | _T_3615; // @[Mux.scala 27:72] + wire _T_3620 = _T_3594 & _T_3618; // @[lsu_bus_buffer.scala 472:101] + wire _T_3621 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3622 = _T_3620 & _T_3621; // @[lsu_bus_buffer.scala 472:138] + wire _T_3623 = _T_3622 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3624 = _T_3598 | _T_3623; // @[lsu_bus_buffer.scala 472:53] + wire _T_3647 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3648 = _T_3647 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3661 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 483:50] + wire _T_3662 = buf_state_en_0 & _T_3661; // @[lsu_bus_buffer.scala 483:48] + wire _T_3674 = buf_ldfwd[0] | _T_3679[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3675 = _T_3674 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_27 = _T_3695 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_30 = _T_3695 ? buf_state_en_0 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3687 ? io_dec_tlu_force_halt : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_3687 ? io_dec_tlu_force_halt : _GEN_30; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3669 ? io_dec_tlu_force_halt : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_41 = _T_3669 ? io_dec_tlu_force_halt : _GEN_35; // @[Conditional.scala 39:67] + wire _GEN_46 = _T_3585 & _T_3648; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3585 ? io_dec_tlu_force_halt : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_51 = _T_3585 ? io_dec_tlu_force_halt : _GEN_41; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_3551 ? _T_3571 : _GEN_51; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3551 ? _T_3575 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_63 = _T_3551 ? io_dec_tlu_force_halt : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_3547 ? io_dec_tlu_force_halt : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_75 = _T_3547 ? io_dec_tlu_force_halt : _GEN_63; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_69; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3524 ? io_dec_tlu_force_halt : _GEN_75; // @[Conditional.scala 40:58] + wire _T_3758 = buf_state_en_1 & _T_3827; // @[lsu_bus_buffer.scala 463:44] + wire _T_3759 = _T_3758 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3761 = _T_3759 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3764 = _T_3754 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3765 = _T_3764 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3768 = _T_3764 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_3841 = bus_rsp_read_error & _T_3820; // @[lsu_bus_buffer.scala 480:91] + wire _T_3843 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 481:31] + wire _T_3845 = _T_3843 & _T_3822; // @[lsu_bus_buffer.scala 481:46] + wire _T_3846 = _T_3841 | _T_3845; // @[lsu_bus_buffer.scala 480:143] + wire _T_3848 = bus_rsp_write_error & _T_3818; // @[lsu_bus_buffer.scala 482:33] + wire _T_3849 = _T_3846 | _T_3848; // @[lsu_bus_buffer.scala 481:88] + wire _T_3850 = _T_3754 & _T_3849; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_124 = _T_3775 & _T_3850; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3741 ? _T_3768 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3737 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3714 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3777 = buf_write[1] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3778 = io_dec_tlu_force_halt | _T_3777; // @[lsu_bus_buffer.scala 470:55] + wire _T_3780 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 471:30] + wire _T_3781 = buf_dual_1 & _T_3780; // @[lsu_bus_buffer.scala 471:28] + wire _T_3784 = _T_3781 & _T_3827; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_96 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_97 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_96; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_98 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_97; // @[lsu_bus_buffer.scala 471:90] + wire _T_3785 = _GEN_98 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3786 = _T_3784 & _T_3785; // @[lsu_bus_buffer.scala 471:61] + wire _T_3788 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3794 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3796 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3798 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3800 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3802 = _T_3794 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3803 = _T_3796 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3804 = _T_3798 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3805 = _T_3800 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3806 = _T_3802 | _T_3803; // @[Mux.scala 27:72] + wire _T_3807 = _T_3806 | _T_3804; // @[Mux.scala 27:72] + wire _T_3808 = _T_3807 | _T_3805; // @[Mux.scala 27:72] + wire _T_3810 = _T_3784 & _T_3808; // @[lsu_bus_buffer.scala 472:101] + wire _T_3811 = _GEN_98 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_3812 = _T_3810 & _T_3811; // @[lsu_bus_buffer.scala 472:138] + wire _T_3813 = _T_3812 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_3814 = _T_3788 | _T_3813; // @[lsu_bus_buffer.scala 472:53] + wire _T_3837 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_3838 = _T_3837 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_3851 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 483:50] + wire _T_3852 = buf_state_en_1 & _T_3851; // @[lsu_bus_buffer.scala 483:48] + wire _T_3864 = buf_ldfwd[1] | _T_3869[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_3865 = _T_3864 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_104 = _T_3885 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_107 = _T_3885 ? buf_state_en_1 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_111 = _T_3877 ? io_dec_tlu_force_halt : _GEN_104; // @[Conditional.scala 39:67] + wire _GEN_112 = _T_3877 ? io_dec_tlu_force_halt : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_117 = _T_3859 ? io_dec_tlu_force_halt : _GEN_111; // @[Conditional.scala 39:67] + wire _GEN_118 = _T_3859 ? io_dec_tlu_force_halt : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_123 = _T_3775 & _T_3838; // @[Conditional.scala 39:67] + wire _GEN_127 = _T_3775 ? io_dec_tlu_force_halt : _GEN_117; // @[Conditional.scala 39:67] + wire _GEN_128 = _T_3775 ? io_dec_tlu_force_halt : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3741 ? _T_3761 : _GEN_128; // @[Conditional.scala 39:67] + wire _GEN_136 = _T_3741 ? _T_3765 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3741 ? io_dec_tlu_force_halt : _GEN_127; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3737 ? io_dec_tlu_force_halt : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_148 = _T_3737 ? 1'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_152 = _T_3737 ? io_dec_tlu_force_halt : _GEN_140; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3714 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_146; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3714 ? io_dec_tlu_force_halt : _GEN_152; // @[Conditional.scala 40:58] + wire _T_3948 = buf_state_en_2 & _T_4017; // @[lsu_bus_buffer.scala 463:44] + wire _T_3949 = _T_3948 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_3951 = _T_3949 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_3954 = _T_3944 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_3955 = _T_3954 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_3958 = _T_3954 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4031 = bus_rsp_read_error & _T_4010; // @[lsu_bus_buffer.scala 480:91] + wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4035 = _T_4033 & _T_4012; // @[lsu_bus_buffer.scala 481:46] + wire _T_4036 = _T_4031 | _T_4035; // @[lsu_bus_buffer.scala 480:143] + wire _T_4038 = bus_rsp_write_error & _T_4008; // @[lsu_bus_buffer.scala 482:33] + wire _T_4039 = _T_4036 | _T_4038; // @[lsu_bus_buffer.scala 481:88] + wire _T_4040 = _T_3944 & _T_4039; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_201 = _T_3965 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3931 ? _T_3958 : _GEN_201; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3927 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3904 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_3967 = buf_write[2] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[lsu_bus_buffer.scala 470:55] + wire _T_3970 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 471:30] + wire _T_3971 = buf_dual_2 & _T_3970; // @[lsu_bus_buffer.scala 471:28] + wire _T_3974 = _T_3971 & _T_4017; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_173 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_174 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_173; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_175 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_174; // @[lsu_bus_buffer.scala 471:90] + wire _T_3975 = _GEN_175 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_3976 = _T_3974 & _T_3975; // @[lsu_bus_buffer.scala 471:61] + wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_3984 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_3986 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_3988 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_3990 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] + wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] + wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] + wire _T_4000 = _T_3974 & _T_3998; // @[lsu_bus_buffer.scala 472:101] + wire _T_4001 = _GEN_175 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4002 = _T_4000 & _T_4001; // @[lsu_bus_buffer.scala 472:138] + wire _T_4003 = _T_4002 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4004 = _T_3978 | _T_4003; // @[lsu_bus_buffer.scala 472:53] + wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4041 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 483:50] + wire _T_4042 = buf_state_en_2 & _T_4041; // @[lsu_bus_buffer.scala 483:48] + wire _T_4054 = buf_ldfwd[2] | _T_4059[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4055 = _T_4054 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_181 = _T_4075 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4075 ? buf_state_en_2 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_188 = _T_4067 ? io_dec_tlu_force_halt : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4067 ? io_dec_tlu_force_halt : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_194 = _T_4049 ? io_dec_tlu_force_halt : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_4049 ? io_dec_tlu_force_halt : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3965 & _T_4028; // @[Conditional.scala 39:67] + wire _GEN_204 = _T_3965 ? io_dec_tlu_force_halt : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3965 ? io_dec_tlu_force_halt : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3931 ? _T_3951 : _GEN_205; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3931 ? _T_3955 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3931 ? io_dec_tlu_force_halt : _GEN_204; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3927 ? io_dec_tlu_force_halt : _GEN_211; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3927 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire _GEN_229 = _T_3927 ? io_dec_tlu_force_halt : _GEN_217; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3904 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_223; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3904 ? io_dec_tlu_force_halt : _GEN_229; // @[Conditional.scala 40:58] + wire _T_4138 = buf_state_en_3 & _T_4207; // @[lsu_bus_buffer.scala 463:44] + wire _T_4139 = _T_4138 & obuf_nosend; // @[lsu_bus_buffer.scala 463:60] + wire _T_4141 = _T_4139 & _T_2587; // @[lsu_bus_buffer.scala 463:74] + wire _T_4144 = _T_4134 & obuf_nosend; // @[lsu_bus_buffer.scala 465:67] + wire _T_4145 = _T_4144 & bus_rsp_read; // @[lsu_bus_buffer.scala 465:81] + wire _T_4148 = _T_4144 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 466:82] + wire _T_4221 = bus_rsp_read_error & _T_4200; // @[lsu_bus_buffer.scala 480:91] + wire _T_4223 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 481:31] + wire _T_4225 = _T_4223 & _T_4202; // @[lsu_bus_buffer.scala 481:46] + wire _T_4226 = _T_4221 | _T_4225; // @[lsu_bus_buffer.scala 480:143] + wire _T_4228 = bus_rsp_write_error & _T_4198; // @[lsu_bus_buffer.scala 482:33] + wire _T_4229 = _T_4226 | _T_4228; // @[lsu_bus_buffer.scala 481:88] + wire _T_4230 = _T_4134 & _T_4229; // @[lsu_bus_buffer.scala 480:68] + wire _GEN_278 = _T_4155 & _T_4230; // @[Conditional.scala 39:67] + wire _GEN_291 = _T_4121 ? _T_4148 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_303 = _T_4117 ? 1'h0 : _GEN_291; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4094 ? 1'h0 : _GEN_303; // @[Conditional.scala 40:58] + wire _T_4157 = buf_write[3] & bus_rsp_write_error; // @[lsu_bus_buffer.scala 470:71] + wire _T_4158 = io_dec_tlu_force_halt | _T_4157; // @[lsu_bus_buffer.scala 470:55] + wire _T_4160 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 471:30] + wire _T_4161 = buf_dual_3 & _T_4160; // @[lsu_bus_buffer.scala 471:28] + wire _T_4164 = _T_4161 & _T_4207; // @[lsu_bus_buffer.scala 471:45] + wire [2:0] _GEN_250 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_251 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_250; // @[lsu_bus_buffer.scala 471:90] + wire [2:0] _GEN_252 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_251; // @[lsu_bus_buffer.scala 471:90] + wire _T_4165 = _GEN_252 != 3'h4; // @[lsu_bus_buffer.scala 471:90] + wire _T_4166 = _T_4164 & _T_4165; // @[lsu_bus_buffer.scala 471:61] + wire _T_4168 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 472:31] + wire _T_4174 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 60:118] + wire _T_4176 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 60:118] + wire _T_4178 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 60:118] + wire _T_4180 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 60:118] + wire _T_4182 = _T_4174 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4183 = _T_4176 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4184 = _T_4178 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4185 = _T_4180 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4186 = _T_4182 | _T_4183; // @[Mux.scala 27:72] + wire _T_4187 = _T_4186 | _T_4184; // @[Mux.scala 27:72] + wire _T_4188 = _T_4187 | _T_4185; // @[Mux.scala 27:72] + wire _T_4190 = _T_4164 & _T_4188; // @[lsu_bus_buffer.scala 472:101] + wire _T_4191 = _GEN_252 == 3'h4; // @[lsu_bus_buffer.scala 472:167] + wire _T_4192 = _T_4190 & _T_4191; // @[lsu_bus_buffer.scala 472:138] + wire _T_4193 = _T_4192 & any_done_wait_state; // @[lsu_bus_buffer.scala 472:187] + wire _T_4194 = _T_4168 | _T_4193; // @[lsu_bus_buffer.scala 472:53] + wire _T_4217 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 479:47] + wire _T_4218 = _T_4217 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 479:62] + wire _T_4231 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 483:50] + wire _T_4232 = buf_state_en_3 & _T_4231; // @[lsu_bus_buffer.scala 483:48] + wire _T_4244 = buf_ldfwd[3] | _T_4249[0]; // @[lsu_bus_buffer.scala 487:90] + wire _T_4245 = _T_4244 | any_done_wait_state; // @[lsu_bus_buffer.scala 487:118] + wire _GEN_258 = _T_4265 | io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_261 = _T_4265 ? buf_state_en_3 : io_dec_tlu_force_halt; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4257 ? io_dec_tlu_force_halt : _GEN_258; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4257 ? io_dec_tlu_force_halt : _GEN_261; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4239 ? io_dec_tlu_force_halt : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4239 ? io_dec_tlu_force_halt : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_277 = _T_4155 & _T_4218; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4155 ? io_dec_tlu_force_halt : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4155 ? io_dec_tlu_force_halt : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_288 = _T_4121 ? _T_4141 : _GEN_282; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4121 ? _T_4145 : _GEN_277; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4121 ? io_dec_tlu_force_halt : _GEN_281; // @[Conditional.scala 39:67] + wire _GEN_300 = _T_4117 ? io_dec_tlu_force_halt : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4117 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire _GEN_306 = _T_4117 ? io_dec_tlu_force_halt : _GEN_294; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4094 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_300; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4094 ? io_dec_tlu_force_halt : _GEN_306; // @[Conditional.scala 40:58] + reg _T_4320; // @[Reg.scala 27:20] + reg _T_4323; // @[Reg.scala 27:20] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4329; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4329,_T_4326,_T_4323,_T_4320}; // @[Cat.scala 29:58] + reg _T_4395; // @[lsu_bus_buffer.scala 526:80] + reg _T_4390; // @[lsu_bus_buffer.scala 526:80] + reg _T_4385; // @[lsu_bus_buffer.scala 526:80] + reg _T_4380; // @[lsu_bus_buffer.scala 526:80] + wire [3:0] buf_error = {_T_4395,_T_4390,_T_4385,_T_4380}; // @[Cat.scala 29:58] + wire _T_4377 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4378 = ~buf_rst_0; // @[lsu_bus_buffer.scala 526:126] + wire _T_4382 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4383 = ~buf_rst_1; // @[lsu_bus_buffer.scala 526:126] + wire _T_4387 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4388 = ~buf_rst_2; // @[lsu_bus_buffer.scala 526:126] + wire _T_4392 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 526:84] + wire _T_4393 = ~buf_rst_3; // @[lsu_bus_buffer.scala 526:126] + wire [1:0] _T_4399 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4400 = io_ldst_dual_m ? _T_4399 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 528:28] + wire [1:0] _T_4401 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4402 = io_ldst_dual_r ? _T_4401 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 528:94] + wire [2:0] _T_4403 = _T_4400 + _T_4402; // @[lsu_bus_buffer.scala 528:88] + wire [2:0] _GEN_392 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 528:154] + wire [3:0] _T_4404 = _T_4403 + _GEN_392; // @[lsu_bus_buffer.scala 528:154] + wire [1:0] _T_4409 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 528:217] + wire [1:0] _GEN_393 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _T_4410 = _T_4409 + _GEN_393; // @[lsu_bus_buffer.scala 528:217] + wire [2:0] _GEN_394 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] _T_4411 = _T_4410 + _GEN_394; // @[lsu_bus_buffer.scala 528:217] + wire [3:0] buf_numvld_any = _T_4404 + _T_4411; // @[lsu_bus_buffer.scala 528:169] + wire _T_4482 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 534:52] + wire _T_4483 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 534:92] + wire _T_4484 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 534:121] + wire _T_4486 = |buf_state_0; // @[lsu_bus_buffer.scala 535:52] + wire _T_4487 = |buf_state_1; // @[lsu_bus_buffer.scala 535:52] + wire _T_4488 = |buf_state_2; // @[lsu_bus_buffer.scala 535:52] + wire _T_4489 = |buf_state_3; // @[lsu_bus_buffer.scala 535:52] + wire _T_4490 = _T_4486 | _T_4487; // @[lsu_bus_buffer.scala 535:65] + wire _T_4491 = _T_4490 | _T_4488; // @[lsu_bus_buffer.scala 535:65] + wire _T_4492 = _T_4491 | _T_4489; // @[lsu_bus_buffer.scala 535:65] + wire _T_4493 = ~_T_4492; // @[lsu_bus_buffer.scala 535:34] + wire _T_4495 = _T_4493 & _T_852; // @[lsu_bus_buffer.scala 535:70] + wire _T_4498 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 537:64] + wire _T_4499 = _T_4498 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 537:85] + wire _T_4500 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 537:112] + wire _T_4501 = _T_4499 & _T_4500; // @[lsu_bus_buffer.scala 537:110] + wire _T_4502 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 537:129] + wire _T_4504 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 540:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 625:66] + wire _T_4518 = _T_2763 & _T_3637; // @[Mux.scala 27:72] + wire _T_4519 = _T_2785 & _T_3827; // @[Mux.scala 27:72] + wire _T_4520 = _T_2807 & _T_4017; // @[Mux.scala 27:72] + wire _T_4521 = _T_2829 & _T_4207; // @[Mux.scala 27:72] + wire _T_4522 = _T_4518 | _T_4519; // @[Mux.scala 27:72] + wire _T_4523 = _T_4522 | _T_4520; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4523 | _T_4521; // @[Mux.scala 27:72] + wire _T_4529 = buf_error[0] & _T_3637; // @[lsu_bus_buffer.scala 543:121] + wire _T_4534 = buf_error[1] & _T_3827; // @[lsu_bus_buffer.scala 543:121] + wire _T_4539 = buf_error[2] & _T_4017; // @[lsu_bus_buffer.scala 543:121] + wire _T_4544 = buf_error[3] & _T_4207; // @[lsu_bus_buffer.scala 543:121] + wire _T_4545 = _T_2763 & _T_4529; // @[Mux.scala 27:72] + wire _T_4546 = _T_2785 & _T_4534; // @[Mux.scala 27:72] + wire _T_4547 = _T_2807 & _T_4539; // @[Mux.scala 27:72] + wire _T_4548 = _T_2829 & _T_4544; // @[Mux.scala 27:72] + wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] + wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] + wire _T_4557 = ~buf_dual_0; // @[lsu_bus_buffer.scala 544:122] + wire _T_4558 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 544:137] + wire _T_4559 = _T_4557 | _T_4558; // @[lsu_bus_buffer.scala 544:135] + wire _T_4560 = _T_4518 & _T_4559; // @[lsu_bus_buffer.scala 544:119] + wire _T_4565 = ~buf_dual_1; // @[lsu_bus_buffer.scala 544:122] + wire _T_4566 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 544:137] + wire _T_4567 = _T_4565 | _T_4566; // @[lsu_bus_buffer.scala 544:135] + wire _T_4568 = _T_4519 & _T_4567; // @[lsu_bus_buffer.scala 544:119] + wire _T_4573 = ~buf_dual_2; // @[lsu_bus_buffer.scala 544:122] + wire _T_4574 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 544:137] + wire _T_4575 = _T_4573 | _T_4574; // @[lsu_bus_buffer.scala 544:135] + wire _T_4576 = _T_4520 & _T_4575; // @[lsu_bus_buffer.scala 544:119] + wire _T_4581 = ~buf_dual_3; // @[lsu_bus_buffer.scala 544:122] + wire _T_4582 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 544:137] + wire _T_4583 = _T_4581 | _T_4582; // @[lsu_bus_buffer.scala 544:135] + wire _T_4584 = _T_4521 & _T_4583; // @[lsu_bus_buffer.scala 544:119] + wire [1:0] _T_4587 = _T_4576 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4588 = _T_4584 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_395 = {{1'd0}, _T_4568}; // @[Mux.scala 27:72] + wire [1:0] _T_4590 = _GEN_395 | _T_4587; // @[Mux.scala 27:72] + wire [31:0] _T_4625 = _T_4560 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4626 = _T_4568 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4627 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4628 = _T_4584 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4629 = _T_4625 | _T_4626; // @[Mux.scala 27:72] + wire [31:0] _T_4630 = _T_4629 | _T_4627; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4630 | _T_4628; // @[Mux.scala 27:72] + wire _T_4637 = _T_4518 & _T_3635; // @[lsu_bus_buffer.scala 546:105] + wire _T_4643 = _T_4519 & _T_3825; // @[lsu_bus_buffer.scala 546:105] + wire _T_4649 = _T_4520 & _T_4015; // @[lsu_bus_buffer.scala 546:105] + wire _T_4655 = _T_4521 & _T_4205; // @[lsu_bus_buffer.scala 546:105] + wire [31:0] _T_4656 = _T_4637 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4657 = _T_4643 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4658 = _T_4649 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4659 = _T_4655 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4660 = _T_4656 | _T_4657; // @[Mux.scala 27:72] + wire [31:0] _T_4661 = _T_4660 | _T_4658; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4661 | _T_4659; // @[Mux.scala 27:72] + wire _T_4663 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4664 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4665 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4666 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4667 = _T_4663 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4664 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4665 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] _T_4673 = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4673[1:0]; // @[lsu_bus_buffer.scala 547:96] + wire [1:0] _T_4679 = _T_4663 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4680 = _T_4664 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4681 = _T_4665 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4682 = _T_4666 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4683 = _T_4679 | _T_4680; // @[Mux.scala 27:72] + wire [1:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4684 | _T_4682; // @[Mux.scala 27:72] + wire _T_4694 = _T_4663 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4695 = _T_4664 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4696 = _T_4665 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4697 = _T_4666 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] + wire _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4699 | _T_4697; // @[Mux.scala 27:72] + wire [63:0] _T_4701 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_396 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 551:121] + wire [5:0] _T_4702 = _GEN_396 * 4'h8; // @[lsu_bus_buffer.scala 551:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4701 >> _T_4702; // @[lsu_bus_buffer.scala 551:92] + wire _T_4703 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 553:82] + wire _T_4705 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 554:94] + wire _T_4706 = lsu_nonblock_unsign & _T_4705; // @[lsu_bus_buffer.scala 554:76] + wire [31:0] _T_4708 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4709 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 555:45] + wire _T_4710 = lsu_nonblock_unsign & _T_4709; // @[lsu_bus_buffer.scala 555:26] + wire [31:0] _T_4712 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4713 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 556:6] + wire _T_4715 = _T_4713 & _T_4705; // @[lsu_bus_buffer.scala 556:27] + wire [23:0] _T_4718 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4720 = {_T_4718,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4723 = _T_4713 & _T_4709; // @[lsu_bus_buffer.scala 557:27] + wire [15:0] _T_4726 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4728 = {_T_4726,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4729 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 558:21] + wire [31:0] _T_4730 = _T_4706 ? _T_4708 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4731 = _T_4710 ? _T_4712 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4732 = _T_4715 ? _T_4720 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4733 = _T_4723 ? _T_4728 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4734 = _T_4729 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4735 = _T_4730 | _T_4731; // @[Mux.scala 27:72] + wire [31:0] _T_4736 = _T_4735 | _T_4732; // @[Mux.scala 27:72] + wire [31:0] _T_4737 = _T_4736 | _T_4733; // @[Mux.scala 27:72] + wire [63:0] _GEN_397 = {{32'd0}, _T_4737}; // @[Mux.scala 27:72] + wire [63:0] _T_4738 = _GEN_397 | _T_4734; // @[Mux.scala 27:72] + wire _T_4832 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 576:37] + wire _T_4833 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 576:52] + wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 576:50] + wire [31:0] _T_4838 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4840 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4845 = ~obuf_data_done; // @[lsu_bus_buffer.scala 588:51] + wire _T_4846 = _T_4832 & _T_4845; // @[lsu_bus_buffer.scala 588:49] + wire [7:0] _T_4850 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4853 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 593:37] + wire _T_4855 = _T_4853 & _T_1347; // @[lsu_bus_buffer.scala 593:51] + wire _T_4867 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4869 = _T_4867 & buf_write[0]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4872 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4874 = _T_4872 & buf_write[1]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4877 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4879 = _T_4877 & buf_write[2]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4882 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 606:126] + wire _T_4884 = _T_4882 & buf_write[3]; // @[lsu_bus_buffer.scala 606:141] + wire _T_4885 = _T_2763 & _T_4869; // @[Mux.scala 27:72] + wire _T_4886 = _T_2785 & _T_4874; // @[Mux.scala 27:72] + wire _T_4887 = _T_2807 & _T_4879; // @[Mux.scala 27:72] + wire _T_4888 = _T_2829 & _T_4884; // @[Mux.scala 27:72] + wire _T_4889 = _T_4885 | _T_4886; // @[Mux.scala 27:72] + wire _T_4890 = _T_4889 | _T_4887; // @[Mux.scala 27:72] + wire _T_4900 = _T_2785 & buf_error[1]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4902 = _T_4900 & buf_write[1]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4905 = _T_2807 & buf_error[2]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4907 = _T_4905 & buf_write[2]; // @[lsu_bus_buffer.scala 607:108] + wire _T_4910 = _T_2829 & buf_error[3]; // @[lsu_bus_buffer.scala 607:93] + wire _T_4912 = _T_4910 & buf_write[3]; // @[lsu_bus_buffer.scala 607:108] + wire [1:0] _T_4915 = _T_4907 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4916 = _T_4912 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_398 = {{1'd0}, _T_4902}; // @[Mux.scala 27:72] + wire [1:0] _T_4918 = _GEN_398 | _T_4915; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4918 | _T_4916; // @[Mux.scala 27:72] + wire _T_4920 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 609:97] + wire [31:0] _GEN_355 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_356 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_357 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_359 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_360 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_359; // @[lsu_bus_buffer.scala 610:53] + wire [31:0] _GEN_361 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_360; // @[lsu_bus_buffer.scala 610:53] + wire _T_4925 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 616:82] + wire _T_4928 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 617:60] + wire _T_4931 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 620:61] + wire _T_4932 = io_lsu_axi_aw_valid & _T_4931; // @[lsu_bus_buffer.scala 620:59] + wire _T_4933 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 620:107] + wire _T_4934 = io_lsu_axi_w_valid & _T_4933; // @[lsu_bus_buffer.scala 620:105] + wire _T_4935 = _T_4932 | _T_4934; // @[lsu_bus_buffer.scala 620:83] + wire _T_4936 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 620:153] + wire _T_4937 = io_lsu_axi_ar_valid & _T_4936; // @[lsu_bus_buffer.scala 620:151] + wire _T_4941 = ~io_flush_r; // @[lsu_bus_buffer.scala 624:75] + wire _T_4942 = io_lsu_busreq_m & _T_4941; // @[lsu_bus_buffer.scala 624:73] + reg _T_4945; // @[lsu_bus_buffer.scala 624:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4925 | _T_4824; // @[lsu_bus_buffer.scala 616:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4928 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 617:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 618:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4935 | _T_4937; // @[lsu_bus_buffer.scala 620:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4920; // @[lsu_bus_buffer.scala 609:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4890 | _T_4888; // @[lsu_bus_buffer.scala 606:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_357 : _GEN_361; // @[lsu_bus_buffer.scala 610:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4501 & _T_4502; // @[lsu_bus_buffer.scala 537:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1795 ? 2'h0 : _T_1831; // @[lsu_bus_buffer.scala 538:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4504; // @[lsu_bus_buffer.scala 540:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 541:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4703; // @[lsu_bus_buffer.scala 553:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4550 | _T_4548; // @[lsu_bus_buffer.scala 543:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4590 | _T_4588; // @[lsu_bus_buffer.scala 544:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4738[31:0]; // @[lsu_bus_buffer.scala 554:42] + assign io_lsu_axi_aw_valid = _T_4834 & _T_1237; // @[lsu_bus_buffer.scala 576:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 577:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 578:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 582:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 579:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 581:28] + assign io_lsu_axi_w_valid = _T_4846 & _T_1237; // @[lsu_bus_buffer.scala 588:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 590:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4850; // @[lsu_bus_buffer.scala 589:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 604:22] + assign io_lsu_axi_ar_valid = _T_4855 & _T_1237; // @[lsu_bus_buffer.scala 593:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1780}; // @[lsu_bus_buffer.scala 594:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4838; // @[lsu_bus_buffer.scala 595:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 599:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4840 : 3'h3; // @[lsu_bus_buffer.scala 596:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 598:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 605:22] + assign io_lsu_busreq_r = _T_4945; // @[lsu_bus_buffer.scala 624:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 533:30] + assign io_lsu_bus_buffer_full_any = _T_4482 ? _T_4483 : _T_4484; // @[lsu_bus_buffer.scala 534:30] + assign io_lsu_bus_buffer_empty_any = _T_4495 & _T_1231; // @[lsu_bus_buffer.scala 535:31] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 174:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1238 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3714 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3904 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4094 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_71; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3714 ? buf_state_en_1 : _GEN_148; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3904 ? buf_state_en_2 : _GEN_225; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4094 ? buf_state_en_3 : _GEN_302; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4344 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4341 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4338 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4335 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1780 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4314 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4311 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4308 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4305 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4291 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4289 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4287 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4285 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4320 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4323 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4326 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4329 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4395 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4390 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4385 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4380 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4945 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4344 = 1'h0; + end + if (reset) begin + _T_4341 = 1'h0; + end + if (reset) begin + _T_4338 = 1'h0; + end + if (reset) begin + _T_4335 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1780 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4314 = 1'h0; + end + if (reset) begin + _T_4311 = 1'h0; + end + if (reset) begin + _T_4308 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4291 = 1'h0; + end + if (reset) begin + _T_4289 = 1'h0; + end + if (reset) begin + _T_4287 = 1'h0; + end + if (reset) begin + _T_4285 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4320 = 1'h0; + end + if (reset) begin + _T_4323 = 1'h0; + end + if (reset) begin + _T_4326 = 1'h0; + end + if (reset) begin + _T_4329 = 1'h0; + end + if (reset) begin + _T_4395 = 1'h0; + end + if (reset) begin + _T_4390 = 1'h0; + end + if (reset) begin + _T_4385 = 1'h0; + end + if (reset) begin + _T_4380 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4945 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3339) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4344 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4344 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4341 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4341 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4338 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4338 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4335 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4335 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3524) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3547) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3555) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3585) begin + if (_T_3588) begin + buf_state_0 <= 3'h0; + end else if (_T_3596) begin + buf_state_0 <= 3'h4; + end else if (_T_3624) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3669) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3675) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3687) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3348) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3714) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3737) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3741) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3555) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3775) begin + if (_T_3778) begin + buf_state_1 <= 3'h0; + end else if (_T_3786) begin + buf_state_1 <= 3'h4; + end else if (_T_3814) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3859) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3865) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3877) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3357) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3904) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3927) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3931) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3555) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3965) begin + if (_T_3968) begin + buf_state_2 <= 3'h0; + end else if (_T_3976) begin + buf_state_2 <= 3'h4; + end else if (_T_4004) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4049) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4055) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4067) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3366) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4094) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4117) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4121) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3555) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4155) begin + if (_T_4158) begin + buf_state_3 <= 3'h0; + end else if (_T_4166) begin + buf_state_3 <= 3'h4; + end else if (_T_4194) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4239) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4245) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4257) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3366) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3357) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3348) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3339) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2467,_T_2390}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1780 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1780 <= WrPtr0_r; + end else begin + _T_1780 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1771 & _T_1772; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1238 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2365,_T_2288}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2263,_T_2186}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2161,_T_2084}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3524) begin + if (_T_3539) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3547) begin + buf_data_0 <= 32'h0; + end else if (_T_3551) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3585) begin + if (_T_3662) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3714) begin + if (_T_3729) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3737) begin + buf_data_1 <= 32'h0; + end else if (_T_3741) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3775) begin + if (_T_3852) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3904) begin + if (_T_3919) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3927) begin + buf_data_2 <= 32'h0; + end else if (_T_3931) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3965) begin + if (_T_4042) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4094) begin + if (_T_4109) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4117) begin + buf_data_3 <= 32'h0; + end else if (_T_4121) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4155) begin + if (_T_4232) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1846) begin + WrPtr1_r <= 2'h0; + end else if (_T_1860) begin + WrPtr1_r <= 2'h1; + end else if (_T_1874) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1795) begin + WrPtr0_r <= 2'h0; + end else if (_T_1806) begin + WrPtr0_r <= 2'h1; + end else if (_T_1817) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4314 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4314 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4311 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4311 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4308 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4308 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4305 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1303 & _T_4821; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1303 & _T_4822; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1287; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1331 & _T_2587; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1330) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1300; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1618,_T_1577}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3137,_T_3126}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3152,_T_3141}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3167,_T_3156}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3182,_T_3171}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4291 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4094) begin + _T_4291 <= 1'h0; + end else if (_T_4117) begin + _T_4291 <= 1'h0; + end else begin + _T_4291 <= _T_4121; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4289 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3904) begin + _T_4289 <= 1'h0; + end else if (_T_3927) begin + _T_4289 <= 1'h0; + end else begin + _T_4289 <= _T_3931; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4287 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3714) begin + _T_4287 <= 1'h0; + end else if (_T_3737) begin + _T_4287 <= 1'h0; + end else begin + _T_4287 <= _T_3741; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4285 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3524) begin + _T_4285 <= 1'h0; + end else if (_T_3547) begin + _T_4285 <= 1'h0; + end else begin + _T_4285 <= _T_3551; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3524) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3547) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3339) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4094) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4117) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4121) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3904) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3927) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3931) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3714) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3737) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3741) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3348) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3357) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3366) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4320 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4320 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4323 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4323 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4326 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4326 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4329 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4329 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4395 <= 1'h0; + end else begin + _T_4395 <= _T_4392 & _T_4393; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4390 <= 1'h0; + end else begin + _T_4390 <= _T_4387 & _T_4388; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4385 <= 1'h0; + end else begin + _T_4385 <= _T_4382 & _T_4383; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4380 <= 1'h0; + end else begin + _T_4380 <= _T_4377 & _T_4378; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4945 <= 1'h0; + end else begin + _T_4945 <= _T_4942 & _T_4502; + end + end +endmodule +module lsu_bus_intf( + input clock, + input reset, + input io_scan_mode, + input io_clk_override, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + input io_lsu_bus_obuf_c1_clken, + input io_lsu_busm_clken, + input io_lsu_c1_r_clk, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_active_clk, + input io_lsu_busm_clk, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [7:0] io_axi_aw_bits_len, + output [2:0] io_axi_aw_bits_size, + output [1:0] io_axi_aw_bits_burst, + output io_axi_aw_bits_lock, + output [3:0] io_axi_aw_bits_cache, + output [2:0] io_axi_aw_bits_prot, + output [3:0] io_axi_aw_bits_qos, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + output io_axi_w_bits_last, + output io_axi_b_ready, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [7:0] io_axi_ar_bits_len, + output [2:0] io_axi_ar_bits_size, + output [1:0] io_axi_ar_bits_burst, + output io_axi_ar_bits_lock, + output [3:0] io_axi_ar_bits_cache, + output [2:0] io_axi_ar_bits_prot, + output [3:0] io_axi_ar_bits_qos, + output io_axi_r_ready, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_axi_r_bits_last, + input io_dec_lsu_valid_raw_d, + input io_lsu_busreq_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [31:0] io_store_data_r, + input io_dec_tlu_force_halt, + input io_lsu_commit_r, + input io_is_sideeffects_m, + input io_flush_m_up, + input io_flush_r, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [31:0] io_bus_read_data_m, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_lsu_bus_clk_en +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire bus_buffer_clock; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_reset; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_b_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [3:0] _T_3 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_4 = io_lsu_pkt_m_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_5 = io_lsu_pkt_m_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = _T_3 | _T_4; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_m = _T_6 | _T_5; // @[Mux.scala 27:72] + wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 155:51] + wire _T_14 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 156:71] + wire _T_15 = ~_T_14; // @[lsu_bus_intf.scala 156:53] + wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_15; // @[lsu_bus_intf.scala 156:51] + wire _T_17 = ~io_ldst_dual_r; // @[lsu_bus_intf.scala 157:48] + wire _T_18 = io_lsu_busreq_r & _T_17; // @[lsu_bus_intf.scala 157:46] + wire _T_19 = _T_18 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 157:64] + wire _T_20 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 157:110] + wire _T_21 = io_lsu_pkt_m_bits_load | _T_20; // @[lsu_bus_intf.scala 157:108] + wire _T_26 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 158:110] + wire _T_27 = io_lsu_pkt_m_bits_load | _T_26; // @[lsu_bus_intf.scala 158:108] + wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 160:49] + wire [6:0] _T_31 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 160:49] + reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 200:33] + wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 161:49] + wire [6:0] _T_34 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 161:49] + wire [4:0] _T_37 = {io_lsu_addr_r[1:0],3'h0}; // @[Cat.scala 29:58] + wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 162:52] + wire [62:0] _T_38 = _GEN_2 << _T_37; // @[lsu_bus_intf.scala 162:52] + wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_31}; // @[lsu_bus_intf.scala 160:27] + wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 163:47] + wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 164:47] + wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 161:27] + wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 165:47] + wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 166:47] + wire [63:0] store_data_ext_r = {{1'd0}, _T_38}; // @[lsu_bus_intf.scala 162:27] + wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 168:46] + wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 169:46] + wire _T_47 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] + wire _T_48 = _T_47 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] + wire _T_49 = _T_48 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] + wire ld_addr_rhit_lo_lo = _T_49 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] + wire _T_53 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] + wire _T_54 = _T_53 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] + wire _T_55 = _T_54 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] + wire ld_addr_rhit_lo_hi = _T_55 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] + wire _T_59 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] + wire _T_60 = _T_59 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] + wire _T_61 = _T_60 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] + wire ld_addr_rhit_hi_lo = _T_61 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] + wire _T_65 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 173:51] + wire _T_66 = _T_65 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 173:76] + wire _T_67 = _T_66 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 173:97] + wire ld_addr_rhit_hi_hi = _T_67 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 173:123] + wire _T_70 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] + wire _T_72 = _T_70 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 175:92] + wire _T_74 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] + wire _T_76 = _T_74 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 175:92] + wire _T_78 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] + wire _T_80 = _T_78 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 175:92] + wire _T_82 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] + wire _T_84 = _T_82 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 175:92] + wire [3:0] ld_byte_rhit_lo_lo = {_T_84,_T_80,_T_76,_T_72}; // @[Cat.scala 29:58] + wire _T_89 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 176:70] + wire _T_91 = _T_89 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 176:92] + wire _T_93 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 176:70] + wire _T_95 = _T_93 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 176:92] + wire _T_97 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 176:70] + wire _T_99 = _T_97 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 176:92] + wire _T_101 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 176:70] + wire _T_103 = _T_101 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 176:92] + wire [3:0] ld_byte_rhit_lo_hi = {_T_103,_T_99,_T_95,_T_91}; // @[Cat.scala 29:58] + wire _T_108 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] + wire _T_110 = _T_108 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 177:92] + wire _T_112 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] + wire _T_114 = _T_112 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 177:92] + wire _T_116 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] + wire _T_118 = _T_116 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 177:92] + wire _T_120 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] + wire _T_122 = _T_120 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 177:92] + wire [3:0] ld_byte_rhit_hi_lo = {_T_122,_T_118,_T_114,_T_110}; // @[Cat.scala 29:58] + wire _T_127 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 178:70] + wire _T_129 = _T_127 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 178:92] + wire _T_131 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 178:70] + wire _T_133 = _T_131 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 178:92] + wire _T_135 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 178:70] + wire _T_137 = _T_135 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 178:92] + wire _T_139 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 178:70] + wire _T_141 = _T_139 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 178:92] + wire [3:0] ld_byte_rhit_hi_hi = {_T_141,_T_137,_T_133,_T_129}; // @[Cat.scala 29:58] + wire _T_147 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 180:73] + wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 139:38] + wire _T_149 = _T_147 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 180:97] + wire _T_152 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 180:73] + wire _T_154 = _T_152 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 180:97] + wire _T_157 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 180:73] + wire _T_159 = _T_157 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 180:97] + wire _T_162 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 180:73] + wire _T_164 = _T_162 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 180:97] + wire [3:0] ld_byte_hit_lo = {_T_164,_T_159,_T_154,_T_149}; // @[Cat.scala 29:58] + wire _T_170 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 181:73] + wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 140:38] + wire _T_172 = _T_170 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 181:97] + wire _T_175 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 181:73] + wire _T_177 = _T_175 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 181:97] + wire _T_180 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 181:73] + wire _T_182 = _T_180 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 181:97] + wire _T_185 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 181:73] + wire _T_187 = _T_185 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 181:97] + wire [3:0] ld_byte_hit_hi = {_T_187,_T_182,_T_177,_T_172}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_lo = {_T_162,_T_157,_T_152,_T_147}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_hi = {_T_185,_T_180,_T_175,_T_170}; // @[Cat.scala 29:58] + wire [7:0] _T_225 = ld_byte_rhit_lo_lo[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_226 = ld_byte_rhit_hi_lo[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_227 = _T_225 | _T_226; // @[Mux.scala 27:72] + wire [7:0] _T_233 = ld_byte_rhit_lo_lo[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_234 = ld_byte_rhit_hi_lo[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_235 = _T_233 | _T_234; // @[Mux.scala 27:72] + wire [7:0] _T_241 = ld_byte_rhit_lo_lo[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_242 = ld_byte_rhit_hi_lo[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_243 = _T_241 | _T_242; // @[Mux.scala 27:72] + wire [7:0] _T_249 = ld_byte_rhit_lo_lo[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_250 = ld_byte_rhit_hi_lo[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_251 = _T_249 | _T_250; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_lo = {_T_251,_T_243,_T_235,_T_227}; // @[Cat.scala 29:58] + wire [7:0] _T_260 = ld_byte_rhit_lo_hi[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_261 = ld_byte_rhit_hi_hi[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_262 = _T_260 | _T_261; // @[Mux.scala 27:72] + wire [7:0] _T_268 = ld_byte_rhit_lo_hi[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_269 = ld_byte_rhit_hi_hi[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_270 = _T_268 | _T_269; // @[Mux.scala 27:72] + wire [7:0] _T_276 = ld_byte_rhit_lo_hi[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_277 = ld_byte_rhit_hi_hi[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_278 = _T_276 | _T_277; // @[Mux.scala 27:72] + wire [7:0] _T_284 = ld_byte_rhit_lo_hi[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_285 = ld_byte_rhit_hi_hi[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_286 = _T_284 | _T_285; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_hi = {_T_286,_T_278,_T_270,_T_262}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 141:38] + wire [7:0] _T_294 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_298 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_302 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_306 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 186:54] + wire [31:0] _T_309 = {_T_306,_T_302,_T_298,_T_294}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 142:38] + wire [7:0] _T_313 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 187:54] + wire [7:0] _T_317 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 187:54] + wire [7:0] _T_321 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 187:54] + wire [7:0] _T_325 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 187:54] + wire [31:0] _T_328 = {_T_325,_T_321,_T_317,_T_313}; // @[Cat.scala 29:58] + wire _T_331 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 188:72] + wire _T_332 = ld_byte_hit_lo[0] | _T_331; // @[lsu_bus_intf.scala 188:70] + wire _T_335 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 188:72] + wire _T_336 = ld_byte_hit_lo[1] | _T_335; // @[lsu_bus_intf.scala 188:70] + wire _T_339 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 188:72] + wire _T_340 = ld_byte_hit_lo[2] | _T_339; // @[lsu_bus_intf.scala 188:70] + wire _T_343 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 188:72] + wire _T_344 = ld_byte_hit_lo[3] | _T_343; // @[lsu_bus_intf.scala 188:70] + wire _T_345 = _T_332 & _T_336; // @[lsu_bus_intf.scala 188:111] + wire _T_346 = _T_345 & _T_340; // @[lsu_bus_intf.scala 188:111] + wire ld_full_hit_lo_m = _T_346 & _T_344; // @[lsu_bus_intf.scala 188:111] + wire _T_350 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 189:72] + wire _T_351 = ld_byte_hit_hi[0] | _T_350; // @[lsu_bus_intf.scala 189:70] + wire _T_354 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 189:72] + wire _T_355 = ld_byte_hit_hi[1] | _T_354; // @[lsu_bus_intf.scala 189:70] + wire _T_358 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 189:72] + wire _T_359 = ld_byte_hit_hi[2] | _T_358; // @[lsu_bus_intf.scala 189:70] + wire _T_362 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 189:72] + wire _T_363 = ld_byte_hit_hi[3] | _T_362; // @[lsu_bus_intf.scala 189:70] + wire _T_364 = _T_351 & _T_355; // @[lsu_bus_intf.scala 189:111] + wire _T_365 = _T_364 & _T_359; // @[lsu_bus_intf.scala 189:111] + wire ld_full_hit_hi_m = _T_365 & _T_363; // @[lsu_bus_intf.scala 189:111] + wire _T_367 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 190:47] + wire _T_368 = _T_367 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 190:66] + wire _T_369 = _T_368 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 190:84] + wire _T_370 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 190:111] + wire [63:0] ld_fwddata_hi = {{32'd0}, _T_328}; // @[lsu_bus_intf.scala 187:27] + wire [63:0] ld_fwddata_lo = {{32'd0}, _T_309}; // @[lsu_bus_intf.scala 186:27] + wire [63:0] _T_374 = {ld_fwddata_hi[31:0],ld_fwddata_lo[31:0]}; // @[Cat.scala 29:58] + wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 191:83] + wire [5:0] _T_376 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 191:83] + wire [63:0] ld_fwddata_m = _T_374 >> _T_376; // @[lsu_bus_intf.scala 191:76] + reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 195:32] + reg is_sideeffects_r; // @[lsu_bus_intf.scala 199:33] + lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 100:39] + .clock(bus_buffer_clock), + .reset(bus_buffer_reset), + .io_scan_mode(bus_buffer_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data), + .io_dec_tlu_force_halt(bus_buffer_io_dec_tlu_force_halt), + .io_lsu_c2_r_clk(bus_buffer_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_buffer_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_buffer_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_buffer_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_buffer_io_lsu_free_c2_clk), + .io_lsu_busm_clk(bus_buffer_io_lsu_busm_clk), + .io_dec_lsu_valid_raw_d(bus_buffer_io_dec_lsu_valid_raw_d), + .io_lsu_pkt_m_valid(bus_buffer_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(bus_buffer_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_bits_by(bus_buffer_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_buffer_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_buffer_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_buffer_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_buffer_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_buffer_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_m(bus_buffer_io_lsu_addr_m), + .io_end_addr_m(bus_buffer_io_end_addr_m), + .io_lsu_addr_r(bus_buffer_io_lsu_addr_r), + .io_end_addr_r(bus_buffer_io_end_addr_r), + .io_store_data_r(bus_buffer_io_store_data_r), + .io_no_word_merge_r(bus_buffer_io_no_word_merge_r), + .io_no_dword_merge_r(bus_buffer_io_no_dword_merge_r), + .io_lsu_busreq_m(bus_buffer_io_lsu_busreq_m), + .io_ld_full_hit_m(bus_buffer_io_ld_full_hit_m), + .io_flush_m_up(bus_buffer_io_flush_m_up), + .io_flush_r(bus_buffer_io_flush_r), + .io_lsu_commit_r(bus_buffer_io_lsu_commit_r), + .io_is_sideeffects_r(bus_buffer_io_is_sideeffects_r), + .io_ldst_dual_d(bus_buffer_io_ldst_dual_d), + .io_ldst_dual_m(bus_buffer_io_ldst_dual_m), + .io_ldst_dual_r(bus_buffer_io_ldst_dual_r), + .io_ldst_byteen_ext_m(bus_buffer_io_ldst_byteen_ext_m), + .io_lsu_axi_aw_ready(bus_buffer_io_lsu_axi_aw_ready), + .io_lsu_axi_aw_valid(bus_buffer_io_lsu_axi_aw_valid), + .io_lsu_axi_aw_bits_id(bus_buffer_io_lsu_axi_aw_bits_id), + .io_lsu_axi_aw_bits_addr(bus_buffer_io_lsu_axi_aw_bits_addr), + .io_lsu_axi_aw_bits_region(bus_buffer_io_lsu_axi_aw_bits_region), + .io_lsu_axi_aw_bits_size(bus_buffer_io_lsu_axi_aw_bits_size), + .io_lsu_axi_aw_bits_cache(bus_buffer_io_lsu_axi_aw_bits_cache), + .io_lsu_axi_w_ready(bus_buffer_io_lsu_axi_w_ready), + .io_lsu_axi_w_valid(bus_buffer_io_lsu_axi_w_valid), + .io_lsu_axi_w_bits_data(bus_buffer_io_lsu_axi_w_bits_data), + .io_lsu_axi_w_bits_strb(bus_buffer_io_lsu_axi_w_bits_strb), + .io_lsu_axi_b_ready(bus_buffer_io_lsu_axi_b_ready), + .io_lsu_axi_b_valid(bus_buffer_io_lsu_axi_b_valid), + .io_lsu_axi_b_bits_resp(bus_buffer_io_lsu_axi_b_bits_resp), + .io_lsu_axi_b_bits_id(bus_buffer_io_lsu_axi_b_bits_id), + .io_lsu_axi_ar_ready(bus_buffer_io_lsu_axi_ar_ready), + .io_lsu_axi_ar_valid(bus_buffer_io_lsu_axi_ar_valid), + .io_lsu_axi_ar_bits_id(bus_buffer_io_lsu_axi_ar_bits_id), + .io_lsu_axi_ar_bits_addr(bus_buffer_io_lsu_axi_ar_bits_addr), + .io_lsu_axi_ar_bits_region(bus_buffer_io_lsu_axi_ar_bits_region), + .io_lsu_axi_ar_bits_size(bus_buffer_io_lsu_axi_ar_bits_size), + .io_lsu_axi_ar_bits_cache(bus_buffer_io_lsu_axi_ar_bits_cache), + .io_lsu_axi_r_ready(bus_buffer_io_lsu_axi_r_ready), + .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), + .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), + .io_lsu_axi_r_bits_data(bus_buffer_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(bus_buffer_io_lsu_axi_r_bits_resp), + .io_lsu_bus_clk_en(bus_buffer_io_lsu_bus_clk_en), + .io_lsu_bus_clk_en_q(bus_buffer_io_lsu_bus_clk_en_q), + .io_lsu_busreq_r(bus_buffer_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_buffer_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_buffer_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_buffer_io_lsu_bus_buffer_empty_any), + .io_ld_byte_hit_buf_lo(bus_buffer_io_ld_byte_hit_buf_lo), + .io_ld_byte_hit_buf_hi(bus_buffer_io_ld_byte_hit_buf_hi), + .io_ld_fwddata_buf_lo(bus_buffer_io_ld_fwddata_buf_lo), + .io_ld_fwddata_buf_hi(bus_buffer_io_ld_fwddata_buf_hi) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 103:18] + assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_len = 8'h0; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_burst = 2'h1; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_lock = 1'h0; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_prot = 3'h1; // @[lsu_bus_intf.scala 131:51] + assign io_axi_aw_bits_qos = 4'h0; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 131:51] + assign io_axi_w_bits_last = 1'h1; // @[lsu_bus_intf.scala 131:51] + assign io_axi_b_ready = 1'h1; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_len = 8'h0; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_burst = 2'h1; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_lock = 1'h0; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_prot = 3'h1; // @[lsu_bus_intf.scala 131:51] + assign io_axi_ar_bits_qos = 4'h0; // @[lsu_bus_intf.scala 131:51] + assign io_axi_r_ready = 1'h1; // @[lsu_bus_intf.scala 131:51] + assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 134:38] + assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 135:38] + assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 136:38] + assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 137:38] + assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 192:27] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 143:19] + assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 143:19] + assign bus_buffer_clock = clock; + assign bus_buffer_reset = reset; + assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] + assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 107:51] + assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 108:51] + assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 109:51] + assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 110:51] + assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 111:51] + assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 112:51] + assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 113:51] + assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 114:51] + assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 117:27] + assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 117:27] + assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 118:27] + assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 121:51] + assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 122:51] + assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 123:51] + assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 124:51] + assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 125:51] + assign bus_buffer_io_no_word_merge_r = _T_19 & _T_21; // @[lsu_bus_intf.scala 144:51] + assign bus_buffer_io_no_dword_merge_r = _T_19 & _T_27; // @[lsu_bus_intf.scala 145:51] + assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 127:51] + assign bus_buffer_io_ld_full_hit_m = _T_369 & _T_370; // @[lsu_bus_intf.scala 151:51] + assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 128:51] + assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 129:51] + assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 130:51] + assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 146:51] + assign bus_buffer_io_ldst_dual_d = io_ldst_dual_d; // @[lsu_bus_intf.scala 147:51] + assign bus_buffer_io_ldst_dual_m = io_ldst_dual_m; // @[lsu_bus_intf.scala 148:51] + assign bus_buffer_io_ldst_dual_r = io_ldst_dual_r; // @[lsu_bus_intf.scala 149:51] + assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_31}; // @[lsu_bus_intf.scala 150:51] + assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 131:51] + assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 132:51] + assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 152:51] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ldst_byteen_r = _RAND_0[3:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_bus_clk_en_q = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + is_sideeffects_r = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ldst_byteen_r = 4'h0; + end + if (reset) begin + lsu_bus_clk_en_q = 1'h0; + end + if (reset) begin + is_sideeffects_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_byteen_r <= 4'h0; + end else begin + ldst_byteen_r <= _T_6 | _T_5; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + lsu_bus_clk_en_q <= 1'h0; + end else begin + lsu_bus_clk_en_q <= io_lsu_bus_clk_en; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + is_sideeffects_r <= 1'h0; + end else begin + is_sideeffects_r <= io_is_sideeffects_m; + end + end +endmodule diff --git a/lsu_clkdomain.anno.json b/lsu_clkdomain.anno.json new file mode 100644 index 00000000..7fc90094 --- /dev/null +++ b/lsu_clkdomain.anno.json @@ -0,0 +1,43 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_clkdomain|lsu_clkdomain>io_lsu_busm_clken", + "sources":[ + "~lsu_clkdomain|lsu_clkdomain>io_lsu_bus_clk_en", + "~lsu_clkdomain|lsu_clkdomain>io_clk_override", + "~lsu_clkdomain|lsu_clkdomain>io_lsu_busreq_r", + "~lsu_clkdomain|lsu_clkdomain>io_lsu_bus_buffer_empty_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_clkdomain|lsu_clkdomain>io_lsu_bus_obuf_c1_clken", + "sources":[ + "~lsu_clkdomain|lsu_clkdomain>io_lsu_bus_clk_en", + "~lsu_clkdomain|lsu_clkdomain>io_clk_override", + "~lsu_clkdomain|lsu_clkdomain>io_lsu_bus_buffer_pend_any", + "~lsu_clkdomain|lsu_clkdomain>io_lsu_busreq_r" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_clkdomain.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_clkdomain" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_clkdomain.fir b/lsu_clkdomain.fir new file mode 100644 index 00000000..ba7d91d3 --- /dev/null +++ b/lsu_clkdomain.fir @@ -0,0 +1,443 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_clkdomain : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_clkdomain : + input clock : Clock + input reset : AsyncReset + output io : {flip active_clk : Clock, flip clk_override : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dma_dccm_req : UInt<1>, flip ldst_stbuf_reqvld_r : UInt<1>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_reqvld_flushed_any : UInt<1>, flip lsu_busreq_r : UInt<1>, flip lsu_bus_buffer_pend_any : UInt<1>, flip lsu_bus_buffer_empty_any : UInt<1>, flip lsu_stbuf_empty_any : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_bus_obuf_c1_clken : UInt<1>, lsu_busm_clken : UInt<1>, lsu_c1_m_clk : Clock, lsu_c1_r_clk : Clock, lsu_c2_m_clk : Clock, lsu_c2_r_clk : Clock, lsu_store_c1_m_clk : Clock, lsu_store_c1_r_clk : Clock, lsu_stbuf_c1_clk : Clock, lsu_bus_obuf_c1_clk : Clock, lsu_bus_ibuf_c1_clk : Clock, lsu_bus_buf_c1_clk : Clock, lsu_busm_clk : Clock, lsu_free_c2_clk : Clock, flip scan_mode : UInt<1>} + + wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36] + wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 61:36] + wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 62:36] + node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 64:47] + node lsu_c1_m_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 64:65] + node _T_1 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 65:51] + node lsu_c1_r_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 65:70] + node _T_2 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 67:47] + node lsu_c2_m_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 67:66] + node _T_3 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 68:47] + node lsu_c2_r_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 68:66] + node _T_4 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 70:49] + node lsu_store_c1_m_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 70:76] + node _T_5 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 71:49] + node lsu_store_c1_r_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 71:76] + node _T_6 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 72:55] + node _T_7 = or(_T_6, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 72:77] + node lsu_stbuf_c1_clken = or(_T_7, io.clk_override) @[lsu_clkdomain.scala 72:107] + node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 73:49] + node _T_8 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:62] + node _T_9 = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 74:80] + node _T_10 = and(_T_9, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 74:99] + io.lsu_bus_obuf_c1_clken <= _T_10 @[lsu_clkdomain.scala 74:30] + node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 75:32] + node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 75:61] + node _T_13 = or(_T_12, io.dec_tlu_force_halt) @[lsu_clkdomain.scala 75:79] + node lsu_bus_buf_c1_clken = or(_T_13, io.clk_override) @[lsu_clkdomain.scala 75:103] + node _T_14 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 77:48] + node _T_15 = or(_T_14, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 77:69] + node _T_16 = or(_T_15, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 77:90] + node _T_17 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:114] + node _T_18 = or(_T_16, _T_17) @[lsu_clkdomain.scala 77:112] + node _T_19 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 77:145] + node _T_20 = or(_T_18, _T_19) @[lsu_clkdomain.scala 77:143] + node lsu_free_c1_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 77:169] + node _T_21 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 78:50] + node lsu_free_c2_clken = or(_T_21, io.clk_override) @[lsu_clkdomain.scala 78:72] + node _T_22 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 79:25] + node _T_23 = or(_T_22, io.lsu_busreq_r) @[lsu_clkdomain.scala 79:54] + node _T_24 = or(_T_23, io.clk_override) @[lsu_clkdomain.scala 79:72] + node _T_25 = and(_T_24, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 79:91] + io.lsu_busm_clken <= _T_25 @[lsu_clkdomain.scala 79:21] + reg _T_26 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:62] + _T_26 <= lsu_free_c1_clken @[lsu_clkdomain.scala 82:62] + lsu_free_c1_clken_q <= _T_26 @[lsu_clkdomain.scala 82:26] + reg _T_27 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 84:67] + _T_27 <= lsu_c1_m_clken @[lsu_clkdomain.scala 84:67] + lsu_c1_m_clken_q <= _T_27 @[lsu_clkdomain.scala 84:26] + reg _T_28 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 85:67] + _T_28 <= lsu_c1_r_clken @[lsu_clkdomain.scala 85:67] + lsu_c1_r_clken_q <= _T_28 @[lsu_clkdomain.scala 85:26] + node _T_29 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 87:59] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_29 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 87:26] + node _T_30 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 88:59] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_30 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 88:26] + node _T_31 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 89:59] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= _T_31 @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 89:26] + node _T_32 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 90:59] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_32 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 90:26] + node _T_33 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 91:65] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 343:22] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_4.io.en <= _T_33 @[lib.scala 345:16] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 91:26] + node _T_34 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 92:65] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= _T_34 @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 92:26] + node _T_35 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:63] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= _T_35 @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 93:26] + node _T_36 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:66] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= _T_36 @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 94:26] + node _T_37 = bits(io.lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 95:69] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= _T_37 @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 95:26] + node _T_38 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 96:65] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= _T_38 @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 96:26] + node _T_39 = bits(io.lsu_busm_clken, 0, 0) @[lsu_clkdomain.scala 97:62] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 343:22] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_10.io.en <= _T_39 @[lib.scala 345:16] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 97:26] + node _T_40 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 98:62] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 343:22] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_11.io.en <= _T_40 @[lib.scala 345:16] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 98:26] + diff --git a/lsu_clkdomain.v b/lsu_clkdomain.v new file mode 100644 index 00000000..b7279eff --- /dev/null +++ b/lsu_clkdomain.v @@ -0,0 +1,385 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_clkdomain( + input clock, + input reset, + input io_active_clk, + input io_clk_override, + input io_dec_tlu_force_halt, + input io_dma_dccm_req, + input io_ldst_stbuf_reqvld_r, + input io_stbuf_reqvld_any, + input io_stbuf_reqvld_flushed_any, + input io_lsu_busreq_r, + input io_lsu_bus_buffer_pend_any, + input io_lsu_bus_buffer_empty_any, + input io_lsu_stbuf_empty_any, + input io_lsu_bus_clk_en, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_dword, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_unsign, + input io_lsu_pkt_d_bits_dma, + input io_lsu_pkt_d_bits_store_data_bypass_d, + input io_lsu_pkt_d_bits_load_ldst_bypass_d, + input io_lsu_pkt_d_bits_store_data_bypass_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + output io_lsu_bus_obuf_c1_clken, + output io_lsu_busm_clken, + output io_lsu_c1_m_clk, + output io_lsu_c1_r_clk, + output io_lsu_c2_m_clk, + output io_lsu_c2_r_clk, + output io_lsu_store_c1_m_clk, + output io_lsu_store_c1_r_clk, + output io_lsu_stbuf_c1_clk, + output io_lsu_bus_obuf_c1_clk, + output io_lsu_bus_ibuf_c1_clk, + output io_lsu_bus_buf_c1_clk, + output io_lsu_busm_clk, + output io_lsu_free_c2_clk, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_en; // @[lib.scala 343:22] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_en; // @[lib.scala 343:22] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_en; // @[lib.scala 343:22] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 343:22] + wire _T = io_lsu_p_valid | io_dma_dccm_req; // @[lsu_clkdomain.scala 64:47] + wire lsu_c1_m_clken = _T | io_clk_override; // @[lsu_clkdomain.scala 64:65] + reg lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 84:67] + wire _T_1 = io_lsu_pkt_m_valid | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 65:51] + wire lsu_c1_r_clken = _T_1 | io_clk_override; // @[lsu_clkdomain.scala 65:70] + wire _T_2 = lsu_c1_m_clken | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 67:47] + reg lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 85:67] + wire _T_3 = lsu_c1_r_clken | lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 68:47] + wire _T_4 = lsu_c1_m_clken & io_lsu_pkt_d_bits_store; // @[lsu_clkdomain.scala 70:49] + wire _T_5 = lsu_c1_r_clken & io_lsu_pkt_m_bits_store; // @[lsu_clkdomain.scala 71:49] + wire _T_6 = io_ldst_stbuf_reqvld_r | io_stbuf_reqvld_any; // @[lsu_clkdomain.scala 72:55] + wire _T_7 = _T_6 | io_stbuf_reqvld_flushed_any; // @[lsu_clkdomain.scala 72:77] + wire _T_8 = io_lsu_bus_buffer_pend_any | io_lsu_busreq_r; // @[lsu_clkdomain.scala 74:62] + wire _T_9 = _T_8 | io_clk_override; // @[lsu_clkdomain.scala 74:80] + wire _T_11 = ~io_lsu_bus_buffer_empty_any; // @[lsu_clkdomain.scala 75:32] + wire _T_12 = _T_11 | io_lsu_busreq_r; // @[lsu_clkdomain.scala 75:61] + wire _T_13 = _T_12 | io_dec_tlu_force_halt; // @[lsu_clkdomain.scala 75:79] + wire _T_14 = io_lsu_p_valid | io_lsu_pkt_d_valid; // @[lsu_clkdomain.scala 77:48] + wire _T_15 = _T_14 | io_lsu_pkt_m_valid; // @[lsu_clkdomain.scala 77:69] + wire _T_16 = _T_15 | io_lsu_pkt_r_valid; // @[lsu_clkdomain.scala 77:90] + wire _T_18 = _T_16 | _T_11; // @[lsu_clkdomain.scala 77:112] + wire _T_19 = ~io_lsu_stbuf_empty_any; // @[lsu_clkdomain.scala 77:145] + wire _T_20 = _T_18 | _T_19; // @[lsu_clkdomain.scala 77:143] + wire lsu_free_c1_clken = _T_20 | io_clk_override; // @[lsu_clkdomain.scala 77:169] + reg lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 82:62] + wire _T_21 = lsu_free_c1_clken | lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 78:50] + wire _T_24 = _T_12 | io_clk_override; // @[lsu_clkdomain.scala 79:72] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_lsu_bus_obuf_c1_clken = _T_9 & io_lsu_bus_clk_en; // @[lsu_clkdomain.scala 74:30] + assign io_lsu_busm_clken = _T_24 & io_lsu_bus_clk_en; // @[lsu_clkdomain.scala 79:21] + assign io_lsu_c1_m_clk = rvclkhdr_io_l1clk; // @[lsu_clkdomain.scala 87:26] + assign io_lsu_c1_r_clk = rvclkhdr_1_io_l1clk; // @[lsu_clkdomain.scala 88:26] + assign io_lsu_c2_m_clk = rvclkhdr_2_io_l1clk; // @[lsu_clkdomain.scala 89:26] + assign io_lsu_c2_r_clk = rvclkhdr_3_io_l1clk; // @[lsu_clkdomain.scala 90:26] + assign io_lsu_store_c1_m_clk = rvclkhdr_4_io_l1clk; // @[lsu_clkdomain.scala 91:26] + assign io_lsu_store_c1_r_clk = rvclkhdr_5_io_l1clk; // @[lsu_clkdomain.scala 92:26] + assign io_lsu_stbuf_c1_clk = rvclkhdr_6_io_l1clk; // @[lsu_clkdomain.scala 93:26] + assign io_lsu_bus_obuf_c1_clk = rvclkhdr_8_io_l1clk; // @[lsu_clkdomain.scala 95:26] + assign io_lsu_bus_ibuf_c1_clk = rvclkhdr_7_io_l1clk; // @[lsu_clkdomain.scala 94:26] + assign io_lsu_bus_buf_c1_clk = rvclkhdr_9_io_l1clk; // @[lsu_clkdomain.scala 96:26] + assign io_lsu_busm_clk = rvclkhdr_10_io_l1clk; // @[lsu_clkdomain.scala 97:26] + assign io_lsu_free_c2_clk = rvclkhdr_11_io_l1clk; // @[lsu_clkdomain.scala 98:26] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_1 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = _T_2 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_4_io_en = _T_4 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = _T_5 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = _T_7 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_lsu_busreq_r | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = io_lsu_bus_obuf_c1_clken; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = _T_13 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_10_io_en = io_lsu_busm_clken; // @[lib.scala 345:16] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_11_io_en = _T_21 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + lsu_c1_m_clken_q = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_c1_r_clken_q = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_free_c1_clken_q = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + lsu_c1_m_clken_q = 1'h0; + end + if (reset) begin + lsu_c1_r_clken_q = 1'h0; + end + if (reset) begin + lsu_free_c1_clken_q = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_m_clken_q <= 1'h0; + end else begin + lsu_c1_m_clken_q <= _T | io_clk_override; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_r_clken_q <= 1'h0; + end else begin + lsu_c1_r_clken_q <= _T_1 | io_clk_override; + end + end + always @(posedge io_active_clk or posedge reset) begin + if (reset) begin + lsu_free_c1_clken_q <= 1'h0; + end else begin + lsu_free_c1_clken_q <= _T_20 | io_clk_override; + end + end +endmodule diff --git a/lsu_dccm_ctl.anno.json b/lsu_dccm_ctl.anno.json new file mode 100644 index 00000000..f4425dd8 --- /dev/null +++ b/lsu_dccm_ctl.anno.json @@ -0,0 +1,386 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_wren", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_stbuf_commit_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_reqvld_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_stbuf_commit_any", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_reqvld_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_wr_data_lo", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_ecc_lo_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_lo_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_ecc_hi_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_hi_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wdata_ecc_lo", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wdata_lo", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_ecc_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_data_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_ld_data_m", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwddata_hi_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwddata_lo_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_pic_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwdbyteen_hi_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwdbyteen_lo_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_rd_data", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rdata_hi_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rdata_lo_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_data_hi", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_ctl_dccm_dma_ecc_error", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_double_ecc_error_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_wren", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_pic_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_commit_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_pic_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_wr_addr_hi", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_picm_mask_data_m", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_rd_data" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_data_ecc_lo_m", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rdata_lo_m", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_store_datafn_lo_r", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_data_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_store_data_lo_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_stbuf_commit_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_reqvld_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_by", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_half", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_ctl_dccm_dma_rdata", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ldst_dual_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwddata_hi_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwddata_lo_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_pic_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwdbyteen_hi_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_fwdbyteen_lo_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_rd_data", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_hi_m", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_lo_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_rden", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_pic_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rdata_hi_m", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_data_hi" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_ctl_dccm_dma_rtag", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_mem_tag_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_store_datafn_hi_r", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_data_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_store_data_hi_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_stbuf_commit_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_reqvld_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_by", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_half", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_data_ecc_hi_m", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_data_hi" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rden", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_dword", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_store_data_r", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_store_data_hi_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_store_data_lo_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_by", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_half" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_wraddr", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_pic_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_ctl_dma_mem_addr", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_wr_data_hi", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_ecc_hi_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_hi_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_ecc_lo_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_sec_data_lo_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wdata_ecc_hi", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wdata_hi", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_ecc_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_data_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_addr_lo", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_ctl_dccm_dma_rvalid", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_m_bits_dma", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_m_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_m_bits_load" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_mken", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_pic_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_wr_addr_lo", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_wr_data", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_pic_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_ctl_dma_mem_wdata", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_store_datafn_lo_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_data_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_store_data_lo_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_stbuf_commit_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_reqvld_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_stbuf_addr_any", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r_ff", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_addr_in_dccm_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_dma_dccm_wen", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_valid", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_store", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_by", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_half", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_word", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_d_bits_dword" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pic_picm_rdaddr", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_dccm_rd_addr_hi", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_end_addr_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_dccm_ctl|lsu_dccm_ctl>io_ld_single_ecc_error_r", + "sources":[ + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_double_ecc_error_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_pkt_r_bits_load", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_single_ecc_error_lo_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_raw_fwd_lo_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_single_ecc_error_hi_r", + "~lsu_dccm_ctl|lsu_dccm_ctl>io_lsu_raw_fwd_hi_r" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_dccm_ctl.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_dccm_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_dccm_ctl.fir b/lsu_dccm_ctl.fir new file mode 100644 index 00000000..041bf75b --- /dev/null +++ b/lsu_dccm_ctl.fir @@ -0,0 +1,2252 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_dccm_ctl : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_dccm_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>} + + node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58] + node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58] + node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58] + node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58] + node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58] + wire lsu_rdata_r : UInt<64> + lsu_rdata_r <= UInt<1>("h00") + wire lsu_rdata_m : UInt<64> + lsu_rdata_m <= UInt<1>("h00") + wire lsu_rdata_corr_r : UInt<64> + lsu_rdata_corr_r <= UInt<1>("h00") + wire lsu_rdata_corr_m : UInt<64> + lsu_rdata_corr_m <= UInt<1>("h00") + wire stbuf_fwddata_r : UInt<64> + stbuf_fwddata_r <= UInt<1>("h00") + wire stbuf_fwdbyteen_r : UInt<64> + stbuf_fwdbyteen_r <= UInt<1>("h00") + wire picm_rd_data_r_32 : UInt<32> + picm_rd_data_r_32 <= UInt<1>("h00") + wire picm_rd_data_r : UInt<64> + picm_rd_data_r <= UInt<1>("h00") + wire lsu_ld_data_corr_m : UInt<64> + lsu_ld_data_corr_m <= UInt<1>("h00") + wire stbuf_fwddata_en : UInt<1> + stbuf_fwddata_en <= UInt<1>("h00") + wire lsu_double_ecc_error_r_ff : UInt<1> + lsu_double_ecc_error_r_ff <= UInt<1>("h00") + wire ld_single_ecc_error_hi_r_ff : UInt<1> + ld_single_ecc_error_hi_r_ff <= UInt<1>("h00") + wire ld_single_ecc_error_lo_r_ff : UInt<1> + ld_single_ecc_error_lo_r_ff <= UInt<1>("h00") + wire ld_sec_addr_hi_r_ff : UInt<16> + ld_sec_addr_hi_r_ff <= UInt<1>("h00") + wire ld_sec_addr_lo_r_ff : UInt<16> + ld_sec_addr_lo_r_ff <= UInt<1>("h00") + node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 145:63] + node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 145:88] + io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 145:41] + io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 146:41] + node _T_2 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] + node _T_3 = cat(lsu_rdata_corr_m, lsu_rdata_corr_m) @[Cat.scala 29:58] + node _T_4 = mux(_T_2, lsu_rdata_corr_m, _T_3) @[lsu_dccm_ctl.scala 147:47] + io.dma_dccm_ctl.dccm_dma_rdata <= _T_4 @[lsu_dccm_ctl.scala 147:41] + io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 148:41] + io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 149:28] + io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 150:28] + io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 151:28] + io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 152:28] + io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 153:28] + node _T_5 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_6 = bits(_T_5, 0, 0) @[lsu_dccm_ctl.scala 155:134] + node _T_7 = bits(_T_6, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_8 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_9 = bits(_T_8, 7, 0) @[lsu_dccm_ctl.scala 155:196] + node _T_10 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_11 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 155:253] + node _T_12 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_13 = mux(_T_12, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_14 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 155:313] + node _T_15 = and(_T_13, _T_14) @[lsu_dccm_ctl.scala 155:294] + node _T_16 = mux(_T_10, _T_11, _T_15) @[lsu_dccm_ctl.scala 155:214] + node _T_17 = mux(_T_7, _T_9, _T_16) @[lsu_dccm_ctl.scala 155:78] + node _T_18 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_19 = xor(UInt<8>("h0ff"), _T_18) @[Bitwise.scala 102:21] + node _T_20 = shr(_T_17, 4) @[Bitwise.scala 103:21] + node _T_21 = and(_T_20, _T_19) @[Bitwise.scala 103:31] + node _T_22 = bits(_T_17, 3, 0) @[Bitwise.scala 103:46] + node _T_23 = shl(_T_22, 4) @[Bitwise.scala 103:65] + node _T_24 = not(_T_19) @[Bitwise.scala 103:77] + node _T_25 = and(_T_23, _T_24) @[Bitwise.scala 103:75] + node _T_26 = or(_T_21, _T_25) @[Bitwise.scala 103:39] + node _T_27 = bits(_T_19, 5, 0) @[Bitwise.scala 102:28] + node _T_28 = shl(_T_27, 2) @[Bitwise.scala 102:47] + node _T_29 = xor(_T_19, _T_28) @[Bitwise.scala 102:21] + node _T_30 = shr(_T_26, 2) @[Bitwise.scala 103:21] + node _T_31 = and(_T_30, _T_29) @[Bitwise.scala 103:31] + node _T_32 = bits(_T_26, 5, 0) @[Bitwise.scala 103:46] + node _T_33 = shl(_T_32, 2) @[Bitwise.scala 103:65] + node _T_34 = not(_T_29) @[Bitwise.scala 103:77] + node _T_35 = and(_T_33, _T_34) @[Bitwise.scala 103:75] + node _T_36 = or(_T_31, _T_35) @[Bitwise.scala 103:39] + node _T_37 = bits(_T_29, 6, 0) @[Bitwise.scala 102:28] + node _T_38 = shl(_T_37, 1) @[Bitwise.scala 102:47] + node _T_39 = xor(_T_29, _T_38) @[Bitwise.scala 102:21] + node _T_40 = shr(_T_36, 1) @[Bitwise.scala 103:21] + node _T_41 = and(_T_40, _T_39) @[Bitwise.scala 103:31] + node _T_42 = bits(_T_36, 6, 0) @[Bitwise.scala 103:46] + node _T_43 = shl(_T_42, 1) @[Bitwise.scala 103:65] + node _T_44 = not(_T_39) @[Bitwise.scala 103:77] + node _T_45 = and(_T_43, _T_44) @[Bitwise.scala 103:75] + node _T_46 = or(_T_41, _T_45) @[Bitwise.scala 103:39] + node _T_47 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_48 = bits(_T_47, 1, 1) @[lsu_dccm_ctl.scala 155:134] + node _T_49 = bits(_T_48, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_50 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_51 = bits(_T_50, 15, 8) @[lsu_dccm_ctl.scala 155:196] + node _T_52 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_53 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 155:253] + node _T_54 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_55 = mux(_T_54, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 155:313] + node _T_57 = and(_T_55, _T_56) @[lsu_dccm_ctl.scala 155:294] + node _T_58 = mux(_T_52, _T_53, _T_57) @[lsu_dccm_ctl.scala 155:214] + node _T_59 = mux(_T_49, _T_51, _T_58) @[lsu_dccm_ctl.scala 155:78] + node _T_60 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_61 = xor(UInt<8>("h0ff"), _T_60) @[Bitwise.scala 102:21] + node _T_62 = shr(_T_59, 4) @[Bitwise.scala 103:21] + node _T_63 = and(_T_62, _T_61) @[Bitwise.scala 103:31] + node _T_64 = bits(_T_59, 3, 0) @[Bitwise.scala 103:46] + node _T_65 = shl(_T_64, 4) @[Bitwise.scala 103:65] + node _T_66 = not(_T_61) @[Bitwise.scala 103:77] + node _T_67 = and(_T_65, _T_66) @[Bitwise.scala 103:75] + node _T_68 = or(_T_63, _T_67) @[Bitwise.scala 103:39] + node _T_69 = bits(_T_61, 5, 0) @[Bitwise.scala 102:28] + node _T_70 = shl(_T_69, 2) @[Bitwise.scala 102:47] + node _T_71 = xor(_T_61, _T_70) @[Bitwise.scala 102:21] + node _T_72 = shr(_T_68, 2) @[Bitwise.scala 103:21] + node _T_73 = and(_T_72, _T_71) @[Bitwise.scala 103:31] + node _T_74 = bits(_T_68, 5, 0) @[Bitwise.scala 103:46] + node _T_75 = shl(_T_74, 2) @[Bitwise.scala 103:65] + node _T_76 = not(_T_71) @[Bitwise.scala 103:77] + node _T_77 = and(_T_75, _T_76) @[Bitwise.scala 103:75] + node _T_78 = or(_T_73, _T_77) @[Bitwise.scala 103:39] + node _T_79 = bits(_T_71, 6, 0) @[Bitwise.scala 102:28] + node _T_80 = shl(_T_79, 1) @[Bitwise.scala 102:47] + node _T_81 = xor(_T_71, _T_80) @[Bitwise.scala 102:21] + node _T_82 = shr(_T_78, 1) @[Bitwise.scala 103:21] + node _T_83 = and(_T_82, _T_81) @[Bitwise.scala 103:31] + node _T_84 = bits(_T_78, 6, 0) @[Bitwise.scala 103:46] + node _T_85 = shl(_T_84, 1) @[Bitwise.scala 103:65] + node _T_86 = not(_T_81) @[Bitwise.scala 103:77] + node _T_87 = and(_T_85, _T_86) @[Bitwise.scala 103:75] + node _T_88 = or(_T_83, _T_87) @[Bitwise.scala 103:39] + node _T_89 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_90 = bits(_T_89, 2, 2) @[lsu_dccm_ctl.scala 155:134] + node _T_91 = bits(_T_90, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_92 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_93 = bits(_T_92, 23, 16) @[lsu_dccm_ctl.scala 155:196] + node _T_94 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_95 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 155:253] + node _T_96 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_97 = mux(_T_96, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_98 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 155:313] + node _T_99 = and(_T_97, _T_98) @[lsu_dccm_ctl.scala 155:294] + node _T_100 = mux(_T_94, _T_95, _T_99) @[lsu_dccm_ctl.scala 155:214] + node _T_101 = mux(_T_91, _T_93, _T_100) @[lsu_dccm_ctl.scala 155:78] + node _T_102 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_103 = xor(UInt<8>("h0ff"), _T_102) @[Bitwise.scala 102:21] + node _T_104 = shr(_T_101, 4) @[Bitwise.scala 103:21] + node _T_105 = and(_T_104, _T_103) @[Bitwise.scala 103:31] + node _T_106 = bits(_T_101, 3, 0) @[Bitwise.scala 103:46] + node _T_107 = shl(_T_106, 4) @[Bitwise.scala 103:65] + node _T_108 = not(_T_103) @[Bitwise.scala 103:77] + node _T_109 = and(_T_107, _T_108) @[Bitwise.scala 103:75] + node _T_110 = or(_T_105, _T_109) @[Bitwise.scala 103:39] + node _T_111 = bits(_T_103, 5, 0) @[Bitwise.scala 102:28] + node _T_112 = shl(_T_111, 2) @[Bitwise.scala 102:47] + node _T_113 = xor(_T_103, _T_112) @[Bitwise.scala 102:21] + node _T_114 = shr(_T_110, 2) @[Bitwise.scala 103:21] + node _T_115 = and(_T_114, _T_113) @[Bitwise.scala 103:31] + node _T_116 = bits(_T_110, 5, 0) @[Bitwise.scala 103:46] + node _T_117 = shl(_T_116, 2) @[Bitwise.scala 103:65] + node _T_118 = not(_T_113) @[Bitwise.scala 103:77] + node _T_119 = and(_T_117, _T_118) @[Bitwise.scala 103:75] + node _T_120 = or(_T_115, _T_119) @[Bitwise.scala 103:39] + node _T_121 = bits(_T_113, 6, 0) @[Bitwise.scala 102:28] + node _T_122 = shl(_T_121, 1) @[Bitwise.scala 102:47] + node _T_123 = xor(_T_113, _T_122) @[Bitwise.scala 102:21] + node _T_124 = shr(_T_120, 1) @[Bitwise.scala 103:21] + node _T_125 = and(_T_124, _T_123) @[Bitwise.scala 103:31] + node _T_126 = bits(_T_120, 6, 0) @[Bitwise.scala 103:46] + node _T_127 = shl(_T_126, 1) @[Bitwise.scala 103:65] + node _T_128 = not(_T_123) @[Bitwise.scala 103:77] + node _T_129 = and(_T_127, _T_128) @[Bitwise.scala 103:75] + node _T_130 = or(_T_125, _T_129) @[Bitwise.scala 103:39] + node _T_131 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_132 = bits(_T_131, 3, 3) @[lsu_dccm_ctl.scala 155:134] + node _T_133 = bits(_T_132, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_134 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_135 = bits(_T_134, 31, 24) @[lsu_dccm_ctl.scala 155:196] + node _T_136 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_137 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 155:253] + node _T_138 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_139 = mux(_T_138, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_140 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 155:313] + node _T_141 = and(_T_139, _T_140) @[lsu_dccm_ctl.scala 155:294] + node _T_142 = mux(_T_136, _T_137, _T_141) @[lsu_dccm_ctl.scala 155:214] + node _T_143 = mux(_T_133, _T_135, _T_142) @[lsu_dccm_ctl.scala 155:78] + node _T_144 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_145 = xor(UInt<8>("h0ff"), _T_144) @[Bitwise.scala 102:21] + node _T_146 = shr(_T_143, 4) @[Bitwise.scala 103:21] + node _T_147 = and(_T_146, _T_145) @[Bitwise.scala 103:31] + node _T_148 = bits(_T_143, 3, 0) @[Bitwise.scala 103:46] + node _T_149 = shl(_T_148, 4) @[Bitwise.scala 103:65] + node _T_150 = not(_T_145) @[Bitwise.scala 103:77] + node _T_151 = and(_T_149, _T_150) @[Bitwise.scala 103:75] + node _T_152 = or(_T_147, _T_151) @[Bitwise.scala 103:39] + node _T_153 = bits(_T_145, 5, 0) @[Bitwise.scala 102:28] + node _T_154 = shl(_T_153, 2) @[Bitwise.scala 102:47] + node _T_155 = xor(_T_145, _T_154) @[Bitwise.scala 102:21] + node _T_156 = shr(_T_152, 2) @[Bitwise.scala 103:21] + node _T_157 = and(_T_156, _T_155) @[Bitwise.scala 103:31] + node _T_158 = bits(_T_152, 5, 0) @[Bitwise.scala 103:46] + node _T_159 = shl(_T_158, 2) @[Bitwise.scala 103:65] + node _T_160 = not(_T_155) @[Bitwise.scala 103:77] + node _T_161 = and(_T_159, _T_160) @[Bitwise.scala 103:75] + node _T_162 = or(_T_157, _T_161) @[Bitwise.scala 103:39] + node _T_163 = bits(_T_155, 6, 0) @[Bitwise.scala 102:28] + node _T_164 = shl(_T_163, 1) @[Bitwise.scala 102:47] + node _T_165 = xor(_T_155, _T_164) @[Bitwise.scala 102:21] + node _T_166 = shr(_T_162, 1) @[Bitwise.scala 103:21] + node _T_167 = and(_T_166, _T_165) @[Bitwise.scala 103:31] + node _T_168 = bits(_T_162, 6, 0) @[Bitwise.scala 103:46] + node _T_169 = shl(_T_168, 1) @[Bitwise.scala 103:65] + node _T_170 = not(_T_165) @[Bitwise.scala 103:77] + node _T_171 = and(_T_169, _T_170) @[Bitwise.scala 103:75] + node _T_172 = or(_T_167, _T_171) @[Bitwise.scala 103:39] + node _T_173 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_174 = bits(_T_173, 4, 4) @[lsu_dccm_ctl.scala 155:134] + node _T_175 = bits(_T_174, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_176 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_177 = bits(_T_176, 39, 32) @[lsu_dccm_ctl.scala 155:196] + node _T_178 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_179 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 155:253] + node _T_180 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_181 = mux(_T_180, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_182 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 155:313] + node _T_183 = and(_T_181, _T_182) @[lsu_dccm_ctl.scala 155:294] + node _T_184 = mux(_T_178, _T_179, _T_183) @[lsu_dccm_ctl.scala 155:214] + node _T_185 = mux(_T_175, _T_177, _T_184) @[lsu_dccm_ctl.scala 155:78] + node _T_186 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_187 = xor(UInt<8>("h0ff"), _T_186) @[Bitwise.scala 102:21] + node _T_188 = shr(_T_185, 4) @[Bitwise.scala 103:21] + node _T_189 = and(_T_188, _T_187) @[Bitwise.scala 103:31] + node _T_190 = bits(_T_185, 3, 0) @[Bitwise.scala 103:46] + node _T_191 = shl(_T_190, 4) @[Bitwise.scala 103:65] + node _T_192 = not(_T_187) @[Bitwise.scala 103:77] + node _T_193 = and(_T_191, _T_192) @[Bitwise.scala 103:75] + node _T_194 = or(_T_189, _T_193) @[Bitwise.scala 103:39] + node _T_195 = bits(_T_187, 5, 0) @[Bitwise.scala 102:28] + node _T_196 = shl(_T_195, 2) @[Bitwise.scala 102:47] + node _T_197 = xor(_T_187, _T_196) @[Bitwise.scala 102:21] + node _T_198 = shr(_T_194, 2) @[Bitwise.scala 103:21] + node _T_199 = and(_T_198, _T_197) @[Bitwise.scala 103:31] + node _T_200 = bits(_T_194, 5, 0) @[Bitwise.scala 103:46] + node _T_201 = shl(_T_200, 2) @[Bitwise.scala 103:65] + node _T_202 = not(_T_197) @[Bitwise.scala 103:77] + node _T_203 = and(_T_201, _T_202) @[Bitwise.scala 103:75] + node _T_204 = or(_T_199, _T_203) @[Bitwise.scala 103:39] + node _T_205 = bits(_T_197, 6, 0) @[Bitwise.scala 102:28] + node _T_206 = shl(_T_205, 1) @[Bitwise.scala 102:47] + node _T_207 = xor(_T_197, _T_206) @[Bitwise.scala 102:21] + node _T_208 = shr(_T_204, 1) @[Bitwise.scala 103:21] + node _T_209 = and(_T_208, _T_207) @[Bitwise.scala 103:31] + node _T_210 = bits(_T_204, 6, 0) @[Bitwise.scala 103:46] + node _T_211 = shl(_T_210, 1) @[Bitwise.scala 103:65] + node _T_212 = not(_T_207) @[Bitwise.scala 103:77] + node _T_213 = and(_T_211, _T_212) @[Bitwise.scala 103:75] + node _T_214 = or(_T_209, _T_213) @[Bitwise.scala 103:39] + node _T_215 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_216 = bits(_T_215, 5, 5) @[lsu_dccm_ctl.scala 155:134] + node _T_217 = bits(_T_216, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_218 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_219 = bits(_T_218, 47, 40) @[lsu_dccm_ctl.scala 155:196] + node _T_220 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_221 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 155:253] + node _T_222 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_223 = mux(_T_222, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_224 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 155:313] + node _T_225 = and(_T_223, _T_224) @[lsu_dccm_ctl.scala 155:294] + node _T_226 = mux(_T_220, _T_221, _T_225) @[lsu_dccm_ctl.scala 155:214] + node _T_227 = mux(_T_217, _T_219, _T_226) @[lsu_dccm_ctl.scala 155:78] + node _T_228 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_229 = xor(UInt<8>("h0ff"), _T_228) @[Bitwise.scala 102:21] + node _T_230 = shr(_T_227, 4) @[Bitwise.scala 103:21] + node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31] + node _T_232 = bits(_T_227, 3, 0) @[Bitwise.scala 103:46] + node _T_233 = shl(_T_232, 4) @[Bitwise.scala 103:65] + node _T_234 = not(_T_229) @[Bitwise.scala 103:77] + node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75] + node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39] + node _T_237 = bits(_T_229, 5, 0) @[Bitwise.scala 102:28] + node _T_238 = shl(_T_237, 2) @[Bitwise.scala 102:47] + node _T_239 = xor(_T_229, _T_238) @[Bitwise.scala 102:21] + node _T_240 = shr(_T_236, 2) @[Bitwise.scala 103:21] + node _T_241 = and(_T_240, _T_239) @[Bitwise.scala 103:31] + node _T_242 = bits(_T_236, 5, 0) @[Bitwise.scala 103:46] + node _T_243 = shl(_T_242, 2) @[Bitwise.scala 103:65] + node _T_244 = not(_T_239) @[Bitwise.scala 103:77] + node _T_245 = and(_T_243, _T_244) @[Bitwise.scala 103:75] + node _T_246 = or(_T_241, _T_245) @[Bitwise.scala 103:39] + node _T_247 = bits(_T_239, 6, 0) @[Bitwise.scala 102:28] + node _T_248 = shl(_T_247, 1) @[Bitwise.scala 102:47] + node _T_249 = xor(_T_239, _T_248) @[Bitwise.scala 102:21] + node _T_250 = shr(_T_246, 1) @[Bitwise.scala 103:21] + node _T_251 = and(_T_250, _T_249) @[Bitwise.scala 103:31] + node _T_252 = bits(_T_246, 6, 0) @[Bitwise.scala 103:46] + node _T_253 = shl(_T_252, 1) @[Bitwise.scala 103:65] + node _T_254 = not(_T_249) @[Bitwise.scala 103:77] + node _T_255 = and(_T_253, _T_254) @[Bitwise.scala 103:75] + node _T_256 = or(_T_251, _T_255) @[Bitwise.scala 103:39] + node _T_257 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_258 = bits(_T_257, 6, 6) @[lsu_dccm_ctl.scala 155:134] + node _T_259 = bits(_T_258, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_260 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_261 = bits(_T_260, 55, 48) @[lsu_dccm_ctl.scala 155:196] + node _T_262 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_263 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 155:253] + node _T_264 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_265 = mux(_T_264, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_266 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 155:313] + node _T_267 = and(_T_265, _T_266) @[lsu_dccm_ctl.scala 155:294] + node _T_268 = mux(_T_262, _T_263, _T_267) @[lsu_dccm_ctl.scala 155:214] + node _T_269 = mux(_T_259, _T_261, _T_268) @[lsu_dccm_ctl.scala 155:78] + node _T_270 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_271 = xor(UInt<8>("h0ff"), _T_270) @[Bitwise.scala 102:21] + node _T_272 = shr(_T_269, 4) @[Bitwise.scala 103:21] + node _T_273 = and(_T_272, _T_271) @[Bitwise.scala 103:31] + node _T_274 = bits(_T_269, 3, 0) @[Bitwise.scala 103:46] + node _T_275 = shl(_T_274, 4) @[Bitwise.scala 103:65] + node _T_276 = not(_T_271) @[Bitwise.scala 103:77] + node _T_277 = and(_T_275, _T_276) @[Bitwise.scala 103:75] + node _T_278 = or(_T_273, _T_277) @[Bitwise.scala 103:39] + node _T_279 = bits(_T_271, 5, 0) @[Bitwise.scala 102:28] + node _T_280 = shl(_T_279, 2) @[Bitwise.scala 102:47] + node _T_281 = xor(_T_271, _T_280) @[Bitwise.scala 102:21] + node _T_282 = shr(_T_278, 2) @[Bitwise.scala 103:21] + node _T_283 = and(_T_282, _T_281) @[Bitwise.scala 103:31] + node _T_284 = bits(_T_278, 5, 0) @[Bitwise.scala 103:46] + node _T_285 = shl(_T_284, 2) @[Bitwise.scala 103:65] + node _T_286 = not(_T_281) @[Bitwise.scala 103:77] + node _T_287 = and(_T_285, _T_286) @[Bitwise.scala 103:75] + node _T_288 = or(_T_283, _T_287) @[Bitwise.scala 103:39] + node _T_289 = bits(_T_281, 6, 0) @[Bitwise.scala 102:28] + node _T_290 = shl(_T_289, 1) @[Bitwise.scala 102:47] + node _T_291 = xor(_T_281, _T_290) @[Bitwise.scala 102:21] + node _T_292 = shr(_T_288, 1) @[Bitwise.scala 103:21] + node _T_293 = and(_T_292, _T_291) @[Bitwise.scala 103:31] + node _T_294 = bits(_T_288, 6, 0) @[Bitwise.scala 103:46] + node _T_295 = shl(_T_294, 1) @[Bitwise.scala 103:65] + node _T_296 = not(_T_291) @[Bitwise.scala 103:77] + node _T_297 = and(_T_295, _T_296) @[Bitwise.scala 103:75] + node _T_298 = or(_T_293, _T_297) @[Bitwise.scala 103:39] + node _T_299 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_300 = bits(_T_299, 7, 7) @[lsu_dccm_ctl.scala 155:134] + node _T_301 = bits(_T_300, 0, 0) @[lsu_dccm_ctl.scala 155:139] + node _T_302 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_303 = bits(_T_302, 63, 56) @[lsu_dccm_ctl.scala 155:196] + node _T_304 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 155:232] + node _T_305 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 155:253] + node _T_306 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_307 = mux(_T_306, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_308 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 155:313] + node _T_309 = and(_T_307, _T_308) @[lsu_dccm_ctl.scala 155:294] + node _T_310 = mux(_T_304, _T_305, _T_309) @[lsu_dccm_ctl.scala 155:214] + node _T_311 = mux(_T_301, _T_303, _T_310) @[lsu_dccm_ctl.scala 155:78] + node _T_312 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_313 = xor(UInt<8>("h0ff"), _T_312) @[Bitwise.scala 102:21] + node _T_314 = shr(_T_311, 4) @[Bitwise.scala 103:21] + node _T_315 = and(_T_314, _T_313) @[Bitwise.scala 103:31] + node _T_316 = bits(_T_311, 3, 0) @[Bitwise.scala 103:46] + node _T_317 = shl(_T_316, 4) @[Bitwise.scala 103:65] + node _T_318 = not(_T_313) @[Bitwise.scala 103:77] + node _T_319 = and(_T_317, _T_318) @[Bitwise.scala 103:75] + node _T_320 = or(_T_315, _T_319) @[Bitwise.scala 103:39] + node _T_321 = bits(_T_313, 5, 0) @[Bitwise.scala 102:28] + node _T_322 = shl(_T_321, 2) @[Bitwise.scala 102:47] + node _T_323 = xor(_T_313, _T_322) @[Bitwise.scala 102:21] + node _T_324 = shr(_T_320, 2) @[Bitwise.scala 103:21] + node _T_325 = and(_T_324, _T_323) @[Bitwise.scala 103:31] + node _T_326 = bits(_T_320, 5, 0) @[Bitwise.scala 103:46] + node _T_327 = shl(_T_326, 2) @[Bitwise.scala 103:65] + node _T_328 = not(_T_323) @[Bitwise.scala 103:77] + node _T_329 = and(_T_327, _T_328) @[Bitwise.scala 103:75] + node _T_330 = or(_T_325, _T_329) @[Bitwise.scala 103:39] + node _T_331 = bits(_T_323, 6, 0) @[Bitwise.scala 102:28] + node _T_332 = shl(_T_331, 1) @[Bitwise.scala 102:47] + node _T_333 = xor(_T_323, _T_332) @[Bitwise.scala 102:21] + node _T_334 = shr(_T_330, 1) @[Bitwise.scala 103:21] + node _T_335 = and(_T_334, _T_333) @[Bitwise.scala 103:31] + node _T_336 = bits(_T_330, 6, 0) @[Bitwise.scala 103:46] + node _T_337 = shl(_T_336, 1) @[Bitwise.scala 103:65] + node _T_338 = not(_T_333) @[Bitwise.scala 103:77] + node _T_339 = and(_T_337, _T_338) @[Bitwise.scala 103:75] + node _T_340 = or(_T_335, _T_339) @[Bitwise.scala 103:39] + wire _T_341 : UInt<8>[8] @[lsu_dccm_ctl.scala 155:62] + _T_341[0] <= _T_46 @[lsu_dccm_ctl.scala 155:62] + _T_341[1] <= _T_88 @[lsu_dccm_ctl.scala 155:62] + _T_341[2] <= _T_130 @[lsu_dccm_ctl.scala 155:62] + _T_341[3] <= _T_172 @[lsu_dccm_ctl.scala 155:62] + _T_341[4] <= _T_214 @[lsu_dccm_ctl.scala 155:62] + _T_341[5] <= _T_256 @[lsu_dccm_ctl.scala 155:62] + _T_341[6] <= _T_298 @[lsu_dccm_ctl.scala 155:62] + _T_341[7] <= _T_340 @[lsu_dccm_ctl.scala 155:62] + node _T_342 = cat(_T_341[6], _T_341[7]) @[Cat.scala 29:58] + node _T_343 = cat(_T_341[4], _T_341[5]) @[Cat.scala 29:58] + node _T_344 = cat(_T_343, _T_342) @[Cat.scala 29:58] + node _T_345 = cat(_T_341[2], _T_341[3]) @[Cat.scala 29:58] + node _T_346 = cat(_T_341[0], _T_341[1]) @[Cat.scala 29:58] + node _T_347 = cat(_T_346, _T_345) @[Cat.scala 29:58] + node _T_348 = cat(_T_347, _T_344) @[Cat.scala 29:58] + node _T_349 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_350 = xor(UInt<64>("h0ffffffffffffffff"), _T_349) @[Bitwise.scala 102:21] + node _T_351 = shr(_T_348, 32) @[Bitwise.scala 103:21] + node _T_352 = and(_T_351, _T_350) @[Bitwise.scala 103:31] + node _T_353 = bits(_T_348, 31, 0) @[Bitwise.scala 103:46] + node _T_354 = shl(_T_353, 32) @[Bitwise.scala 103:65] + node _T_355 = not(_T_350) @[Bitwise.scala 103:77] + node _T_356 = and(_T_354, _T_355) @[Bitwise.scala 103:75] + node _T_357 = or(_T_352, _T_356) @[Bitwise.scala 103:39] + node _T_358 = bits(_T_350, 47, 0) @[Bitwise.scala 102:28] + node _T_359 = shl(_T_358, 16) @[Bitwise.scala 102:47] + node _T_360 = xor(_T_350, _T_359) @[Bitwise.scala 102:21] + node _T_361 = shr(_T_357, 16) @[Bitwise.scala 103:21] + node _T_362 = and(_T_361, _T_360) @[Bitwise.scala 103:31] + node _T_363 = bits(_T_357, 47, 0) @[Bitwise.scala 103:46] + node _T_364 = shl(_T_363, 16) @[Bitwise.scala 103:65] + node _T_365 = not(_T_360) @[Bitwise.scala 103:77] + node _T_366 = and(_T_364, _T_365) @[Bitwise.scala 103:75] + node _T_367 = or(_T_362, _T_366) @[Bitwise.scala 103:39] + node _T_368 = bits(_T_360, 55, 0) @[Bitwise.scala 102:28] + node _T_369 = shl(_T_368, 8) @[Bitwise.scala 102:47] + node _T_370 = xor(_T_360, _T_369) @[Bitwise.scala 102:21] + node _T_371 = shr(_T_367, 8) @[Bitwise.scala 103:21] + node _T_372 = and(_T_371, _T_370) @[Bitwise.scala 103:31] + node _T_373 = bits(_T_367, 55, 0) @[Bitwise.scala 103:46] + node _T_374 = shl(_T_373, 8) @[Bitwise.scala 103:65] + node _T_375 = not(_T_370) @[Bitwise.scala 103:77] + node _T_376 = and(_T_374, _T_375) @[Bitwise.scala 103:75] + node _T_377 = or(_T_372, _T_376) @[Bitwise.scala 103:39] + node _T_378 = bits(_T_370, 59, 0) @[Bitwise.scala 102:28] + node _T_379 = shl(_T_378, 4) @[Bitwise.scala 102:47] + node _T_380 = xor(_T_370, _T_379) @[Bitwise.scala 102:21] + node _T_381 = shr(_T_377, 4) @[Bitwise.scala 103:21] + node _T_382 = and(_T_381, _T_380) @[Bitwise.scala 103:31] + node _T_383 = bits(_T_377, 59, 0) @[Bitwise.scala 103:46] + node _T_384 = shl(_T_383, 4) @[Bitwise.scala 103:65] + node _T_385 = not(_T_380) @[Bitwise.scala 103:77] + node _T_386 = and(_T_384, _T_385) @[Bitwise.scala 103:75] + node _T_387 = or(_T_382, _T_386) @[Bitwise.scala 103:39] + node _T_388 = bits(_T_380, 61, 0) @[Bitwise.scala 102:28] + node _T_389 = shl(_T_388, 2) @[Bitwise.scala 102:47] + node _T_390 = xor(_T_380, _T_389) @[Bitwise.scala 102:21] + node _T_391 = shr(_T_387, 2) @[Bitwise.scala 103:21] + node _T_392 = and(_T_391, _T_390) @[Bitwise.scala 103:31] + node _T_393 = bits(_T_387, 61, 0) @[Bitwise.scala 103:46] + node _T_394 = shl(_T_393, 2) @[Bitwise.scala 103:65] + node _T_395 = not(_T_390) @[Bitwise.scala 103:77] + node _T_396 = and(_T_394, _T_395) @[Bitwise.scala 103:75] + node _T_397 = or(_T_392, _T_396) @[Bitwise.scala 103:39] + node _T_398 = bits(_T_390, 62, 0) @[Bitwise.scala 102:28] + node _T_399 = shl(_T_398, 1) @[Bitwise.scala 102:47] + node _T_400 = xor(_T_390, _T_399) @[Bitwise.scala 102:21] + node _T_401 = shr(_T_397, 1) @[Bitwise.scala 103:21] + node _T_402 = and(_T_401, _T_400) @[Bitwise.scala 103:31] + node _T_403 = bits(_T_397, 62, 0) @[Bitwise.scala 103:46] + node _T_404 = shl(_T_403, 1) @[Bitwise.scala 103:65] + node _T_405 = not(_T_400) @[Bitwise.scala 103:77] + node _T_406 = and(_T_404, _T_405) @[Bitwise.scala 103:75] + node _T_407 = or(_T_402, _T_406) @[Bitwise.scala 103:39] + lsu_rdata_corr_m <= _T_407 @[lsu_dccm_ctl.scala 155:28] + node _T_408 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_409 = bits(_T_408, 0, 0) @[lsu_dccm_ctl.scala 156:134] + node _T_410 = bits(_T_409, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_411 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_412 = bits(_T_411, 7, 0) @[lsu_dccm_ctl.scala 156:196] + node _T_413 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_414 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 156:253] + node _T_415 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_416 = mux(_T_415, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_417 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 156:308] + node _T_418 = and(_T_416, _T_417) @[lsu_dccm_ctl.scala 156:294] + node _T_419 = mux(_T_413, _T_414, _T_418) @[lsu_dccm_ctl.scala 156:214] + node _T_420 = mux(_T_410, _T_412, _T_419) @[lsu_dccm_ctl.scala 156:78] + node _T_421 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_422 = xor(UInt<8>("h0ff"), _T_421) @[Bitwise.scala 102:21] + node _T_423 = shr(_T_420, 4) @[Bitwise.scala 103:21] + node _T_424 = and(_T_423, _T_422) @[Bitwise.scala 103:31] + node _T_425 = bits(_T_420, 3, 0) @[Bitwise.scala 103:46] + node _T_426 = shl(_T_425, 4) @[Bitwise.scala 103:65] + node _T_427 = not(_T_422) @[Bitwise.scala 103:77] + node _T_428 = and(_T_426, _T_427) @[Bitwise.scala 103:75] + node _T_429 = or(_T_424, _T_428) @[Bitwise.scala 103:39] + node _T_430 = bits(_T_422, 5, 0) @[Bitwise.scala 102:28] + node _T_431 = shl(_T_430, 2) @[Bitwise.scala 102:47] + node _T_432 = xor(_T_422, _T_431) @[Bitwise.scala 102:21] + node _T_433 = shr(_T_429, 2) @[Bitwise.scala 103:21] + node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31] + node _T_435 = bits(_T_429, 5, 0) @[Bitwise.scala 103:46] + node _T_436 = shl(_T_435, 2) @[Bitwise.scala 103:65] + node _T_437 = not(_T_432) @[Bitwise.scala 103:77] + node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75] + node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39] + node _T_440 = bits(_T_432, 6, 0) @[Bitwise.scala 102:28] + node _T_441 = shl(_T_440, 1) @[Bitwise.scala 102:47] + node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21] + node _T_443 = shr(_T_439, 1) @[Bitwise.scala 103:21] + node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31] + node _T_445 = bits(_T_439, 6, 0) @[Bitwise.scala 103:46] + node _T_446 = shl(_T_445, 1) @[Bitwise.scala 103:65] + node _T_447 = not(_T_442) @[Bitwise.scala 103:77] + node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75] + node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39] + node _T_450 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_451 = bits(_T_450, 1, 1) @[lsu_dccm_ctl.scala 156:134] + node _T_452 = bits(_T_451, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_453 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_454 = bits(_T_453, 15, 8) @[lsu_dccm_ctl.scala 156:196] + node _T_455 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_456 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 156:253] + node _T_457 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_458 = mux(_T_457, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_459 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 156:308] + node _T_460 = and(_T_458, _T_459) @[lsu_dccm_ctl.scala 156:294] + node _T_461 = mux(_T_455, _T_456, _T_460) @[lsu_dccm_ctl.scala 156:214] + node _T_462 = mux(_T_452, _T_454, _T_461) @[lsu_dccm_ctl.scala 156:78] + node _T_463 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_464 = xor(UInt<8>("h0ff"), _T_463) @[Bitwise.scala 102:21] + node _T_465 = shr(_T_462, 4) @[Bitwise.scala 103:21] + node _T_466 = and(_T_465, _T_464) @[Bitwise.scala 103:31] + node _T_467 = bits(_T_462, 3, 0) @[Bitwise.scala 103:46] + node _T_468 = shl(_T_467, 4) @[Bitwise.scala 103:65] + node _T_469 = not(_T_464) @[Bitwise.scala 103:77] + node _T_470 = and(_T_468, _T_469) @[Bitwise.scala 103:75] + node _T_471 = or(_T_466, _T_470) @[Bitwise.scala 103:39] + node _T_472 = bits(_T_464, 5, 0) @[Bitwise.scala 102:28] + node _T_473 = shl(_T_472, 2) @[Bitwise.scala 102:47] + node _T_474 = xor(_T_464, _T_473) @[Bitwise.scala 102:21] + node _T_475 = shr(_T_471, 2) @[Bitwise.scala 103:21] + node _T_476 = and(_T_475, _T_474) @[Bitwise.scala 103:31] + node _T_477 = bits(_T_471, 5, 0) @[Bitwise.scala 103:46] + node _T_478 = shl(_T_477, 2) @[Bitwise.scala 103:65] + node _T_479 = not(_T_474) @[Bitwise.scala 103:77] + node _T_480 = and(_T_478, _T_479) @[Bitwise.scala 103:75] + node _T_481 = or(_T_476, _T_480) @[Bitwise.scala 103:39] + node _T_482 = bits(_T_474, 6, 0) @[Bitwise.scala 102:28] + node _T_483 = shl(_T_482, 1) @[Bitwise.scala 102:47] + node _T_484 = xor(_T_474, _T_483) @[Bitwise.scala 102:21] + node _T_485 = shr(_T_481, 1) @[Bitwise.scala 103:21] + node _T_486 = and(_T_485, _T_484) @[Bitwise.scala 103:31] + node _T_487 = bits(_T_481, 6, 0) @[Bitwise.scala 103:46] + node _T_488 = shl(_T_487, 1) @[Bitwise.scala 103:65] + node _T_489 = not(_T_484) @[Bitwise.scala 103:77] + node _T_490 = and(_T_488, _T_489) @[Bitwise.scala 103:75] + node _T_491 = or(_T_486, _T_490) @[Bitwise.scala 103:39] + node _T_492 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_493 = bits(_T_492, 2, 2) @[lsu_dccm_ctl.scala 156:134] + node _T_494 = bits(_T_493, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_495 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_496 = bits(_T_495, 23, 16) @[lsu_dccm_ctl.scala 156:196] + node _T_497 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_498 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 156:253] + node _T_499 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_500 = mux(_T_499, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_501 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 156:308] + node _T_502 = and(_T_500, _T_501) @[lsu_dccm_ctl.scala 156:294] + node _T_503 = mux(_T_497, _T_498, _T_502) @[lsu_dccm_ctl.scala 156:214] + node _T_504 = mux(_T_494, _T_496, _T_503) @[lsu_dccm_ctl.scala 156:78] + node _T_505 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_506 = xor(UInt<8>("h0ff"), _T_505) @[Bitwise.scala 102:21] + node _T_507 = shr(_T_504, 4) @[Bitwise.scala 103:21] + node _T_508 = and(_T_507, _T_506) @[Bitwise.scala 103:31] + node _T_509 = bits(_T_504, 3, 0) @[Bitwise.scala 103:46] + node _T_510 = shl(_T_509, 4) @[Bitwise.scala 103:65] + node _T_511 = not(_T_506) @[Bitwise.scala 103:77] + node _T_512 = and(_T_510, _T_511) @[Bitwise.scala 103:75] + node _T_513 = or(_T_508, _T_512) @[Bitwise.scala 103:39] + node _T_514 = bits(_T_506, 5, 0) @[Bitwise.scala 102:28] + node _T_515 = shl(_T_514, 2) @[Bitwise.scala 102:47] + node _T_516 = xor(_T_506, _T_515) @[Bitwise.scala 102:21] + node _T_517 = shr(_T_513, 2) @[Bitwise.scala 103:21] + node _T_518 = and(_T_517, _T_516) @[Bitwise.scala 103:31] + node _T_519 = bits(_T_513, 5, 0) @[Bitwise.scala 103:46] + node _T_520 = shl(_T_519, 2) @[Bitwise.scala 103:65] + node _T_521 = not(_T_516) @[Bitwise.scala 103:77] + node _T_522 = and(_T_520, _T_521) @[Bitwise.scala 103:75] + node _T_523 = or(_T_518, _T_522) @[Bitwise.scala 103:39] + node _T_524 = bits(_T_516, 6, 0) @[Bitwise.scala 102:28] + node _T_525 = shl(_T_524, 1) @[Bitwise.scala 102:47] + node _T_526 = xor(_T_516, _T_525) @[Bitwise.scala 102:21] + node _T_527 = shr(_T_523, 1) @[Bitwise.scala 103:21] + node _T_528 = and(_T_527, _T_526) @[Bitwise.scala 103:31] + node _T_529 = bits(_T_523, 6, 0) @[Bitwise.scala 103:46] + node _T_530 = shl(_T_529, 1) @[Bitwise.scala 103:65] + node _T_531 = not(_T_526) @[Bitwise.scala 103:77] + node _T_532 = and(_T_530, _T_531) @[Bitwise.scala 103:75] + node _T_533 = or(_T_528, _T_532) @[Bitwise.scala 103:39] + node _T_534 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_535 = bits(_T_534, 3, 3) @[lsu_dccm_ctl.scala 156:134] + node _T_536 = bits(_T_535, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_537 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_538 = bits(_T_537, 31, 24) @[lsu_dccm_ctl.scala 156:196] + node _T_539 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_540 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 156:253] + node _T_541 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_542 = mux(_T_541, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_543 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 156:308] + node _T_544 = and(_T_542, _T_543) @[lsu_dccm_ctl.scala 156:294] + node _T_545 = mux(_T_539, _T_540, _T_544) @[lsu_dccm_ctl.scala 156:214] + node _T_546 = mux(_T_536, _T_538, _T_545) @[lsu_dccm_ctl.scala 156:78] + node _T_547 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_548 = xor(UInt<8>("h0ff"), _T_547) @[Bitwise.scala 102:21] + node _T_549 = shr(_T_546, 4) @[Bitwise.scala 103:21] + node _T_550 = and(_T_549, _T_548) @[Bitwise.scala 103:31] + node _T_551 = bits(_T_546, 3, 0) @[Bitwise.scala 103:46] + node _T_552 = shl(_T_551, 4) @[Bitwise.scala 103:65] + node _T_553 = not(_T_548) @[Bitwise.scala 103:77] + node _T_554 = and(_T_552, _T_553) @[Bitwise.scala 103:75] + node _T_555 = or(_T_550, _T_554) @[Bitwise.scala 103:39] + node _T_556 = bits(_T_548, 5, 0) @[Bitwise.scala 102:28] + node _T_557 = shl(_T_556, 2) @[Bitwise.scala 102:47] + node _T_558 = xor(_T_548, _T_557) @[Bitwise.scala 102:21] + node _T_559 = shr(_T_555, 2) @[Bitwise.scala 103:21] + node _T_560 = and(_T_559, _T_558) @[Bitwise.scala 103:31] + node _T_561 = bits(_T_555, 5, 0) @[Bitwise.scala 103:46] + node _T_562 = shl(_T_561, 2) @[Bitwise.scala 103:65] + node _T_563 = not(_T_558) @[Bitwise.scala 103:77] + node _T_564 = and(_T_562, _T_563) @[Bitwise.scala 103:75] + node _T_565 = or(_T_560, _T_564) @[Bitwise.scala 103:39] + node _T_566 = bits(_T_558, 6, 0) @[Bitwise.scala 102:28] + node _T_567 = shl(_T_566, 1) @[Bitwise.scala 102:47] + node _T_568 = xor(_T_558, _T_567) @[Bitwise.scala 102:21] + node _T_569 = shr(_T_565, 1) @[Bitwise.scala 103:21] + node _T_570 = and(_T_569, _T_568) @[Bitwise.scala 103:31] + node _T_571 = bits(_T_565, 6, 0) @[Bitwise.scala 103:46] + node _T_572 = shl(_T_571, 1) @[Bitwise.scala 103:65] + node _T_573 = not(_T_568) @[Bitwise.scala 103:77] + node _T_574 = and(_T_572, _T_573) @[Bitwise.scala 103:75] + node _T_575 = or(_T_570, _T_574) @[Bitwise.scala 103:39] + node _T_576 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_577 = bits(_T_576, 4, 4) @[lsu_dccm_ctl.scala 156:134] + node _T_578 = bits(_T_577, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_579 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_580 = bits(_T_579, 39, 32) @[lsu_dccm_ctl.scala 156:196] + node _T_581 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_582 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 156:253] + node _T_583 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_584 = mux(_T_583, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_585 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 156:308] + node _T_586 = and(_T_584, _T_585) @[lsu_dccm_ctl.scala 156:294] + node _T_587 = mux(_T_581, _T_582, _T_586) @[lsu_dccm_ctl.scala 156:214] + node _T_588 = mux(_T_578, _T_580, _T_587) @[lsu_dccm_ctl.scala 156:78] + node _T_589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_590 = xor(UInt<8>("h0ff"), _T_589) @[Bitwise.scala 102:21] + node _T_591 = shr(_T_588, 4) @[Bitwise.scala 103:21] + node _T_592 = and(_T_591, _T_590) @[Bitwise.scala 103:31] + node _T_593 = bits(_T_588, 3, 0) @[Bitwise.scala 103:46] + node _T_594 = shl(_T_593, 4) @[Bitwise.scala 103:65] + node _T_595 = not(_T_590) @[Bitwise.scala 103:77] + node _T_596 = and(_T_594, _T_595) @[Bitwise.scala 103:75] + node _T_597 = or(_T_592, _T_596) @[Bitwise.scala 103:39] + node _T_598 = bits(_T_590, 5, 0) @[Bitwise.scala 102:28] + node _T_599 = shl(_T_598, 2) @[Bitwise.scala 102:47] + node _T_600 = xor(_T_590, _T_599) @[Bitwise.scala 102:21] + node _T_601 = shr(_T_597, 2) @[Bitwise.scala 103:21] + node _T_602 = and(_T_601, _T_600) @[Bitwise.scala 103:31] + node _T_603 = bits(_T_597, 5, 0) @[Bitwise.scala 103:46] + node _T_604 = shl(_T_603, 2) @[Bitwise.scala 103:65] + node _T_605 = not(_T_600) @[Bitwise.scala 103:77] + node _T_606 = and(_T_604, _T_605) @[Bitwise.scala 103:75] + node _T_607 = or(_T_602, _T_606) @[Bitwise.scala 103:39] + node _T_608 = bits(_T_600, 6, 0) @[Bitwise.scala 102:28] + node _T_609 = shl(_T_608, 1) @[Bitwise.scala 102:47] + node _T_610 = xor(_T_600, _T_609) @[Bitwise.scala 102:21] + node _T_611 = shr(_T_607, 1) @[Bitwise.scala 103:21] + node _T_612 = and(_T_611, _T_610) @[Bitwise.scala 103:31] + node _T_613 = bits(_T_607, 6, 0) @[Bitwise.scala 103:46] + node _T_614 = shl(_T_613, 1) @[Bitwise.scala 103:65] + node _T_615 = not(_T_610) @[Bitwise.scala 103:77] + node _T_616 = and(_T_614, _T_615) @[Bitwise.scala 103:75] + node _T_617 = or(_T_612, _T_616) @[Bitwise.scala 103:39] + node _T_618 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_619 = bits(_T_618, 5, 5) @[lsu_dccm_ctl.scala 156:134] + node _T_620 = bits(_T_619, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_621 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_622 = bits(_T_621, 47, 40) @[lsu_dccm_ctl.scala 156:196] + node _T_623 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_624 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 156:253] + node _T_625 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_626 = mux(_T_625, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_627 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 156:308] + node _T_628 = and(_T_626, _T_627) @[lsu_dccm_ctl.scala 156:294] + node _T_629 = mux(_T_623, _T_624, _T_628) @[lsu_dccm_ctl.scala 156:214] + node _T_630 = mux(_T_620, _T_622, _T_629) @[lsu_dccm_ctl.scala 156:78] + node _T_631 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_632 = xor(UInt<8>("h0ff"), _T_631) @[Bitwise.scala 102:21] + node _T_633 = shr(_T_630, 4) @[Bitwise.scala 103:21] + node _T_634 = and(_T_633, _T_632) @[Bitwise.scala 103:31] + node _T_635 = bits(_T_630, 3, 0) @[Bitwise.scala 103:46] + node _T_636 = shl(_T_635, 4) @[Bitwise.scala 103:65] + node _T_637 = not(_T_632) @[Bitwise.scala 103:77] + node _T_638 = and(_T_636, _T_637) @[Bitwise.scala 103:75] + node _T_639 = or(_T_634, _T_638) @[Bitwise.scala 103:39] + node _T_640 = bits(_T_632, 5, 0) @[Bitwise.scala 102:28] + node _T_641 = shl(_T_640, 2) @[Bitwise.scala 102:47] + node _T_642 = xor(_T_632, _T_641) @[Bitwise.scala 102:21] + node _T_643 = shr(_T_639, 2) @[Bitwise.scala 103:21] + node _T_644 = and(_T_643, _T_642) @[Bitwise.scala 103:31] + node _T_645 = bits(_T_639, 5, 0) @[Bitwise.scala 103:46] + node _T_646 = shl(_T_645, 2) @[Bitwise.scala 103:65] + node _T_647 = not(_T_642) @[Bitwise.scala 103:77] + node _T_648 = and(_T_646, _T_647) @[Bitwise.scala 103:75] + node _T_649 = or(_T_644, _T_648) @[Bitwise.scala 103:39] + node _T_650 = bits(_T_642, 6, 0) @[Bitwise.scala 102:28] + node _T_651 = shl(_T_650, 1) @[Bitwise.scala 102:47] + node _T_652 = xor(_T_642, _T_651) @[Bitwise.scala 102:21] + node _T_653 = shr(_T_649, 1) @[Bitwise.scala 103:21] + node _T_654 = and(_T_653, _T_652) @[Bitwise.scala 103:31] + node _T_655 = bits(_T_649, 6, 0) @[Bitwise.scala 103:46] + node _T_656 = shl(_T_655, 1) @[Bitwise.scala 103:65] + node _T_657 = not(_T_652) @[Bitwise.scala 103:77] + node _T_658 = and(_T_656, _T_657) @[Bitwise.scala 103:75] + node _T_659 = or(_T_654, _T_658) @[Bitwise.scala 103:39] + node _T_660 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_661 = bits(_T_660, 6, 6) @[lsu_dccm_ctl.scala 156:134] + node _T_662 = bits(_T_661, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_663 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_664 = bits(_T_663, 55, 48) @[lsu_dccm_ctl.scala 156:196] + node _T_665 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_666 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 156:253] + node _T_667 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_668 = mux(_T_667, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_669 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 156:308] + node _T_670 = and(_T_668, _T_669) @[lsu_dccm_ctl.scala 156:294] + node _T_671 = mux(_T_665, _T_666, _T_670) @[lsu_dccm_ctl.scala 156:214] + node _T_672 = mux(_T_662, _T_664, _T_671) @[lsu_dccm_ctl.scala 156:78] + node _T_673 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_674 = xor(UInt<8>("h0ff"), _T_673) @[Bitwise.scala 102:21] + node _T_675 = shr(_T_672, 4) @[Bitwise.scala 103:21] + node _T_676 = and(_T_675, _T_674) @[Bitwise.scala 103:31] + node _T_677 = bits(_T_672, 3, 0) @[Bitwise.scala 103:46] + node _T_678 = shl(_T_677, 4) @[Bitwise.scala 103:65] + node _T_679 = not(_T_674) @[Bitwise.scala 103:77] + node _T_680 = and(_T_678, _T_679) @[Bitwise.scala 103:75] + node _T_681 = or(_T_676, _T_680) @[Bitwise.scala 103:39] + node _T_682 = bits(_T_674, 5, 0) @[Bitwise.scala 102:28] + node _T_683 = shl(_T_682, 2) @[Bitwise.scala 102:47] + node _T_684 = xor(_T_674, _T_683) @[Bitwise.scala 102:21] + node _T_685 = shr(_T_681, 2) @[Bitwise.scala 103:21] + node _T_686 = and(_T_685, _T_684) @[Bitwise.scala 103:31] + node _T_687 = bits(_T_681, 5, 0) @[Bitwise.scala 103:46] + node _T_688 = shl(_T_687, 2) @[Bitwise.scala 103:65] + node _T_689 = not(_T_684) @[Bitwise.scala 103:77] + node _T_690 = and(_T_688, _T_689) @[Bitwise.scala 103:75] + node _T_691 = or(_T_686, _T_690) @[Bitwise.scala 103:39] + node _T_692 = bits(_T_684, 6, 0) @[Bitwise.scala 102:28] + node _T_693 = shl(_T_692, 1) @[Bitwise.scala 102:47] + node _T_694 = xor(_T_684, _T_693) @[Bitwise.scala 102:21] + node _T_695 = shr(_T_691, 1) @[Bitwise.scala 103:21] + node _T_696 = and(_T_695, _T_694) @[Bitwise.scala 103:31] + node _T_697 = bits(_T_691, 6, 0) @[Bitwise.scala 103:46] + node _T_698 = shl(_T_697, 1) @[Bitwise.scala 103:65] + node _T_699 = not(_T_694) @[Bitwise.scala 103:77] + node _T_700 = and(_T_698, _T_699) @[Bitwise.scala 103:75] + node _T_701 = or(_T_696, _T_700) @[Bitwise.scala 103:39] + node _T_702 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_703 = bits(_T_702, 7, 7) @[lsu_dccm_ctl.scala 156:134] + node _T_704 = bits(_T_703, 0, 0) @[lsu_dccm_ctl.scala 156:139] + node _T_705 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_706 = bits(_T_705, 63, 56) @[lsu_dccm_ctl.scala 156:196] + node _T_707 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 156:232] + node _T_708 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 156:253] + node _T_709 = bits(io.addr_in_dccm_m, 0, 0) @[Bitwise.scala 72:15] + node _T_710 = mux(_T_709, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_711 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 156:308] + node _T_712 = and(_T_710, _T_711) @[lsu_dccm_ctl.scala 156:294] + node _T_713 = mux(_T_707, _T_708, _T_712) @[lsu_dccm_ctl.scala 156:214] + node _T_714 = mux(_T_704, _T_706, _T_713) @[lsu_dccm_ctl.scala 156:78] + node _T_715 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_716 = xor(UInt<8>("h0ff"), _T_715) @[Bitwise.scala 102:21] + node _T_717 = shr(_T_714, 4) @[Bitwise.scala 103:21] + node _T_718 = and(_T_717, _T_716) @[Bitwise.scala 103:31] + node _T_719 = bits(_T_714, 3, 0) @[Bitwise.scala 103:46] + node _T_720 = shl(_T_719, 4) @[Bitwise.scala 103:65] + node _T_721 = not(_T_716) @[Bitwise.scala 103:77] + node _T_722 = and(_T_720, _T_721) @[Bitwise.scala 103:75] + node _T_723 = or(_T_718, _T_722) @[Bitwise.scala 103:39] + node _T_724 = bits(_T_716, 5, 0) @[Bitwise.scala 102:28] + node _T_725 = shl(_T_724, 2) @[Bitwise.scala 102:47] + node _T_726 = xor(_T_716, _T_725) @[Bitwise.scala 102:21] + node _T_727 = shr(_T_723, 2) @[Bitwise.scala 103:21] + node _T_728 = and(_T_727, _T_726) @[Bitwise.scala 103:31] + node _T_729 = bits(_T_723, 5, 0) @[Bitwise.scala 103:46] + node _T_730 = shl(_T_729, 2) @[Bitwise.scala 103:65] + node _T_731 = not(_T_726) @[Bitwise.scala 103:77] + node _T_732 = and(_T_730, _T_731) @[Bitwise.scala 103:75] + node _T_733 = or(_T_728, _T_732) @[Bitwise.scala 103:39] + node _T_734 = bits(_T_726, 6, 0) @[Bitwise.scala 102:28] + node _T_735 = shl(_T_734, 1) @[Bitwise.scala 102:47] + node _T_736 = xor(_T_726, _T_735) @[Bitwise.scala 102:21] + node _T_737 = shr(_T_733, 1) @[Bitwise.scala 103:21] + node _T_738 = and(_T_737, _T_736) @[Bitwise.scala 103:31] + node _T_739 = bits(_T_733, 6, 0) @[Bitwise.scala 103:46] + node _T_740 = shl(_T_739, 1) @[Bitwise.scala 103:65] + node _T_741 = not(_T_736) @[Bitwise.scala 103:77] + node _T_742 = and(_T_740, _T_741) @[Bitwise.scala 103:75] + node _T_743 = or(_T_738, _T_742) @[Bitwise.scala 103:39] + wire _T_744 : UInt<8>[8] @[lsu_dccm_ctl.scala 156:62] + _T_744[0] <= _T_449 @[lsu_dccm_ctl.scala 156:62] + _T_744[1] <= _T_491 @[lsu_dccm_ctl.scala 156:62] + _T_744[2] <= _T_533 @[lsu_dccm_ctl.scala 156:62] + _T_744[3] <= _T_575 @[lsu_dccm_ctl.scala 156:62] + _T_744[4] <= _T_617 @[lsu_dccm_ctl.scala 156:62] + _T_744[5] <= _T_659 @[lsu_dccm_ctl.scala 156:62] + _T_744[6] <= _T_701 @[lsu_dccm_ctl.scala 156:62] + _T_744[7] <= _T_743 @[lsu_dccm_ctl.scala 156:62] + node _T_745 = cat(_T_744[6], _T_744[7]) @[Cat.scala 29:58] + node _T_746 = cat(_T_744[4], _T_744[5]) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = cat(_T_744[2], _T_744[3]) @[Cat.scala 29:58] + node _T_749 = cat(_T_744[0], _T_744[1]) @[Cat.scala 29:58] + node _T_750 = cat(_T_749, _T_748) @[Cat.scala 29:58] + node _T_751 = cat(_T_750, _T_747) @[Cat.scala 29:58] + node _T_752 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_753 = xor(UInt<64>("h0ffffffffffffffff"), _T_752) @[Bitwise.scala 102:21] + node _T_754 = shr(_T_751, 32) @[Bitwise.scala 103:21] + node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31] + node _T_756 = bits(_T_751, 31, 0) @[Bitwise.scala 103:46] + node _T_757 = shl(_T_756, 32) @[Bitwise.scala 103:65] + node _T_758 = not(_T_753) @[Bitwise.scala 103:77] + node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75] + node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39] + node _T_761 = bits(_T_753, 47, 0) @[Bitwise.scala 102:28] + node _T_762 = shl(_T_761, 16) @[Bitwise.scala 102:47] + node _T_763 = xor(_T_753, _T_762) @[Bitwise.scala 102:21] + node _T_764 = shr(_T_760, 16) @[Bitwise.scala 103:21] + node _T_765 = and(_T_764, _T_763) @[Bitwise.scala 103:31] + node _T_766 = bits(_T_760, 47, 0) @[Bitwise.scala 103:46] + node _T_767 = shl(_T_766, 16) @[Bitwise.scala 103:65] + node _T_768 = not(_T_763) @[Bitwise.scala 103:77] + node _T_769 = and(_T_767, _T_768) @[Bitwise.scala 103:75] + node _T_770 = or(_T_765, _T_769) @[Bitwise.scala 103:39] + node _T_771 = bits(_T_763, 55, 0) @[Bitwise.scala 102:28] + node _T_772 = shl(_T_771, 8) @[Bitwise.scala 102:47] + node _T_773 = xor(_T_763, _T_772) @[Bitwise.scala 102:21] + node _T_774 = shr(_T_770, 8) @[Bitwise.scala 103:21] + node _T_775 = and(_T_774, _T_773) @[Bitwise.scala 103:31] + node _T_776 = bits(_T_770, 55, 0) @[Bitwise.scala 103:46] + node _T_777 = shl(_T_776, 8) @[Bitwise.scala 103:65] + node _T_778 = not(_T_773) @[Bitwise.scala 103:77] + node _T_779 = and(_T_777, _T_778) @[Bitwise.scala 103:75] + node _T_780 = or(_T_775, _T_779) @[Bitwise.scala 103:39] + node _T_781 = bits(_T_773, 59, 0) @[Bitwise.scala 102:28] + node _T_782 = shl(_T_781, 4) @[Bitwise.scala 102:47] + node _T_783 = xor(_T_773, _T_782) @[Bitwise.scala 102:21] + node _T_784 = shr(_T_780, 4) @[Bitwise.scala 103:21] + node _T_785 = and(_T_784, _T_783) @[Bitwise.scala 103:31] + node _T_786 = bits(_T_780, 59, 0) @[Bitwise.scala 103:46] + node _T_787 = shl(_T_786, 4) @[Bitwise.scala 103:65] + node _T_788 = not(_T_783) @[Bitwise.scala 103:77] + node _T_789 = and(_T_787, _T_788) @[Bitwise.scala 103:75] + node _T_790 = or(_T_785, _T_789) @[Bitwise.scala 103:39] + node _T_791 = bits(_T_783, 61, 0) @[Bitwise.scala 102:28] + node _T_792 = shl(_T_791, 2) @[Bitwise.scala 102:47] + node _T_793 = xor(_T_783, _T_792) @[Bitwise.scala 102:21] + node _T_794 = shr(_T_790, 2) @[Bitwise.scala 103:21] + node _T_795 = and(_T_794, _T_793) @[Bitwise.scala 103:31] + node _T_796 = bits(_T_790, 61, 0) @[Bitwise.scala 103:46] + node _T_797 = shl(_T_796, 2) @[Bitwise.scala 103:65] + node _T_798 = not(_T_793) @[Bitwise.scala 103:77] + node _T_799 = and(_T_797, _T_798) @[Bitwise.scala 103:75] + node _T_800 = or(_T_795, _T_799) @[Bitwise.scala 103:39] + node _T_801 = bits(_T_793, 62, 0) @[Bitwise.scala 102:28] + node _T_802 = shl(_T_801, 1) @[Bitwise.scala 102:47] + node _T_803 = xor(_T_793, _T_802) @[Bitwise.scala 102:21] + node _T_804 = shr(_T_800, 1) @[Bitwise.scala 103:21] + node _T_805 = and(_T_804, _T_803) @[Bitwise.scala 103:31] + node _T_806 = bits(_T_800, 62, 0) @[Bitwise.scala 103:46] + node _T_807 = shl(_T_806, 1) @[Bitwise.scala 103:65] + node _T_808 = not(_T_803) @[Bitwise.scala 103:77] + node _T_809 = and(_T_807, _T_808) @[Bitwise.scala 103:75] + node _T_810 = or(_T_805, _T_809) @[Bitwise.scala 103:39] + lsu_rdata_m <= _T_810 @[lsu_dccm_ctl.scala 156:28] + node _T_811 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 157:78] + node _T_812 = or(io.addr_in_pic_m, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 157:123] + node _T_813 = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 157:103] + node _T_814 = or(_T_813, io.clk_override) @[lsu_dccm_ctl.scala 157:145] + node _T_815 = bits(_T_814, 0, 0) @[lib.scala 8:44] + node _T_816 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_815 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= _T_816 @[lib.scala 372:24] + reg _T_817 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_817 <= lsu_ld_data_corr_m @[lib.scala 374:16] + io.lsu_ld_data_corr_r <= _T_817 @[lsu_dccm_ctl.scala 157:28] + node _T_818 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 158:63] + node _T_819 = mul(UInt<4>("h08"), _T_818) @[lsu_dccm_ctl.scala 158:49] + node _T_820 = dshr(lsu_rdata_m, _T_819) @[lsu_dccm_ctl.scala 158:43] + io.lsu_ld_data_m <= _T_820 @[lsu_dccm_ctl.scala 158:28] + node _T_821 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 159:68] + node _T_822 = mul(UInt<4>("h08"), _T_821) @[lsu_dccm_ctl.scala 159:54] + node _T_823 = dshr(lsu_rdata_corr_m, _T_822) @[lsu_dccm_ctl.scala 159:48] + lsu_ld_data_corr_m <= _T_823 @[lsu_dccm_ctl.scala 159:28] + node _T_824 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:44] + node _T_825 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:77] + node _T_826 = eq(_T_824, _T_825) @[lsu_dccm_ctl.scala 163:60] + node _T_827 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 163:117] + node _T_828 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 163:150] + node _T_829 = eq(_T_827, _T_828) @[lsu_dccm_ctl.scala 163:133] + node _T_830 = or(_T_826, _T_829) @[lsu_dccm_ctl.scala 163:101] + node _T_831 = and(_T_830, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 163:175] + node _T_832 = and(_T_831, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 163:196] + node _T_833 = and(_T_832, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 163:222] + node _T_834 = and(_T_833, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 163:246] + node _T_835 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:21] + node _T_836 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:54] + node _T_837 = eq(_T_835, _T_836) @[lsu_dccm_ctl.scala 164:37] + node _T_838 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 164:94] + node _T_839 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 164:127] + node _T_840 = eq(_T_838, _T_839) @[lsu_dccm_ctl.scala 164:110] + node _T_841 = or(_T_837, _T_840) @[lsu_dccm_ctl.scala 164:78] + node _T_842 = and(_T_841, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 164:152] + node _T_843 = and(_T_842, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 164:173] + node _T_844 = and(_T_843, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 164:199] + node _T_845 = and(_T_844, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 164:223] + node kill_ecc_corr_lo_r = or(_T_834, _T_845) @[lsu_dccm_ctl.scala 163:267] + node _T_846 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:44] + node _T_847 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:77] + node _T_848 = eq(_T_846, _T_847) @[lsu_dccm_ctl.scala 166:60] + node _T_849 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 166:117] + node _T_850 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 166:150] + node _T_851 = eq(_T_849, _T_850) @[lsu_dccm_ctl.scala 166:133] + node _T_852 = or(_T_848, _T_851) @[lsu_dccm_ctl.scala 166:101] + node _T_853 = and(_T_852, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 166:175] + node _T_854 = and(_T_853, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 166:196] + node _T_855 = and(_T_854, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 166:222] + node _T_856 = and(_T_855, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 166:246] + node _T_857 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:21] + node _T_858 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:54] + node _T_859 = eq(_T_857, _T_858) @[lsu_dccm_ctl.scala 167:37] + node _T_860 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 167:94] + node _T_861 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 167:127] + node _T_862 = eq(_T_860, _T_861) @[lsu_dccm_ctl.scala 167:110] + node _T_863 = or(_T_859, _T_862) @[lsu_dccm_ctl.scala 167:78] + node _T_864 = and(_T_863, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 167:152] + node _T_865 = and(_T_864, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 167:173] + node _T_866 = and(_T_865, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 167:199] + node _T_867 = and(_T_866, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 167:223] + node kill_ecc_corr_hi_r = or(_T_856, _T_867) @[lsu_dccm_ctl.scala 166:267] + node _T_868 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 169:60] + node _T_869 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 169:89] + node ld_single_ecc_error_lo_r = and(_T_868, _T_869) @[lsu_dccm_ctl.scala 169:87] + node _T_870 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 170:60] + node _T_871 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 170:89] + node ld_single_ecc_error_hi_r = and(_T_870, _T_871) @[lsu_dccm_ctl.scala 170:87] + node _T_872 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 171:63] + node _T_873 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 171:93] + node _T_874 = and(_T_872, _T_873) @[lsu_dccm_ctl.scala 171:91] + io.ld_single_ecc_error_r <= _T_874 @[lsu_dccm_ctl.scala 171:34] + node _T_875 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 172:81] + node _T_876 = and(ld_single_ecc_error_lo_r, _T_875) @[lsu_dccm_ctl.scala 172:62] + node _T_877 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 172:108] + node ld_single_ecc_error_lo_r_ns = and(_T_876, _T_877) @[lsu_dccm_ctl.scala 172:106] + node _T_878 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 173:81] + node _T_879 = and(ld_single_ecc_error_hi_r, _T_878) @[lsu_dccm_ctl.scala 173:62] + node _T_880 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:108] + node ld_single_ecc_error_hi_r_ns = and(_T_879, _T_880) @[lsu_dccm_ctl.scala 173:106] + node _T_881 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 175:125] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[lsu_dccm_ctl.scala 175:100] + node _T_883 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 175:168] + node _T_884 = neq(_T_883, UInt<2>("h00")) @[lsu_dccm_ctl.scala 175:174] + node _T_885 = or(_T_882, _T_884) @[lsu_dccm_ctl.scala 175:152] + node _T_886 = and(io.lsu_pkt_d.bits.store, _T_885) @[lsu_dccm_ctl.scala 175:97] + node _T_887 = or(io.lsu_pkt_d.bits.load, _T_886) @[lsu_dccm_ctl.scala 175:70] + node _T_888 = and(io.lsu_pkt_d.valid, _T_887) @[lsu_dccm_ctl.scala 175:44] + node lsu_dccm_rden_d = and(_T_888, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 175:191] + node _T_889 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 178:63] + node _T_890 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:96] + node _T_891 = and(_T_889, _T_890) @[lsu_dccm_ctl.scala 178:94] + io.ld_single_ecc_error_r_ff <= _T_891 @[lsu_dccm_ctl.scala 178:31] + node _T_892 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 179:75] + node _T_893 = or(_T_892, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 179:93] + node _T_894 = eq(_T_893, UInt<1>("h00")) @[lsu_dccm_ctl.scala 179:57] + node _T_895 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 180:44] + node _T_896 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 180:112] + node _T_897 = eq(_T_895, _T_896) @[lsu_dccm_ctl.scala 180:95] + node _T_898 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 181:25] + node _T_899 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 181:93] + node _T_900 = eq(_T_898, _T_899) @[lsu_dccm_ctl.scala 181:76] + node _T_901 = or(_T_897, _T_900) @[lsu_dccm_ctl.scala 180:171] + node _T_902 = eq(_T_901, UInt<1>("h00")) @[lsu_dccm_ctl.scala 180:24] + node _T_903 = and(lsu_dccm_rden_d, _T_902) @[lsu_dccm_ctl.scala 180:22] + node _T_904 = or(_T_894, _T_903) @[lsu_dccm_ctl.scala 179:124] + node _T_905 = and(io.stbuf_reqvld_any, _T_904) @[lsu_dccm_ctl.scala 179:54] + io.lsu_stbuf_commit_any <= _T_905 @[lsu_dccm_ctl.scala 179:31] + node _T_906 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 185:41] + node _T_907 = or(_T_906, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 185:67] + io.dccm.wren <= _T_907 @[lsu_dccm_ctl.scala 185:22] + node _T_908 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 186:41] + io.dccm.rden <= _T_908 @[lsu_dccm_ctl.scala 186:22] + node _T_909 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 188:57] + node _T_910 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 189:36] + node _T_911 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:62] + node _T_912 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 189:97] + node _T_913 = mux(_T_910, _T_911, _T_912) @[lsu_dccm_ctl.scala 189:8] + node _T_914 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 190:25] + node _T_915 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 190:45] + node _T_916 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 190:78] + node _T_917 = mux(_T_914, _T_915, _T_916) @[lsu_dccm_ctl.scala 190:8] + node _T_918 = mux(_T_909, _T_913, _T_917) @[lsu_dccm_ctl.scala 188:28] + io.dccm.wr_addr_lo <= _T_918 @[lsu_dccm_ctl.scala 188:22] + node _T_919 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 192:57] + node _T_920 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 193:36] + node _T_921 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:63] + node _T_922 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 193:99] + node _T_923 = mux(_T_920, _T_921, _T_922) @[lsu_dccm_ctl.scala 193:8] + node _T_924 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 194:25] + node _T_925 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:46] + node _T_926 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 194:79] + node _T_927 = mux(_T_924, _T_925, _T_926) @[lsu_dccm_ctl.scala 194:8] + node _T_928 = mux(_T_919, _T_923, _T_927) @[lsu_dccm_ctl.scala 192:28] + io.dccm.wr_addr_hi <= _T_928 @[lsu_dccm_ctl.scala 192:22] + node _T_929 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 196:38] + io.dccm.rd_addr_lo <= _T_929 @[lsu_dccm_ctl.scala 196:22] + node _T_930 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 197:38] + io.dccm.rd_addr_hi <= _T_930 @[lsu_dccm_ctl.scala 197:22] + node _T_931 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 199:57] + node _T_932 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 200:36] + node _T_933 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 200:70] + node _T_934 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 200:110] + node _T_935 = cat(_T_933, _T_934) @[Cat.scala 29:58] + node _T_936 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 201:34] + node _T_937 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 201:74] + node _T_938 = cat(_T_936, _T_937) @[Cat.scala 29:58] + node _T_939 = mux(_T_932, _T_935, _T_938) @[lsu_dccm_ctl.scala 200:8] + node _T_940 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 202:25] + node _T_941 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 202:60] + node _T_942 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 202:101] + node _T_943 = cat(_T_941, _T_942) @[Cat.scala 29:58] + node _T_944 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 203:27] + node _T_945 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 203:65] + node _T_946 = cat(_T_944, _T_945) @[Cat.scala 29:58] + node _T_947 = mux(_T_940, _T_943, _T_946) @[lsu_dccm_ctl.scala 202:8] + node _T_948 = mux(_T_931, _T_939, _T_947) @[lsu_dccm_ctl.scala 199:28] + io.dccm.wr_data_lo <= _T_948 @[lsu_dccm_ctl.scala 199:22] + node _T_949 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 205:57] + node _T_950 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 206:36] + node _T_951 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 206:71] + node _T_952 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 206:111] + node _T_953 = cat(_T_951, _T_952) @[Cat.scala 29:58] + node _T_954 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 207:34] + node _T_955 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 207:74] + node _T_956 = cat(_T_954, _T_955) @[Cat.scala 29:58] + node _T_957 = mux(_T_950, _T_953, _T_956) @[lsu_dccm_ctl.scala 206:8] + node _T_958 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 208:25] + node _T_959 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 208:61] + node _T_960 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 208:102] + node _T_961 = cat(_T_959, _T_960) @[Cat.scala 29:58] + node _T_962 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 209:27] + node _T_963 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 209:65] + node _T_964 = cat(_T_962, _T_963) @[Cat.scala 29:58] + node _T_965 = mux(_T_958, _T_961, _T_964) @[lsu_dccm_ctl.scala 208:8] + node _T_966 = mux(_T_949, _T_957, _T_965) @[lsu_dccm_ctl.scala 205:28] + io.dccm.wr_data_hi <= _T_966 @[lsu_dccm_ctl.scala 205:22] + node _T_967 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_968 = mux(_T_967, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_969 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_970 = mux(_T_969, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_971 = and(_T_970, UInt<4>("h01")) @[lsu_dccm_ctl.scala 212:94] + node _T_972 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_973 = mux(_T_972, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_974 = and(_T_973, UInt<4>("h03")) @[lsu_dccm_ctl.scala 213:38] + node _T_975 = or(_T_971, _T_974) @[lsu_dccm_ctl.scala 212:107] + node _T_976 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_977 = mux(_T_976, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_978 = and(_T_977, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 214:38] + node _T_979 = or(_T_975, _T_978) @[lsu_dccm_ctl.scala 213:51] + node store_byteen_m = and(_T_968, _T_979) @[lsu_dccm_ctl.scala 212:58] + node _T_980 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_981 = mux(_T_980, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_982 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_983 = mux(_T_982, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_984 = and(_T_983, UInt<4>("h01")) @[lsu_dccm_ctl.scala 216:94] + node _T_985 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_986 = mux(_T_985, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_987 = and(_T_986, UInt<4>("h03")) @[lsu_dccm_ctl.scala 217:38] + node _T_988 = or(_T_984, _T_987) @[lsu_dccm_ctl.scala 216:107] + node _T_989 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_990 = mux(_T_989, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_991 = and(_T_990, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 218:38] + node _T_992 = or(_T_988, _T_991) @[lsu_dccm_ctl.scala 217:51] + node store_byteen_r = and(_T_981, _T_992) @[lsu_dccm_ctl.scala 216:58] + wire store_byteen_ext_m : UInt<8> + store_byteen_ext_m <= UInt<1>("h00") + node _T_993 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 220:39] + node _T_994 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 220:61] + node _T_995 = dshl(_T_993, _T_994) @[lsu_dccm_ctl.scala 220:45] + store_byteen_ext_m <= _T_995 @[lsu_dccm_ctl.scala 220:22] + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + node _T_996 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 222:39] + node _T_997 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 222:61] + node _T_998 = dshl(_T_996, _T_997) @[lsu_dccm_ctl.scala 222:45] + store_byteen_ext_r <= _T_998 @[lsu_dccm_ctl.scala 222:22] + node _T_999 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 225:51] + node _T_1000 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 225:84] + node _T_1001 = eq(_T_999, _T_1000) @[lsu_dccm_ctl.scala 225:67] + node dccm_wr_bypass_d_m_lo = and(_T_1001, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 225:101] + node _T_1002 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51] + node _T_1003 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 226:84] + node _T_1004 = eq(_T_1002, _T_1003) @[lsu_dccm_ctl.scala 226:67] + node dccm_wr_bypass_d_m_hi = and(_T_1004, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 226:101] + node _T_1005 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 228:51] + node _T_1006 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 228:84] + node _T_1007 = eq(_T_1005, _T_1006) @[lsu_dccm_ctl.scala 228:67] + node dccm_wr_bypass_d_r_lo = and(_T_1007, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 228:101] + node _T_1008 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 229:51] + node _T_1009 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 229:84] + node _T_1010 = eq(_T_1008, _T_1009) @[lsu_dccm_ctl.scala 229:67] + node dccm_wr_bypass_d_r_hi = and(_T_1010, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 229:101] + wire dccm_wr_bypass_d_m_hi_Q : UInt<1> + dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00") + wire dccm_wr_bypass_d_m_lo_Q : UInt<1> + dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00") + wire dccm_wren_Q : UInt<1> + dccm_wren_Q <= UInt<1>("h00") + wire dccm_wr_data_Q : UInt<32> + dccm_wr_data_Q <= UInt<32>("h00") + wire store_data_pre_r : UInt<64> + store_data_pre_r <= UInt<64>("h00") + wire store_data_pre_hi_r : UInt<32> + store_data_pre_hi_r <= UInt<32>("h00") + wire store_data_pre_lo_r : UInt<32> + store_data_pre_lo_r <= UInt<32>("h00") + wire store_data_pre_m : UInt<64> + store_data_pre_m <= UInt<64>("h00") + wire store_data_hi_m : UInt<32> + store_data_hi_m <= UInt<32>("h00") + wire store_data_lo_m : UInt<32> + store_data_lo_m <= UInt<32>("h00") + node _T_1011 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1012 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 258:64] + node _T_1013 = cat(_T_1011, _T_1012) @[Cat.scala 29:58] + node _T_1014 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 258:92] + node _T_1015 = mul(UInt<4>("h08"), _T_1014) @[lsu_dccm_ctl.scala 258:78] + node _T_1016 = dshl(_T_1013, _T_1015) @[lsu_dccm_ctl.scala 258:72] + store_data_pre_m <= _T_1016 @[lsu_dccm_ctl.scala 258:29] + node _T_1017 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 259:48] + store_data_hi_m <= _T_1017 @[lsu_dccm_ctl.scala 259:29] + node _T_1018 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 260:48] + store_data_lo_m <= _T_1018 @[lsu_dccm_ctl.scala 260:29] + node _T_1019 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 261:139] + node _T_1020 = bits(_T_1019, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1021 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:167] + node _T_1022 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1023 = bits(_T_1022, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1024 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:262] + node _T_1025 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 261:292] + node _T_1026 = mux(_T_1023, _T_1024, _T_1025) @[lsu_dccm_ctl.scala 261:185] + node _T_1027 = mux(_T_1020, _T_1021, _T_1026) @[lsu_dccm_ctl.scala 261:120] + node _T_1028 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1029 = xor(UInt<8>("h0ff"), _T_1028) @[Bitwise.scala 102:21] + node _T_1030 = shr(_T_1027, 4) @[Bitwise.scala 103:21] + node _T_1031 = and(_T_1030, _T_1029) @[Bitwise.scala 103:31] + node _T_1032 = bits(_T_1027, 3, 0) @[Bitwise.scala 103:46] + node _T_1033 = shl(_T_1032, 4) @[Bitwise.scala 103:65] + node _T_1034 = not(_T_1029) @[Bitwise.scala 103:77] + node _T_1035 = and(_T_1033, _T_1034) @[Bitwise.scala 103:75] + node _T_1036 = or(_T_1031, _T_1035) @[Bitwise.scala 103:39] + node _T_1037 = bits(_T_1029, 5, 0) @[Bitwise.scala 102:28] + node _T_1038 = shl(_T_1037, 2) @[Bitwise.scala 102:47] + node _T_1039 = xor(_T_1029, _T_1038) @[Bitwise.scala 102:21] + node _T_1040 = shr(_T_1036, 2) @[Bitwise.scala 103:21] + node _T_1041 = and(_T_1040, _T_1039) @[Bitwise.scala 103:31] + node _T_1042 = bits(_T_1036, 5, 0) @[Bitwise.scala 103:46] + node _T_1043 = shl(_T_1042, 2) @[Bitwise.scala 103:65] + node _T_1044 = not(_T_1039) @[Bitwise.scala 103:77] + node _T_1045 = and(_T_1043, _T_1044) @[Bitwise.scala 103:75] + node _T_1046 = or(_T_1041, _T_1045) @[Bitwise.scala 103:39] + node _T_1047 = bits(_T_1039, 6, 0) @[Bitwise.scala 102:28] + node _T_1048 = shl(_T_1047, 1) @[Bitwise.scala 102:47] + node _T_1049 = xor(_T_1039, _T_1048) @[Bitwise.scala 102:21] + node _T_1050 = shr(_T_1046, 1) @[Bitwise.scala 103:21] + node _T_1051 = and(_T_1050, _T_1049) @[Bitwise.scala 103:31] + node _T_1052 = bits(_T_1046, 6, 0) @[Bitwise.scala 103:46] + node _T_1053 = shl(_T_1052, 1) @[Bitwise.scala 103:65] + node _T_1054 = not(_T_1049) @[Bitwise.scala 103:77] + node _T_1055 = and(_T_1053, _T_1054) @[Bitwise.scala 103:75] + node _T_1056 = or(_T_1051, _T_1055) @[Bitwise.scala 103:39] + node _T_1057 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 261:139] + node _T_1058 = bits(_T_1057, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1059 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:167] + node _T_1060 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1061 = bits(_T_1060, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1062 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:262] + node _T_1063 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 261:292] + node _T_1064 = mux(_T_1061, _T_1062, _T_1063) @[lsu_dccm_ctl.scala 261:185] + node _T_1065 = mux(_T_1058, _T_1059, _T_1064) @[lsu_dccm_ctl.scala 261:120] + node _T_1066 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1067 = xor(UInt<8>("h0ff"), _T_1066) @[Bitwise.scala 102:21] + node _T_1068 = shr(_T_1065, 4) @[Bitwise.scala 103:21] + node _T_1069 = and(_T_1068, _T_1067) @[Bitwise.scala 103:31] + node _T_1070 = bits(_T_1065, 3, 0) @[Bitwise.scala 103:46] + node _T_1071 = shl(_T_1070, 4) @[Bitwise.scala 103:65] + node _T_1072 = not(_T_1067) @[Bitwise.scala 103:77] + node _T_1073 = and(_T_1071, _T_1072) @[Bitwise.scala 103:75] + node _T_1074 = or(_T_1069, _T_1073) @[Bitwise.scala 103:39] + node _T_1075 = bits(_T_1067, 5, 0) @[Bitwise.scala 102:28] + node _T_1076 = shl(_T_1075, 2) @[Bitwise.scala 102:47] + node _T_1077 = xor(_T_1067, _T_1076) @[Bitwise.scala 102:21] + node _T_1078 = shr(_T_1074, 2) @[Bitwise.scala 103:21] + node _T_1079 = and(_T_1078, _T_1077) @[Bitwise.scala 103:31] + node _T_1080 = bits(_T_1074, 5, 0) @[Bitwise.scala 103:46] + node _T_1081 = shl(_T_1080, 2) @[Bitwise.scala 103:65] + node _T_1082 = not(_T_1077) @[Bitwise.scala 103:77] + node _T_1083 = and(_T_1081, _T_1082) @[Bitwise.scala 103:75] + node _T_1084 = or(_T_1079, _T_1083) @[Bitwise.scala 103:39] + node _T_1085 = bits(_T_1077, 6, 0) @[Bitwise.scala 102:28] + node _T_1086 = shl(_T_1085, 1) @[Bitwise.scala 102:47] + node _T_1087 = xor(_T_1077, _T_1086) @[Bitwise.scala 102:21] + node _T_1088 = shr(_T_1084, 1) @[Bitwise.scala 103:21] + node _T_1089 = and(_T_1088, _T_1087) @[Bitwise.scala 103:31] + node _T_1090 = bits(_T_1084, 6, 0) @[Bitwise.scala 103:46] + node _T_1091 = shl(_T_1090, 1) @[Bitwise.scala 103:65] + node _T_1092 = not(_T_1087) @[Bitwise.scala 103:77] + node _T_1093 = and(_T_1091, _T_1092) @[Bitwise.scala 103:75] + node _T_1094 = or(_T_1089, _T_1093) @[Bitwise.scala 103:39] + node _T_1095 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 261:139] + node _T_1096 = bits(_T_1095, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1097 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:167] + node _T_1098 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1099 = bits(_T_1098, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1100 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:262] + node _T_1101 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 261:292] + node _T_1102 = mux(_T_1099, _T_1100, _T_1101) @[lsu_dccm_ctl.scala 261:185] + node _T_1103 = mux(_T_1096, _T_1097, _T_1102) @[lsu_dccm_ctl.scala 261:120] + node _T_1104 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1105 = xor(UInt<8>("h0ff"), _T_1104) @[Bitwise.scala 102:21] + node _T_1106 = shr(_T_1103, 4) @[Bitwise.scala 103:21] + node _T_1107 = and(_T_1106, _T_1105) @[Bitwise.scala 103:31] + node _T_1108 = bits(_T_1103, 3, 0) @[Bitwise.scala 103:46] + node _T_1109 = shl(_T_1108, 4) @[Bitwise.scala 103:65] + node _T_1110 = not(_T_1105) @[Bitwise.scala 103:77] + node _T_1111 = and(_T_1109, _T_1110) @[Bitwise.scala 103:75] + node _T_1112 = or(_T_1107, _T_1111) @[Bitwise.scala 103:39] + node _T_1113 = bits(_T_1105, 5, 0) @[Bitwise.scala 102:28] + node _T_1114 = shl(_T_1113, 2) @[Bitwise.scala 102:47] + node _T_1115 = xor(_T_1105, _T_1114) @[Bitwise.scala 102:21] + node _T_1116 = shr(_T_1112, 2) @[Bitwise.scala 103:21] + node _T_1117 = and(_T_1116, _T_1115) @[Bitwise.scala 103:31] + node _T_1118 = bits(_T_1112, 5, 0) @[Bitwise.scala 103:46] + node _T_1119 = shl(_T_1118, 2) @[Bitwise.scala 103:65] + node _T_1120 = not(_T_1115) @[Bitwise.scala 103:77] + node _T_1121 = and(_T_1119, _T_1120) @[Bitwise.scala 103:75] + node _T_1122 = or(_T_1117, _T_1121) @[Bitwise.scala 103:39] + node _T_1123 = bits(_T_1115, 6, 0) @[Bitwise.scala 102:28] + node _T_1124 = shl(_T_1123, 1) @[Bitwise.scala 102:47] + node _T_1125 = xor(_T_1115, _T_1124) @[Bitwise.scala 102:21] + node _T_1126 = shr(_T_1122, 1) @[Bitwise.scala 103:21] + node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31] + node _T_1128 = bits(_T_1122, 6, 0) @[Bitwise.scala 103:46] + node _T_1129 = shl(_T_1128, 1) @[Bitwise.scala 103:65] + node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77] + node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75] + node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39] + node _T_1133 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 261:139] + node _T_1134 = bits(_T_1133, 0, 0) @[lsu_dccm_ctl.scala 261:143] + node _T_1135 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:167] + node _T_1136 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 261:211] + node _T_1137 = bits(_T_1136, 0, 0) @[lsu_dccm_ctl.scala 261:237] + node _T_1138 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:262] + node _T_1139 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 261:292] + node _T_1140 = mux(_T_1137, _T_1138, _T_1139) @[lsu_dccm_ctl.scala 261:185] + node _T_1141 = mux(_T_1134, _T_1135, _T_1140) @[lsu_dccm_ctl.scala 261:120] + node _T_1142 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1143 = xor(UInt<8>("h0ff"), _T_1142) @[Bitwise.scala 102:21] + node _T_1144 = shr(_T_1141, 4) @[Bitwise.scala 103:21] + node _T_1145 = and(_T_1144, _T_1143) @[Bitwise.scala 103:31] + node _T_1146 = bits(_T_1141, 3, 0) @[Bitwise.scala 103:46] + node _T_1147 = shl(_T_1146, 4) @[Bitwise.scala 103:65] + node _T_1148 = not(_T_1143) @[Bitwise.scala 103:77] + node _T_1149 = and(_T_1147, _T_1148) @[Bitwise.scala 103:75] + node _T_1150 = or(_T_1145, _T_1149) @[Bitwise.scala 103:39] + node _T_1151 = bits(_T_1143, 5, 0) @[Bitwise.scala 102:28] + node _T_1152 = shl(_T_1151, 2) @[Bitwise.scala 102:47] + node _T_1153 = xor(_T_1143, _T_1152) @[Bitwise.scala 102:21] + node _T_1154 = shr(_T_1150, 2) @[Bitwise.scala 103:21] + node _T_1155 = and(_T_1154, _T_1153) @[Bitwise.scala 103:31] + node _T_1156 = bits(_T_1150, 5, 0) @[Bitwise.scala 103:46] + node _T_1157 = shl(_T_1156, 2) @[Bitwise.scala 103:65] + node _T_1158 = not(_T_1153) @[Bitwise.scala 103:77] + node _T_1159 = and(_T_1157, _T_1158) @[Bitwise.scala 103:75] + node _T_1160 = or(_T_1155, _T_1159) @[Bitwise.scala 103:39] + node _T_1161 = bits(_T_1153, 6, 0) @[Bitwise.scala 102:28] + node _T_1162 = shl(_T_1161, 1) @[Bitwise.scala 102:47] + node _T_1163 = xor(_T_1153, _T_1162) @[Bitwise.scala 102:21] + node _T_1164 = shr(_T_1160, 1) @[Bitwise.scala 103:21] + node _T_1165 = and(_T_1164, _T_1163) @[Bitwise.scala 103:31] + node _T_1166 = bits(_T_1160, 6, 0) @[Bitwise.scala 103:46] + node _T_1167 = shl(_T_1166, 1) @[Bitwise.scala 103:65] + node _T_1168 = not(_T_1163) @[Bitwise.scala 103:77] + node _T_1169 = and(_T_1167, _T_1168) @[Bitwise.scala 103:75] + node _T_1170 = or(_T_1165, _T_1169) @[Bitwise.scala 103:39] + wire _T_1171 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:104] + _T_1171[0] <= _T_1056 @[lsu_dccm_ctl.scala 261:104] + _T_1171[1] <= _T_1094 @[lsu_dccm_ctl.scala 261:104] + _T_1171[2] <= _T_1132 @[lsu_dccm_ctl.scala 261:104] + _T_1171[3] <= _T_1170 @[lsu_dccm_ctl.scala 261:104] + node _T_1172 = cat(_T_1171[2], _T_1171[3]) @[Cat.scala 29:58] + node _T_1173 = cat(_T_1171[0], _T_1171[1]) @[Cat.scala 29:58] + node _T_1174 = cat(_T_1173, _T_1172) @[Cat.scala 29:58] + node _T_1175 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1176 = xor(UInt<32>("h0ffffffff"), _T_1175) @[Bitwise.scala 102:21] + node _T_1177 = shr(_T_1174, 16) @[Bitwise.scala 103:21] + node _T_1178 = and(_T_1177, _T_1176) @[Bitwise.scala 103:31] + node _T_1179 = bits(_T_1174, 15, 0) @[Bitwise.scala 103:46] + node _T_1180 = shl(_T_1179, 16) @[Bitwise.scala 103:65] + node _T_1181 = not(_T_1176) @[Bitwise.scala 103:77] + node _T_1182 = and(_T_1180, _T_1181) @[Bitwise.scala 103:75] + node _T_1183 = or(_T_1178, _T_1182) @[Bitwise.scala 103:39] + node _T_1184 = bits(_T_1176, 23, 0) @[Bitwise.scala 102:28] + node _T_1185 = shl(_T_1184, 8) @[Bitwise.scala 102:47] + node _T_1186 = xor(_T_1176, _T_1185) @[Bitwise.scala 102:21] + node _T_1187 = shr(_T_1183, 8) @[Bitwise.scala 103:21] + node _T_1188 = and(_T_1187, _T_1186) @[Bitwise.scala 103:31] + node _T_1189 = bits(_T_1183, 23, 0) @[Bitwise.scala 103:46] + node _T_1190 = shl(_T_1189, 8) @[Bitwise.scala 103:65] + node _T_1191 = not(_T_1186) @[Bitwise.scala 103:77] + node _T_1192 = and(_T_1190, _T_1191) @[Bitwise.scala 103:75] + node _T_1193 = or(_T_1188, _T_1192) @[Bitwise.scala 103:39] + node _T_1194 = bits(_T_1186, 27, 0) @[Bitwise.scala 102:28] + node _T_1195 = shl(_T_1194, 4) @[Bitwise.scala 102:47] + node _T_1196 = xor(_T_1186, _T_1195) @[Bitwise.scala 102:21] + node _T_1197 = shr(_T_1193, 4) @[Bitwise.scala 103:21] + node _T_1198 = and(_T_1197, _T_1196) @[Bitwise.scala 103:31] + node _T_1199 = bits(_T_1193, 27, 0) @[Bitwise.scala 103:46] + node _T_1200 = shl(_T_1199, 4) @[Bitwise.scala 103:65] + node _T_1201 = not(_T_1196) @[Bitwise.scala 103:77] + node _T_1202 = and(_T_1200, _T_1201) @[Bitwise.scala 103:75] + node _T_1203 = or(_T_1198, _T_1202) @[Bitwise.scala 103:39] + node _T_1204 = bits(_T_1196, 29, 0) @[Bitwise.scala 102:28] + node _T_1205 = shl(_T_1204, 2) @[Bitwise.scala 102:47] + node _T_1206 = xor(_T_1196, _T_1205) @[Bitwise.scala 102:21] + node _T_1207 = shr(_T_1203, 2) @[Bitwise.scala 103:21] + node _T_1208 = and(_T_1207, _T_1206) @[Bitwise.scala 103:31] + node _T_1209 = bits(_T_1203, 29, 0) @[Bitwise.scala 103:46] + node _T_1210 = shl(_T_1209, 2) @[Bitwise.scala 103:65] + node _T_1211 = not(_T_1206) @[Bitwise.scala 103:77] + node _T_1212 = and(_T_1210, _T_1211) @[Bitwise.scala 103:75] + node _T_1213 = or(_T_1208, _T_1212) @[Bitwise.scala 103:39] + node _T_1214 = bits(_T_1206, 30, 0) @[Bitwise.scala 102:28] + node _T_1215 = shl(_T_1214, 1) @[Bitwise.scala 102:47] + node _T_1216 = xor(_T_1206, _T_1215) @[Bitwise.scala 102:21] + node _T_1217 = shr(_T_1213, 1) @[Bitwise.scala 103:21] + node _T_1218 = and(_T_1217, _T_1216) @[Bitwise.scala 103:31] + node _T_1219 = bits(_T_1213, 30, 0) @[Bitwise.scala 103:46] + node _T_1220 = shl(_T_1219, 1) @[Bitwise.scala 103:65] + node _T_1221 = not(_T_1216) @[Bitwise.scala 103:77] + node _T_1222 = and(_T_1220, _T_1221) @[Bitwise.scala 103:75] + node _T_1223 = or(_T_1218, _T_1222) @[Bitwise.scala 103:39] + reg _T_1224 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 261:72] + _T_1224 <= _T_1223 @[lsu_dccm_ctl.scala 261:72] + io.store_data_lo_r <= _T_1224 @[lsu_dccm_ctl.scala 261:29] + node _T_1225 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 262:105] + node _T_1226 = bits(_T_1225, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1227 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:133] + node _T_1228 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1229 = bits(_T_1228, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1230 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:228] + node _T_1231 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 262:258] + node _T_1232 = mux(_T_1229, _T_1230, _T_1231) @[lsu_dccm_ctl.scala 262:151] + node _T_1233 = mux(_T_1226, _T_1227, _T_1232) @[lsu_dccm_ctl.scala 262:86] + node _T_1234 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1235 = xor(UInt<8>("h0ff"), _T_1234) @[Bitwise.scala 102:21] + node _T_1236 = shr(_T_1233, 4) @[Bitwise.scala 103:21] + node _T_1237 = and(_T_1236, _T_1235) @[Bitwise.scala 103:31] + node _T_1238 = bits(_T_1233, 3, 0) @[Bitwise.scala 103:46] + node _T_1239 = shl(_T_1238, 4) @[Bitwise.scala 103:65] + node _T_1240 = not(_T_1235) @[Bitwise.scala 103:77] + node _T_1241 = and(_T_1239, _T_1240) @[Bitwise.scala 103:75] + node _T_1242 = or(_T_1237, _T_1241) @[Bitwise.scala 103:39] + node _T_1243 = bits(_T_1235, 5, 0) @[Bitwise.scala 102:28] + node _T_1244 = shl(_T_1243, 2) @[Bitwise.scala 102:47] + node _T_1245 = xor(_T_1235, _T_1244) @[Bitwise.scala 102:21] + node _T_1246 = shr(_T_1242, 2) @[Bitwise.scala 103:21] + node _T_1247 = and(_T_1246, _T_1245) @[Bitwise.scala 103:31] + node _T_1248 = bits(_T_1242, 5, 0) @[Bitwise.scala 103:46] + node _T_1249 = shl(_T_1248, 2) @[Bitwise.scala 103:65] + node _T_1250 = not(_T_1245) @[Bitwise.scala 103:77] + node _T_1251 = and(_T_1249, _T_1250) @[Bitwise.scala 103:75] + node _T_1252 = or(_T_1247, _T_1251) @[Bitwise.scala 103:39] + node _T_1253 = bits(_T_1245, 6, 0) @[Bitwise.scala 102:28] + node _T_1254 = shl(_T_1253, 1) @[Bitwise.scala 102:47] + node _T_1255 = xor(_T_1245, _T_1254) @[Bitwise.scala 102:21] + node _T_1256 = shr(_T_1252, 1) @[Bitwise.scala 103:21] + node _T_1257 = and(_T_1256, _T_1255) @[Bitwise.scala 103:31] + node _T_1258 = bits(_T_1252, 6, 0) @[Bitwise.scala 103:46] + node _T_1259 = shl(_T_1258, 1) @[Bitwise.scala 103:65] + node _T_1260 = not(_T_1255) @[Bitwise.scala 103:77] + node _T_1261 = and(_T_1259, _T_1260) @[Bitwise.scala 103:75] + node _T_1262 = or(_T_1257, _T_1261) @[Bitwise.scala 103:39] + node _T_1263 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 262:105] + node _T_1264 = bits(_T_1263, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1265 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:133] + node _T_1266 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1267 = bits(_T_1266, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1268 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:228] + node _T_1269 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 262:258] + node _T_1270 = mux(_T_1267, _T_1268, _T_1269) @[lsu_dccm_ctl.scala 262:151] + node _T_1271 = mux(_T_1264, _T_1265, _T_1270) @[lsu_dccm_ctl.scala 262:86] + node _T_1272 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1273 = xor(UInt<8>("h0ff"), _T_1272) @[Bitwise.scala 102:21] + node _T_1274 = shr(_T_1271, 4) @[Bitwise.scala 103:21] + node _T_1275 = and(_T_1274, _T_1273) @[Bitwise.scala 103:31] + node _T_1276 = bits(_T_1271, 3, 0) @[Bitwise.scala 103:46] + node _T_1277 = shl(_T_1276, 4) @[Bitwise.scala 103:65] + node _T_1278 = not(_T_1273) @[Bitwise.scala 103:77] + node _T_1279 = and(_T_1277, _T_1278) @[Bitwise.scala 103:75] + node _T_1280 = or(_T_1275, _T_1279) @[Bitwise.scala 103:39] + node _T_1281 = bits(_T_1273, 5, 0) @[Bitwise.scala 102:28] + node _T_1282 = shl(_T_1281, 2) @[Bitwise.scala 102:47] + node _T_1283 = xor(_T_1273, _T_1282) @[Bitwise.scala 102:21] + node _T_1284 = shr(_T_1280, 2) @[Bitwise.scala 103:21] + node _T_1285 = and(_T_1284, _T_1283) @[Bitwise.scala 103:31] + node _T_1286 = bits(_T_1280, 5, 0) @[Bitwise.scala 103:46] + node _T_1287 = shl(_T_1286, 2) @[Bitwise.scala 103:65] + node _T_1288 = not(_T_1283) @[Bitwise.scala 103:77] + node _T_1289 = and(_T_1287, _T_1288) @[Bitwise.scala 103:75] + node _T_1290 = or(_T_1285, _T_1289) @[Bitwise.scala 103:39] + node _T_1291 = bits(_T_1283, 6, 0) @[Bitwise.scala 102:28] + node _T_1292 = shl(_T_1291, 1) @[Bitwise.scala 102:47] + node _T_1293 = xor(_T_1283, _T_1292) @[Bitwise.scala 102:21] + node _T_1294 = shr(_T_1290, 1) @[Bitwise.scala 103:21] + node _T_1295 = and(_T_1294, _T_1293) @[Bitwise.scala 103:31] + node _T_1296 = bits(_T_1290, 6, 0) @[Bitwise.scala 103:46] + node _T_1297 = shl(_T_1296, 1) @[Bitwise.scala 103:65] + node _T_1298 = not(_T_1293) @[Bitwise.scala 103:77] + node _T_1299 = and(_T_1297, _T_1298) @[Bitwise.scala 103:75] + node _T_1300 = or(_T_1295, _T_1299) @[Bitwise.scala 103:39] + node _T_1301 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 262:105] + node _T_1302 = bits(_T_1301, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1303 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:133] + node _T_1304 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1305 = bits(_T_1304, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1306 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:228] + node _T_1307 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 262:258] + node _T_1308 = mux(_T_1305, _T_1306, _T_1307) @[lsu_dccm_ctl.scala 262:151] + node _T_1309 = mux(_T_1302, _T_1303, _T_1308) @[lsu_dccm_ctl.scala 262:86] + node _T_1310 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1311 = xor(UInt<8>("h0ff"), _T_1310) @[Bitwise.scala 102:21] + node _T_1312 = shr(_T_1309, 4) @[Bitwise.scala 103:21] + node _T_1313 = and(_T_1312, _T_1311) @[Bitwise.scala 103:31] + node _T_1314 = bits(_T_1309, 3, 0) @[Bitwise.scala 103:46] + node _T_1315 = shl(_T_1314, 4) @[Bitwise.scala 103:65] + node _T_1316 = not(_T_1311) @[Bitwise.scala 103:77] + node _T_1317 = and(_T_1315, _T_1316) @[Bitwise.scala 103:75] + node _T_1318 = or(_T_1313, _T_1317) @[Bitwise.scala 103:39] + node _T_1319 = bits(_T_1311, 5, 0) @[Bitwise.scala 102:28] + node _T_1320 = shl(_T_1319, 2) @[Bitwise.scala 102:47] + node _T_1321 = xor(_T_1311, _T_1320) @[Bitwise.scala 102:21] + node _T_1322 = shr(_T_1318, 2) @[Bitwise.scala 103:21] + node _T_1323 = and(_T_1322, _T_1321) @[Bitwise.scala 103:31] + node _T_1324 = bits(_T_1318, 5, 0) @[Bitwise.scala 103:46] + node _T_1325 = shl(_T_1324, 2) @[Bitwise.scala 103:65] + node _T_1326 = not(_T_1321) @[Bitwise.scala 103:77] + node _T_1327 = and(_T_1325, _T_1326) @[Bitwise.scala 103:75] + node _T_1328 = or(_T_1323, _T_1327) @[Bitwise.scala 103:39] + node _T_1329 = bits(_T_1321, 6, 0) @[Bitwise.scala 102:28] + node _T_1330 = shl(_T_1329, 1) @[Bitwise.scala 102:47] + node _T_1331 = xor(_T_1321, _T_1330) @[Bitwise.scala 102:21] + node _T_1332 = shr(_T_1328, 1) @[Bitwise.scala 103:21] + node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31] + node _T_1334 = bits(_T_1328, 6, 0) @[Bitwise.scala 103:46] + node _T_1335 = shl(_T_1334, 1) @[Bitwise.scala 103:65] + node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77] + node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75] + node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39] + node _T_1339 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 262:105] + node _T_1340 = bits(_T_1339, 0, 0) @[lsu_dccm_ctl.scala 262:111] + node _T_1341 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:133] + node _T_1342 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 262:177] + node _T_1343 = bits(_T_1342, 0, 0) @[lsu_dccm_ctl.scala 262:203] + node _T_1344 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:228] + node _T_1345 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 262:258] + node _T_1346 = mux(_T_1343, _T_1344, _T_1345) @[lsu_dccm_ctl.scala 262:151] + node _T_1347 = mux(_T_1340, _T_1341, _T_1346) @[lsu_dccm_ctl.scala 262:86] + node _T_1348 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1349 = xor(UInt<8>("h0ff"), _T_1348) @[Bitwise.scala 102:21] + node _T_1350 = shr(_T_1347, 4) @[Bitwise.scala 103:21] + node _T_1351 = and(_T_1350, _T_1349) @[Bitwise.scala 103:31] + node _T_1352 = bits(_T_1347, 3, 0) @[Bitwise.scala 103:46] + node _T_1353 = shl(_T_1352, 4) @[Bitwise.scala 103:65] + node _T_1354 = not(_T_1349) @[Bitwise.scala 103:77] + node _T_1355 = and(_T_1353, _T_1354) @[Bitwise.scala 103:75] + node _T_1356 = or(_T_1351, _T_1355) @[Bitwise.scala 103:39] + node _T_1357 = bits(_T_1349, 5, 0) @[Bitwise.scala 102:28] + node _T_1358 = shl(_T_1357, 2) @[Bitwise.scala 102:47] + node _T_1359 = xor(_T_1349, _T_1358) @[Bitwise.scala 102:21] + node _T_1360 = shr(_T_1356, 2) @[Bitwise.scala 103:21] + node _T_1361 = and(_T_1360, _T_1359) @[Bitwise.scala 103:31] + node _T_1362 = bits(_T_1356, 5, 0) @[Bitwise.scala 103:46] + node _T_1363 = shl(_T_1362, 2) @[Bitwise.scala 103:65] + node _T_1364 = not(_T_1359) @[Bitwise.scala 103:77] + node _T_1365 = and(_T_1363, _T_1364) @[Bitwise.scala 103:75] + node _T_1366 = or(_T_1361, _T_1365) @[Bitwise.scala 103:39] + node _T_1367 = bits(_T_1359, 6, 0) @[Bitwise.scala 102:28] + node _T_1368 = shl(_T_1367, 1) @[Bitwise.scala 102:47] + node _T_1369 = xor(_T_1359, _T_1368) @[Bitwise.scala 102:21] + node _T_1370 = shr(_T_1366, 1) @[Bitwise.scala 103:21] + node _T_1371 = and(_T_1370, _T_1369) @[Bitwise.scala 103:31] + node _T_1372 = bits(_T_1366, 6, 0) @[Bitwise.scala 103:46] + node _T_1373 = shl(_T_1372, 1) @[Bitwise.scala 103:65] + node _T_1374 = not(_T_1369) @[Bitwise.scala 103:77] + node _T_1375 = and(_T_1373, _T_1374) @[Bitwise.scala 103:75] + node _T_1376 = or(_T_1371, _T_1375) @[Bitwise.scala 103:39] + wire _T_1377 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:70] + _T_1377[0] <= _T_1262 @[lsu_dccm_ctl.scala 262:70] + _T_1377[1] <= _T_1300 @[lsu_dccm_ctl.scala 262:70] + _T_1377[2] <= _T_1338 @[lsu_dccm_ctl.scala 262:70] + _T_1377[3] <= _T_1376 @[lsu_dccm_ctl.scala 262:70] + node _T_1378 = cat(_T_1377[2], _T_1377[3]) @[Cat.scala 29:58] + node _T_1379 = cat(_T_1377[0], _T_1377[1]) @[Cat.scala 29:58] + node _T_1380 = cat(_T_1379, _T_1378) @[Cat.scala 29:58] + node _T_1381 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1382 = xor(UInt<32>("h0ffffffff"), _T_1381) @[Bitwise.scala 102:21] + node _T_1383 = shr(_T_1380, 16) @[Bitwise.scala 103:21] + node _T_1384 = and(_T_1383, _T_1382) @[Bitwise.scala 103:31] + node _T_1385 = bits(_T_1380, 15, 0) @[Bitwise.scala 103:46] + node _T_1386 = shl(_T_1385, 16) @[Bitwise.scala 103:65] + node _T_1387 = not(_T_1382) @[Bitwise.scala 103:77] + node _T_1388 = and(_T_1386, _T_1387) @[Bitwise.scala 103:75] + node _T_1389 = or(_T_1384, _T_1388) @[Bitwise.scala 103:39] + node _T_1390 = bits(_T_1382, 23, 0) @[Bitwise.scala 102:28] + node _T_1391 = shl(_T_1390, 8) @[Bitwise.scala 102:47] + node _T_1392 = xor(_T_1382, _T_1391) @[Bitwise.scala 102:21] + node _T_1393 = shr(_T_1389, 8) @[Bitwise.scala 103:21] + node _T_1394 = and(_T_1393, _T_1392) @[Bitwise.scala 103:31] + node _T_1395 = bits(_T_1389, 23, 0) @[Bitwise.scala 103:46] + node _T_1396 = shl(_T_1395, 8) @[Bitwise.scala 103:65] + node _T_1397 = not(_T_1392) @[Bitwise.scala 103:77] + node _T_1398 = and(_T_1396, _T_1397) @[Bitwise.scala 103:75] + node _T_1399 = or(_T_1394, _T_1398) @[Bitwise.scala 103:39] + node _T_1400 = bits(_T_1392, 27, 0) @[Bitwise.scala 102:28] + node _T_1401 = shl(_T_1400, 4) @[Bitwise.scala 102:47] + node _T_1402 = xor(_T_1392, _T_1401) @[Bitwise.scala 102:21] + node _T_1403 = shr(_T_1399, 4) @[Bitwise.scala 103:21] + node _T_1404 = and(_T_1403, _T_1402) @[Bitwise.scala 103:31] + node _T_1405 = bits(_T_1399, 27, 0) @[Bitwise.scala 103:46] + node _T_1406 = shl(_T_1405, 4) @[Bitwise.scala 103:65] + node _T_1407 = not(_T_1402) @[Bitwise.scala 103:77] + node _T_1408 = and(_T_1406, _T_1407) @[Bitwise.scala 103:75] + node _T_1409 = or(_T_1404, _T_1408) @[Bitwise.scala 103:39] + node _T_1410 = bits(_T_1402, 29, 0) @[Bitwise.scala 102:28] + node _T_1411 = shl(_T_1410, 2) @[Bitwise.scala 102:47] + node _T_1412 = xor(_T_1402, _T_1411) @[Bitwise.scala 102:21] + node _T_1413 = shr(_T_1409, 2) @[Bitwise.scala 103:21] + node _T_1414 = and(_T_1413, _T_1412) @[Bitwise.scala 103:31] + node _T_1415 = bits(_T_1409, 29, 0) @[Bitwise.scala 103:46] + node _T_1416 = shl(_T_1415, 2) @[Bitwise.scala 103:65] + node _T_1417 = not(_T_1412) @[Bitwise.scala 103:77] + node _T_1418 = and(_T_1416, _T_1417) @[Bitwise.scala 103:75] + node _T_1419 = or(_T_1414, _T_1418) @[Bitwise.scala 103:39] + node _T_1420 = bits(_T_1412, 30, 0) @[Bitwise.scala 102:28] + node _T_1421 = shl(_T_1420, 1) @[Bitwise.scala 102:47] + node _T_1422 = xor(_T_1412, _T_1421) @[Bitwise.scala 102:21] + node _T_1423 = shr(_T_1419, 1) @[Bitwise.scala 103:21] + node _T_1424 = and(_T_1423, _T_1422) @[Bitwise.scala 103:31] + node _T_1425 = bits(_T_1419, 30, 0) @[Bitwise.scala 103:46] + node _T_1426 = shl(_T_1425, 1) @[Bitwise.scala 103:65] + node _T_1427 = not(_T_1422) @[Bitwise.scala 103:77] + node _T_1428 = and(_T_1426, _T_1427) @[Bitwise.scala 103:75] + node _T_1429 = or(_T_1424, _T_1428) @[Bitwise.scala 103:39] + node _T_1430 = and(io.ldst_dual_m, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 262:295] + node _T_1431 = and(_T_1430, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 262:316] + node _T_1432 = or(_T_1431, io.clk_override) @[lsu_dccm_ctl.scala 262:343] + node _T_1433 = bits(_T_1432, 0, 0) @[lib.scala 8:44] + node _T_1434 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_1433 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_1434 @[lib.scala 372:24] + reg _T_1435 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1435 <= _T_1429 @[lib.scala 374:16] + io.store_data_hi_r <= _T_1435 @[lsu_dccm_ctl.scala 262:29] + node _T_1436 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1437 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 263:150] + node _T_1438 = eq(_T_1437, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1439 = and(_T_1436, _T_1438) @[lsu_dccm_ctl.scala 263:129] + node _T_1440 = bits(_T_1439, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1441 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 263:179] + node _T_1442 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 263:211] + node _T_1443 = mux(_T_1440, _T_1441, _T_1442) @[lsu_dccm_ctl.scala 263:79] + node _T_1444 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1445 = xor(UInt<8>("h0ff"), _T_1444) @[Bitwise.scala 102:21] + node _T_1446 = shr(_T_1443, 4) @[Bitwise.scala 103:21] + node _T_1447 = and(_T_1446, _T_1445) @[Bitwise.scala 103:31] + node _T_1448 = bits(_T_1443, 3, 0) @[Bitwise.scala 103:46] + node _T_1449 = shl(_T_1448, 4) @[Bitwise.scala 103:65] + node _T_1450 = not(_T_1445) @[Bitwise.scala 103:77] + node _T_1451 = and(_T_1449, _T_1450) @[Bitwise.scala 103:75] + node _T_1452 = or(_T_1447, _T_1451) @[Bitwise.scala 103:39] + node _T_1453 = bits(_T_1445, 5, 0) @[Bitwise.scala 102:28] + node _T_1454 = shl(_T_1453, 2) @[Bitwise.scala 102:47] + node _T_1455 = xor(_T_1445, _T_1454) @[Bitwise.scala 102:21] + node _T_1456 = shr(_T_1452, 2) @[Bitwise.scala 103:21] + node _T_1457 = and(_T_1456, _T_1455) @[Bitwise.scala 103:31] + node _T_1458 = bits(_T_1452, 5, 0) @[Bitwise.scala 103:46] + node _T_1459 = shl(_T_1458, 2) @[Bitwise.scala 103:65] + node _T_1460 = not(_T_1455) @[Bitwise.scala 103:77] + node _T_1461 = and(_T_1459, _T_1460) @[Bitwise.scala 103:75] + node _T_1462 = or(_T_1457, _T_1461) @[Bitwise.scala 103:39] + node _T_1463 = bits(_T_1455, 6, 0) @[Bitwise.scala 102:28] + node _T_1464 = shl(_T_1463, 1) @[Bitwise.scala 102:47] + node _T_1465 = xor(_T_1455, _T_1464) @[Bitwise.scala 102:21] + node _T_1466 = shr(_T_1462, 1) @[Bitwise.scala 103:21] + node _T_1467 = and(_T_1466, _T_1465) @[Bitwise.scala 103:31] + node _T_1468 = bits(_T_1462, 6, 0) @[Bitwise.scala 103:46] + node _T_1469 = shl(_T_1468, 1) @[Bitwise.scala 103:65] + node _T_1470 = not(_T_1465) @[Bitwise.scala 103:77] + node _T_1471 = and(_T_1469, _T_1470) @[Bitwise.scala 103:75] + node _T_1472 = or(_T_1467, _T_1471) @[Bitwise.scala 103:39] + node _T_1473 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1474 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 263:150] + node _T_1475 = eq(_T_1474, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1476 = and(_T_1473, _T_1475) @[lsu_dccm_ctl.scala 263:129] + node _T_1477 = bits(_T_1476, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1478 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 263:179] + node _T_1479 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 263:211] + node _T_1480 = mux(_T_1477, _T_1478, _T_1479) @[lsu_dccm_ctl.scala 263:79] + node _T_1481 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1482 = xor(UInt<8>("h0ff"), _T_1481) @[Bitwise.scala 102:21] + node _T_1483 = shr(_T_1480, 4) @[Bitwise.scala 103:21] + node _T_1484 = and(_T_1483, _T_1482) @[Bitwise.scala 103:31] + node _T_1485 = bits(_T_1480, 3, 0) @[Bitwise.scala 103:46] + node _T_1486 = shl(_T_1485, 4) @[Bitwise.scala 103:65] + node _T_1487 = not(_T_1482) @[Bitwise.scala 103:77] + node _T_1488 = and(_T_1486, _T_1487) @[Bitwise.scala 103:75] + node _T_1489 = or(_T_1484, _T_1488) @[Bitwise.scala 103:39] + node _T_1490 = bits(_T_1482, 5, 0) @[Bitwise.scala 102:28] + node _T_1491 = shl(_T_1490, 2) @[Bitwise.scala 102:47] + node _T_1492 = xor(_T_1482, _T_1491) @[Bitwise.scala 102:21] + node _T_1493 = shr(_T_1489, 2) @[Bitwise.scala 103:21] + node _T_1494 = and(_T_1493, _T_1492) @[Bitwise.scala 103:31] + node _T_1495 = bits(_T_1489, 5, 0) @[Bitwise.scala 103:46] + node _T_1496 = shl(_T_1495, 2) @[Bitwise.scala 103:65] + node _T_1497 = not(_T_1492) @[Bitwise.scala 103:77] + node _T_1498 = and(_T_1496, _T_1497) @[Bitwise.scala 103:75] + node _T_1499 = or(_T_1494, _T_1498) @[Bitwise.scala 103:39] + node _T_1500 = bits(_T_1492, 6, 0) @[Bitwise.scala 102:28] + node _T_1501 = shl(_T_1500, 1) @[Bitwise.scala 102:47] + node _T_1502 = xor(_T_1492, _T_1501) @[Bitwise.scala 102:21] + node _T_1503 = shr(_T_1499, 1) @[Bitwise.scala 103:21] + node _T_1504 = and(_T_1503, _T_1502) @[Bitwise.scala 103:31] + node _T_1505 = bits(_T_1499, 6, 0) @[Bitwise.scala 103:46] + node _T_1506 = shl(_T_1505, 1) @[Bitwise.scala 103:65] + node _T_1507 = not(_T_1502) @[Bitwise.scala 103:77] + node _T_1508 = and(_T_1506, _T_1507) @[Bitwise.scala 103:75] + node _T_1509 = or(_T_1504, _T_1508) @[Bitwise.scala 103:39] + node _T_1510 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1511 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 263:150] + node _T_1512 = eq(_T_1511, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1513 = and(_T_1510, _T_1512) @[lsu_dccm_ctl.scala 263:129] + node _T_1514 = bits(_T_1513, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1515 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 263:179] + node _T_1516 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 263:211] + node _T_1517 = mux(_T_1514, _T_1515, _T_1516) @[lsu_dccm_ctl.scala 263:79] + node _T_1518 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1519 = xor(UInt<8>("h0ff"), _T_1518) @[Bitwise.scala 102:21] + node _T_1520 = shr(_T_1517, 4) @[Bitwise.scala 103:21] + node _T_1521 = and(_T_1520, _T_1519) @[Bitwise.scala 103:31] + node _T_1522 = bits(_T_1517, 3, 0) @[Bitwise.scala 103:46] + node _T_1523 = shl(_T_1522, 4) @[Bitwise.scala 103:65] + node _T_1524 = not(_T_1519) @[Bitwise.scala 103:77] + node _T_1525 = and(_T_1523, _T_1524) @[Bitwise.scala 103:75] + node _T_1526 = or(_T_1521, _T_1525) @[Bitwise.scala 103:39] + node _T_1527 = bits(_T_1519, 5, 0) @[Bitwise.scala 102:28] + node _T_1528 = shl(_T_1527, 2) @[Bitwise.scala 102:47] + node _T_1529 = xor(_T_1519, _T_1528) @[Bitwise.scala 102:21] + node _T_1530 = shr(_T_1526, 2) @[Bitwise.scala 103:21] + node _T_1531 = and(_T_1530, _T_1529) @[Bitwise.scala 103:31] + node _T_1532 = bits(_T_1526, 5, 0) @[Bitwise.scala 103:46] + node _T_1533 = shl(_T_1532, 2) @[Bitwise.scala 103:65] + node _T_1534 = not(_T_1529) @[Bitwise.scala 103:77] + node _T_1535 = and(_T_1533, _T_1534) @[Bitwise.scala 103:75] + node _T_1536 = or(_T_1531, _T_1535) @[Bitwise.scala 103:39] + node _T_1537 = bits(_T_1529, 6, 0) @[Bitwise.scala 102:28] + node _T_1538 = shl(_T_1537, 1) @[Bitwise.scala 102:47] + node _T_1539 = xor(_T_1529, _T_1538) @[Bitwise.scala 102:21] + node _T_1540 = shr(_T_1536, 1) @[Bitwise.scala 103:21] + node _T_1541 = and(_T_1540, _T_1539) @[Bitwise.scala 103:31] + node _T_1542 = bits(_T_1536, 6, 0) @[Bitwise.scala 103:46] + node _T_1543 = shl(_T_1542, 1) @[Bitwise.scala 103:65] + node _T_1544 = not(_T_1539) @[Bitwise.scala 103:77] + node _T_1545 = and(_T_1543, _T_1544) @[Bitwise.scala 103:75] + node _T_1546 = or(_T_1541, _T_1545) @[Bitwise.scala 103:39] + node _T_1547 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 263:105] + node _T_1548 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 263:150] + node _T_1549 = eq(_T_1548, UInt<1>("h00")) @[lsu_dccm_ctl.scala 263:131] + node _T_1550 = and(_T_1547, _T_1549) @[lsu_dccm_ctl.scala 263:129] + node _T_1551 = bits(_T_1550, 0, 0) @[lsu_dccm_ctl.scala 263:155] + node _T_1552 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 263:179] + node _T_1553 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 263:211] + node _T_1554 = mux(_T_1551, _T_1552, _T_1553) @[lsu_dccm_ctl.scala 263:79] + node _T_1555 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1556 = xor(UInt<8>("h0ff"), _T_1555) @[Bitwise.scala 102:21] + node _T_1557 = shr(_T_1554, 4) @[Bitwise.scala 103:21] + node _T_1558 = and(_T_1557, _T_1556) @[Bitwise.scala 103:31] + node _T_1559 = bits(_T_1554, 3, 0) @[Bitwise.scala 103:46] + node _T_1560 = shl(_T_1559, 4) @[Bitwise.scala 103:65] + node _T_1561 = not(_T_1556) @[Bitwise.scala 103:77] + node _T_1562 = and(_T_1560, _T_1561) @[Bitwise.scala 103:75] + node _T_1563 = or(_T_1558, _T_1562) @[Bitwise.scala 103:39] + node _T_1564 = bits(_T_1556, 5, 0) @[Bitwise.scala 102:28] + node _T_1565 = shl(_T_1564, 2) @[Bitwise.scala 102:47] + node _T_1566 = xor(_T_1556, _T_1565) @[Bitwise.scala 102:21] + node _T_1567 = shr(_T_1563, 2) @[Bitwise.scala 103:21] + node _T_1568 = and(_T_1567, _T_1566) @[Bitwise.scala 103:31] + node _T_1569 = bits(_T_1563, 5, 0) @[Bitwise.scala 103:46] + node _T_1570 = shl(_T_1569, 2) @[Bitwise.scala 103:65] + node _T_1571 = not(_T_1566) @[Bitwise.scala 103:77] + node _T_1572 = and(_T_1570, _T_1571) @[Bitwise.scala 103:75] + node _T_1573 = or(_T_1568, _T_1572) @[Bitwise.scala 103:39] + node _T_1574 = bits(_T_1566, 6, 0) @[Bitwise.scala 102:28] + node _T_1575 = shl(_T_1574, 1) @[Bitwise.scala 102:47] + node _T_1576 = xor(_T_1566, _T_1575) @[Bitwise.scala 102:21] + node _T_1577 = shr(_T_1573, 1) @[Bitwise.scala 103:21] + node _T_1578 = and(_T_1577, _T_1576) @[Bitwise.scala 103:31] + node _T_1579 = bits(_T_1573, 6, 0) @[Bitwise.scala 103:46] + node _T_1580 = shl(_T_1579, 1) @[Bitwise.scala 103:65] + node _T_1581 = not(_T_1576) @[Bitwise.scala 103:77] + node _T_1582 = and(_T_1580, _T_1581) @[Bitwise.scala 103:75] + node _T_1583 = or(_T_1578, _T_1582) @[Bitwise.scala 103:39] + wire _T_1584 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:63] + _T_1584[0] <= _T_1472 @[lsu_dccm_ctl.scala 263:63] + _T_1584[1] <= _T_1509 @[lsu_dccm_ctl.scala 263:63] + _T_1584[2] <= _T_1546 @[lsu_dccm_ctl.scala 263:63] + _T_1584[3] <= _T_1583 @[lsu_dccm_ctl.scala 263:63] + node _T_1585 = cat(_T_1584[2], _T_1584[3]) @[Cat.scala 29:58] + node _T_1586 = cat(_T_1584[0], _T_1584[1]) @[Cat.scala 29:58] + node _T_1587 = cat(_T_1586, _T_1585) @[Cat.scala 29:58] + node _T_1588 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1589 = xor(UInt<32>("h0ffffffff"), _T_1588) @[Bitwise.scala 102:21] + node _T_1590 = shr(_T_1587, 16) @[Bitwise.scala 103:21] + node _T_1591 = and(_T_1590, _T_1589) @[Bitwise.scala 103:31] + node _T_1592 = bits(_T_1587, 15, 0) @[Bitwise.scala 103:46] + node _T_1593 = shl(_T_1592, 16) @[Bitwise.scala 103:65] + node _T_1594 = not(_T_1589) @[Bitwise.scala 103:77] + node _T_1595 = and(_T_1593, _T_1594) @[Bitwise.scala 103:75] + node _T_1596 = or(_T_1591, _T_1595) @[Bitwise.scala 103:39] + node _T_1597 = bits(_T_1589, 23, 0) @[Bitwise.scala 102:28] + node _T_1598 = shl(_T_1597, 8) @[Bitwise.scala 102:47] + node _T_1599 = xor(_T_1589, _T_1598) @[Bitwise.scala 102:21] + node _T_1600 = shr(_T_1596, 8) @[Bitwise.scala 103:21] + node _T_1601 = and(_T_1600, _T_1599) @[Bitwise.scala 103:31] + node _T_1602 = bits(_T_1596, 23, 0) @[Bitwise.scala 103:46] + node _T_1603 = shl(_T_1602, 8) @[Bitwise.scala 103:65] + node _T_1604 = not(_T_1599) @[Bitwise.scala 103:77] + node _T_1605 = and(_T_1603, _T_1604) @[Bitwise.scala 103:75] + node _T_1606 = or(_T_1601, _T_1605) @[Bitwise.scala 103:39] + node _T_1607 = bits(_T_1599, 27, 0) @[Bitwise.scala 102:28] + node _T_1608 = shl(_T_1607, 4) @[Bitwise.scala 102:47] + node _T_1609 = xor(_T_1599, _T_1608) @[Bitwise.scala 102:21] + node _T_1610 = shr(_T_1606, 4) @[Bitwise.scala 103:21] + node _T_1611 = and(_T_1610, _T_1609) @[Bitwise.scala 103:31] + node _T_1612 = bits(_T_1606, 27, 0) @[Bitwise.scala 103:46] + node _T_1613 = shl(_T_1612, 4) @[Bitwise.scala 103:65] + node _T_1614 = not(_T_1609) @[Bitwise.scala 103:77] + node _T_1615 = and(_T_1613, _T_1614) @[Bitwise.scala 103:75] + node _T_1616 = or(_T_1611, _T_1615) @[Bitwise.scala 103:39] + node _T_1617 = bits(_T_1609, 29, 0) @[Bitwise.scala 102:28] + node _T_1618 = shl(_T_1617, 2) @[Bitwise.scala 102:47] + node _T_1619 = xor(_T_1609, _T_1618) @[Bitwise.scala 102:21] + node _T_1620 = shr(_T_1616, 2) @[Bitwise.scala 103:21] + node _T_1621 = and(_T_1620, _T_1619) @[Bitwise.scala 103:31] + node _T_1622 = bits(_T_1616, 29, 0) @[Bitwise.scala 103:46] + node _T_1623 = shl(_T_1622, 2) @[Bitwise.scala 103:65] + node _T_1624 = not(_T_1619) @[Bitwise.scala 103:77] + node _T_1625 = and(_T_1623, _T_1624) @[Bitwise.scala 103:75] + node _T_1626 = or(_T_1621, _T_1625) @[Bitwise.scala 103:39] + node _T_1627 = bits(_T_1619, 30, 0) @[Bitwise.scala 102:28] + node _T_1628 = shl(_T_1627, 1) @[Bitwise.scala 102:47] + node _T_1629 = xor(_T_1619, _T_1628) @[Bitwise.scala 102:21] + node _T_1630 = shr(_T_1626, 1) @[Bitwise.scala 103:21] + node _T_1631 = and(_T_1630, _T_1629) @[Bitwise.scala 103:31] + node _T_1632 = bits(_T_1626, 30, 0) @[Bitwise.scala 103:46] + node _T_1633 = shl(_T_1632, 1) @[Bitwise.scala 103:65] + node _T_1634 = not(_T_1629) @[Bitwise.scala 103:77] + node _T_1635 = and(_T_1633, _T_1634) @[Bitwise.scala 103:75] + node _T_1636 = or(_T_1631, _T_1635) @[Bitwise.scala 103:39] + io.store_datafn_lo_r <= _T_1636 @[lsu_dccm_ctl.scala 263:29] + node _T_1637 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1638 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 264:150] + node _T_1639 = eq(_T_1638, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1640 = and(_T_1637, _T_1639) @[lsu_dccm_ctl.scala 264:129] + node _T_1641 = bits(_T_1640, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1642 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 264:181] + node _T_1643 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 264:213] + node _T_1644 = mux(_T_1641, _T_1642, _T_1643) @[lsu_dccm_ctl.scala 264:79] + node _T_1645 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1646 = xor(UInt<8>("h0ff"), _T_1645) @[Bitwise.scala 102:21] + node _T_1647 = shr(_T_1644, 4) @[Bitwise.scala 103:21] + node _T_1648 = and(_T_1647, _T_1646) @[Bitwise.scala 103:31] + node _T_1649 = bits(_T_1644, 3, 0) @[Bitwise.scala 103:46] + node _T_1650 = shl(_T_1649, 4) @[Bitwise.scala 103:65] + node _T_1651 = not(_T_1646) @[Bitwise.scala 103:77] + node _T_1652 = and(_T_1650, _T_1651) @[Bitwise.scala 103:75] + node _T_1653 = or(_T_1648, _T_1652) @[Bitwise.scala 103:39] + node _T_1654 = bits(_T_1646, 5, 0) @[Bitwise.scala 102:28] + node _T_1655 = shl(_T_1654, 2) @[Bitwise.scala 102:47] + node _T_1656 = xor(_T_1646, _T_1655) @[Bitwise.scala 102:21] + node _T_1657 = shr(_T_1653, 2) @[Bitwise.scala 103:21] + node _T_1658 = and(_T_1657, _T_1656) @[Bitwise.scala 103:31] + node _T_1659 = bits(_T_1653, 5, 0) @[Bitwise.scala 103:46] + node _T_1660 = shl(_T_1659, 2) @[Bitwise.scala 103:65] + node _T_1661 = not(_T_1656) @[Bitwise.scala 103:77] + node _T_1662 = and(_T_1660, _T_1661) @[Bitwise.scala 103:75] + node _T_1663 = or(_T_1658, _T_1662) @[Bitwise.scala 103:39] + node _T_1664 = bits(_T_1656, 6, 0) @[Bitwise.scala 102:28] + node _T_1665 = shl(_T_1664, 1) @[Bitwise.scala 102:47] + node _T_1666 = xor(_T_1656, _T_1665) @[Bitwise.scala 102:21] + node _T_1667 = shr(_T_1663, 1) @[Bitwise.scala 103:21] + node _T_1668 = and(_T_1667, _T_1666) @[Bitwise.scala 103:31] + node _T_1669 = bits(_T_1663, 6, 0) @[Bitwise.scala 103:46] + node _T_1670 = shl(_T_1669, 1) @[Bitwise.scala 103:65] + node _T_1671 = not(_T_1666) @[Bitwise.scala 103:77] + node _T_1672 = and(_T_1670, _T_1671) @[Bitwise.scala 103:75] + node _T_1673 = or(_T_1668, _T_1672) @[Bitwise.scala 103:39] + node _T_1674 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1675 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 264:150] + node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1677 = and(_T_1674, _T_1676) @[lsu_dccm_ctl.scala 264:129] + node _T_1678 = bits(_T_1677, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1679 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 264:181] + node _T_1680 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 264:213] + node _T_1681 = mux(_T_1678, _T_1679, _T_1680) @[lsu_dccm_ctl.scala 264:79] + node _T_1682 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1683 = xor(UInt<8>("h0ff"), _T_1682) @[Bitwise.scala 102:21] + node _T_1684 = shr(_T_1681, 4) @[Bitwise.scala 103:21] + node _T_1685 = and(_T_1684, _T_1683) @[Bitwise.scala 103:31] + node _T_1686 = bits(_T_1681, 3, 0) @[Bitwise.scala 103:46] + node _T_1687 = shl(_T_1686, 4) @[Bitwise.scala 103:65] + node _T_1688 = not(_T_1683) @[Bitwise.scala 103:77] + node _T_1689 = and(_T_1687, _T_1688) @[Bitwise.scala 103:75] + node _T_1690 = or(_T_1685, _T_1689) @[Bitwise.scala 103:39] + node _T_1691 = bits(_T_1683, 5, 0) @[Bitwise.scala 102:28] + node _T_1692 = shl(_T_1691, 2) @[Bitwise.scala 102:47] + node _T_1693 = xor(_T_1683, _T_1692) @[Bitwise.scala 102:21] + node _T_1694 = shr(_T_1690, 2) @[Bitwise.scala 103:21] + node _T_1695 = and(_T_1694, _T_1693) @[Bitwise.scala 103:31] + node _T_1696 = bits(_T_1690, 5, 0) @[Bitwise.scala 103:46] + node _T_1697 = shl(_T_1696, 2) @[Bitwise.scala 103:65] + node _T_1698 = not(_T_1693) @[Bitwise.scala 103:77] + node _T_1699 = and(_T_1697, _T_1698) @[Bitwise.scala 103:75] + node _T_1700 = or(_T_1695, _T_1699) @[Bitwise.scala 103:39] + node _T_1701 = bits(_T_1693, 6, 0) @[Bitwise.scala 102:28] + node _T_1702 = shl(_T_1701, 1) @[Bitwise.scala 102:47] + node _T_1703 = xor(_T_1693, _T_1702) @[Bitwise.scala 102:21] + node _T_1704 = shr(_T_1700, 1) @[Bitwise.scala 103:21] + node _T_1705 = and(_T_1704, _T_1703) @[Bitwise.scala 103:31] + node _T_1706 = bits(_T_1700, 6, 0) @[Bitwise.scala 103:46] + node _T_1707 = shl(_T_1706, 1) @[Bitwise.scala 103:65] + node _T_1708 = not(_T_1703) @[Bitwise.scala 103:77] + node _T_1709 = and(_T_1707, _T_1708) @[Bitwise.scala 103:75] + node _T_1710 = or(_T_1705, _T_1709) @[Bitwise.scala 103:39] + node _T_1711 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1712 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 264:150] + node _T_1713 = eq(_T_1712, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1714 = and(_T_1711, _T_1713) @[lsu_dccm_ctl.scala 264:129] + node _T_1715 = bits(_T_1714, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1716 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 264:181] + node _T_1717 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 264:213] + node _T_1718 = mux(_T_1715, _T_1716, _T_1717) @[lsu_dccm_ctl.scala 264:79] + node _T_1719 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1720 = xor(UInt<8>("h0ff"), _T_1719) @[Bitwise.scala 102:21] + node _T_1721 = shr(_T_1718, 4) @[Bitwise.scala 103:21] + node _T_1722 = and(_T_1721, _T_1720) @[Bitwise.scala 103:31] + node _T_1723 = bits(_T_1718, 3, 0) @[Bitwise.scala 103:46] + node _T_1724 = shl(_T_1723, 4) @[Bitwise.scala 103:65] + node _T_1725 = not(_T_1720) @[Bitwise.scala 103:77] + node _T_1726 = and(_T_1724, _T_1725) @[Bitwise.scala 103:75] + node _T_1727 = or(_T_1722, _T_1726) @[Bitwise.scala 103:39] + node _T_1728 = bits(_T_1720, 5, 0) @[Bitwise.scala 102:28] + node _T_1729 = shl(_T_1728, 2) @[Bitwise.scala 102:47] + node _T_1730 = xor(_T_1720, _T_1729) @[Bitwise.scala 102:21] + node _T_1731 = shr(_T_1727, 2) @[Bitwise.scala 103:21] + node _T_1732 = and(_T_1731, _T_1730) @[Bitwise.scala 103:31] + node _T_1733 = bits(_T_1727, 5, 0) @[Bitwise.scala 103:46] + node _T_1734 = shl(_T_1733, 2) @[Bitwise.scala 103:65] + node _T_1735 = not(_T_1730) @[Bitwise.scala 103:77] + node _T_1736 = and(_T_1734, _T_1735) @[Bitwise.scala 103:75] + node _T_1737 = or(_T_1732, _T_1736) @[Bitwise.scala 103:39] + node _T_1738 = bits(_T_1730, 6, 0) @[Bitwise.scala 102:28] + node _T_1739 = shl(_T_1738, 1) @[Bitwise.scala 102:47] + node _T_1740 = xor(_T_1730, _T_1739) @[Bitwise.scala 102:21] + node _T_1741 = shr(_T_1737, 1) @[Bitwise.scala 103:21] + node _T_1742 = and(_T_1741, _T_1740) @[Bitwise.scala 103:31] + node _T_1743 = bits(_T_1737, 6, 0) @[Bitwise.scala 103:46] + node _T_1744 = shl(_T_1743, 1) @[Bitwise.scala 103:65] + node _T_1745 = not(_T_1740) @[Bitwise.scala 103:77] + node _T_1746 = and(_T_1744, _T_1745) @[Bitwise.scala 103:75] + node _T_1747 = or(_T_1742, _T_1746) @[Bitwise.scala 103:39] + node _T_1748 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 264:105] + node _T_1749 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 264:150] + node _T_1750 = eq(_T_1749, UInt<1>("h00")) @[lsu_dccm_ctl.scala 264:131] + node _T_1751 = and(_T_1748, _T_1750) @[lsu_dccm_ctl.scala 264:129] + node _T_1752 = bits(_T_1751, 0, 0) @[lsu_dccm_ctl.scala 264:157] + node _T_1753 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 264:181] + node _T_1754 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 264:213] + node _T_1755 = mux(_T_1752, _T_1753, _T_1754) @[lsu_dccm_ctl.scala 264:79] + node _T_1756 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1757 = xor(UInt<8>("h0ff"), _T_1756) @[Bitwise.scala 102:21] + node _T_1758 = shr(_T_1755, 4) @[Bitwise.scala 103:21] + node _T_1759 = and(_T_1758, _T_1757) @[Bitwise.scala 103:31] + node _T_1760 = bits(_T_1755, 3, 0) @[Bitwise.scala 103:46] + node _T_1761 = shl(_T_1760, 4) @[Bitwise.scala 103:65] + node _T_1762 = not(_T_1757) @[Bitwise.scala 103:77] + node _T_1763 = and(_T_1761, _T_1762) @[Bitwise.scala 103:75] + node _T_1764 = or(_T_1759, _T_1763) @[Bitwise.scala 103:39] + node _T_1765 = bits(_T_1757, 5, 0) @[Bitwise.scala 102:28] + node _T_1766 = shl(_T_1765, 2) @[Bitwise.scala 102:47] + node _T_1767 = xor(_T_1757, _T_1766) @[Bitwise.scala 102:21] + node _T_1768 = shr(_T_1764, 2) @[Bitwise.scala 103:21] + node _T_1769 = and(_T_1768, _T_1767) @[Bitwise.scala 103:31] + node _T_1770 = bits(_T_1764, 5, 0) @[Bitwise.scala 103:46] + node _T_1771 = shl(_T_1770, 2) @[Bitwise.scala 103:65] + node _T_1772 = not(_T_1767) @[Bitwise.scala 103:77] + node _T_1773 = and(_T_1771, _T_1772) @[Bitwise.scala 103:75] + node _T_1774 = or(_T_1769, _T_1773) @[Bitwise.scala 103:39] + node _T_1775 = bits(_T_1767, 6, 0) @[Bitwise.scala 102:28] + node _T_1776 = shl(_T_1775, 1) @[Bitwise.scala 102:47] + node _T_1777 = xor(_T_1767, _T_1776) @[Bitwise.scala 102:21] + node _T_1778 = shr(_T_1774, 1) @[Bitwise.scala 103:21] + node _T_1779 = and(_T_1778, _T_1777) @[Bitwise.scala 103:31] + node _T_1780 = bits(_T_1774, 6, 0) @[Bitwise.scala 103:46] + node _T_1781 = shl(_T_1780, 1) @[Bitwise.scala 103:65] + node _T_1782 = not(_T_1777) @[Bitwise.scala 103:77] + node _T_1783 = and(_T_1781, _T_1782) @[Bitwise.scala 103:75] + node _T_1784 = or(_T_1779, _T_1783) @[Bitwise.scala 103:39] + wire _T_1785 : UInt<8>[4] @[lsu_dccm_ctl.scala 264:63] + _T_1785[0] <= _T_1673 @[lsu_dccm_ctl.scala 264:63] + _T_1785[1] <= _T_1710 @[lsu_dccm_ctl.scala 264:63] + _T_1785[2] <= _T_1747 @[lsu_dccm_ctl.scala 264:63] + _T_1785[3] <= _T_1784 @[lsu_dccm_ctl.scala 264:63] + node _T_1786 = cat(_T_1785[2], _T_1785[3]) @[Cat.scala 29:58] + node _T_1787 = cat(_T_1785[0], _T_1785[1]) @[Cat.scala 29:58] + node _T_1788 = cat(_T_1787, _T_1786) @[Cat.scala 29:58] + node _T_1789 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1790 = xor(UInt<32>("h0ffffffff"), _T_1789) @[Bitwise.scala 102:21] + node _T_1791 = shr(_T_1788, 16) @[Bitwise.scala 103:21] + node _T_1792 = and(_T_1791, _T_1790) @[Bitwise.scala 103:31] + node _T_1793 = bits(_T_1788, 15, 0) @[Bitwise.scala 103:46] + node _T_1794 = shl(_T_1793, 16) @[Bitwise.scala 103:65] + node _T_1795 = not(_T_1790) @[Bitwise.scala 103:77] + node _T_1796 = and(_T_1794, _T_1795) @[Bitwise.scala 103:75] + node _T_1797 = or(_T_1792, _T_1796) @[Bitwise.scala 103:39] + node _T_1798 = bits(_T_1790, 23, 0) @[Bitwise.scala 102:28] + node _T_1799 = shl(_T_1798, 8) @[Bitwise.scala 102:47] + node _T_1800 = xor(_T_1790, _T_1799) @[Bitwise.scala 102:21] + node _T_1801 = shr(_T_1797, 8) @[Bitwise.scala 103:21] + node _T_1802 = and(_T_1801, _T_1800) @[Bitwise.scala 103:31] + node _T_1803 = bits(_T_1797, 23, 0) @[Bitwise.scala 103:46] + node _T_1804 = shl(_T_1803, 8) @[Bitwise.scala 103:65] + node _T_1805 = not(_T_1800) @[Bitwise.scala 103:77] + node _T_1806 = and(_T_1804, _T_1805) @[Bitwise.scala 103:75] + node _T_1807 = or(_T_1802, _T_1806) @[Bitwise.scala 103:39] + node _T_1808 = bits(_T_1800, 27, 0) @[Bitwise.scala 102:28] + node _T_1809 = shl(_T_1808, 4) @[Bitwise.scala 102:47] + node _T_1810 = xor(_T_1800, _T_1809) @[Bitwise.scala 102:21] + node _T_1811 = shr(_T_1807, 4) @[Bitwise.scala 103:21] + node _T_1812 = and(_T_1811, _T_1810) @[Bitwise.scala 103:31] + node _T_1813 = bits(_T_1807, 27, 0) @[Bitwise.scala 103:46] + node _T_1814 = shl(_T_1813, 4) @[Bitwise.scala 103:65] + node _T_1815 = not(_T_1810) @[Bitwise.scala 103:77] + node _T_1816 = and(_T_1814, _T_1815) @[Bitwise.scala 103:75] + node _T_1817 = or(_T_1812, _T_1816) @[Bitwise.scala 103:39] + node _T_1818 = bits(_T_1810, 29, 0) @[Bitwise.scala 102:28] + node _T_1819 = shl(_T_1818, 2) @[Bitwise.scala 102:47] + node _T_1820 = xor(_T_1810, _T_1819) @[Bitwise.scala 102:21] + node _T_1821 = shr(_T_1817, 2) @[Bitwise.scala 103:21] + node _T_1822 = and(_T_1821, _T_1820) @[Bitwise.scala 103:31] + node _T_1823 = bits(_T_1817, 29, 0) @[Bitwise.scala 103:46] + node _T_1824 = shl(_T_1823, 2) @[Bitwise.scala 103:65] + node _T_1825 = not(_T_1820) @[Bitwise.scala 103:77] + node _T_1826 = and(_T_1824, _T_1825) @[Bitwise.scala 103:75] + node _T_1827 = or(_T_1822, _T_1826) @[Bitwise.scala 103:39] + node _T_1828 = bits(_T_1820, 30, 0) @[Bitwise.scala 102:28] + node _T_1829 = shl(_T_1828, 1) @[Bitwise.scala 102:47] + node _T_1830 = xor(_T_1820, _T_1829) @[Bitwise.scala 102:21] + node _T_1831 = shr(_T_1827, 1) @[Bitwise.scala 103:21] + node _T_1832 = and(_T_1831, _T_1830) @[Bitwise.scala 103:31] + node _T_1833 = bits(_T_1827, 30, 0) @[Bitwise.scala 103:46] + node _T_1834 = shl(_T_1833, 1) @[Bitwise.scala 103:65] + node _T_1835 = not(_T_1830) @[Bitwise.scala 103:77] + node _T_1836 = and(_T_1834, _T_1835) @[Bitwise.scala 103:75] + node _T_1837 = or(_T_1832, _T_1836) @[Bitwise.scala 103:39] + io.store_datafn_hi_r <= _T_1837 @[lsu_dccm_ctl.scala 264:29] + node _T_1838 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 265:55] + node _T_1839 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 265:80] + node _T_1840 = cat(_T_1838, _T_1839) @[Cat.scala 29:58] + node _T_1841 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 265:108] + node _T_1842 = mul(UInt<4>("h08"), _T_1841) @[lsu_dccm_ctl.scala 265:94] + node _T_1843 = dshr(_T_1840, _T_1842) @[lsu_dccm_ctl.scala 265:88] + node _T_1844 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 265:174] + node _T_1845 = bits(_T_1844, 0, 0) @[Bitwise.scala 72:15] + node _T_1846 = mux(_T_1845, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1847 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 265:174] + node _T_1848 = bits(_T_1847, 0, 0) @[Bitwise.scala 72:15] + node _T_1849 = mux(_T_1848, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1850 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 265:174] + node _T_1851 = bits(_T_1850, 0, 0) @[Bitwise.scala 72:15] + node _T_1852 = mux(_T_1851, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1853 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 265:174] + node _T_1854 = bits(_T_1853, 0, 0) @[Bitwise.scala 72:15] + node _T_1855 = mux(_T_1854, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + wire _T_1856 : UInt<8>[4] @[lsu_dccm_ctl.scala 265:148] + _T_1856[0] <= _T_1846 @[lsu_dccm_ctl.scala 265:148] + _T_1856[1] <= _T_1849 @[lsu_dccm_ctl.scala 265:148] + _T_1856[2] <= _T_1852 @[lsu_dccm_ctl.scala 265:148] + _T_1856[3] <= _T_1855 @[lsu_dccm_ctl.scala 265:148] + node _T_1857 = cat(_T_1856[2], _T_1856[3]) @[Cat.scala 29:58] + node _T_1858 = cat(_T_1856[0], _T_1856[1]) @[Cat.scala 29:58] + node _T_1859 = cat(_T_1858, _T_1857) @[Cat.scala 29:58] + node _T_1860 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1861 = xor(UInt<32>("h0ffffffff"), _T_1860) @[Bitwise.scala 102:21] + node _T_1862 = shr(_T_1859, 16) @[Bitwise.scala 103:21] + node _T_1863 = and(_T_1862, _T_1861) @[Bitwise.scala 103:31] + node _T_1864 = bits(_T_1859, 15, 0) @[Bitwise.scala 103:46] + node _T_1865 = shl(_T_1864, 16) @[Bitwise.scala 103:65] + node _T_1866 = not(_T_1861) @[Bitwise.scala 103:77] + node _T_1867 = and(_T_1865, _T_1866) @[Bitwise.scala 103:75] + node _T_1868 = or(_T_1863, _T_1867) @[Bitwise.scala 103:39] + node _T_1869 = bits(_T_1861, 23, 0) @[Bitwise.scala 102:28] + node _T_1870 = shl(_T_1869, 8) @[Bitwise.scala 102:47] + node _T_1871 = xor(_T_1861, _T_1870) @[Bitwise.scala 102:21] + node _T_1872 = shr(_T_1868, 8) @[Bitwise.scala 103:21] + node _T_1873 = and(_T_1872, _T_1871) @[Bitwise.scala 103:31] + node _T_1874 = bits(_T_1868, 23, 0) @[Bitwise.scala 103:46] + node _T_1875 = shl(_T_1874, 8) @[Bitwise.scala 103:65] + node _T_1876 = not(_T_1871) @[Bitwise.scala 103:77] + node _T_1877 = and(_T_1875, _T_1876) @[Bitwise.scala 103:75] + node _T_1878 = or(_T_1873, _T_1877) @[Bitwise.scala 103:39] + node _T_1879 = bits(_T_1871, 27, 0) @[Bitwise.scala 102:28] + node _T_1880 = shl(_T_1879, 4) @[Bitwise.scala 102:47] + node _T_1881 = xor(_T_1871, _T_1880) @[Bitwise.scala 102:21] + node _T_1882 = shr(_T_1878, 4) @[Bitwise.scala 103:21] + node _T_1883 = and(_T_1882, _T_1881) @[Bitwise.scala 103:31] + node _T_1884 = bits(_T_1878, 27, 0) @[Bitwise.scala 103:46] + node _T_1885 = shl(_T_1884, 4) @[Bitwise.scala 103:65] + node _T_1886 = not(_T_1881) @[Bitwise.scala 103:77] + node _T_1887 = and(_T_1885, _T_1886) @[Bitwise.scala 103:75] + node _T_1888 = or(_T_1883, _T_1887) @[Bitwise.scala 103:39] + node _T_1889 = bits(_T_1881, 29, 0) @[Bitwise.scala 102:28] + node _T_1890 = shl(_T_1889, 2) @[Bitwise.scala 102:47] + node _T_1891 = xor(_T_1881, _T_1890) @[Bitwise.scala 102:21] + node _T_1892 = shr(_T_1888, 2) @[Bitwise.scala 103:21] + node _T_1893 = and(_T_1892, _T_1891) @[Bitwise.scala 103:31] + node _T_1894 = bits(_T_1888, 29, 0) @[Bitwise.scala 103:46] + node _T_1895 = shl(_T_1894, 2) @[Bitwise.scala 103:65] + node _T_1896 = not(_T_1891) @[Bitwise.scala 103:77] + node _T_1897 = and(_T_1895, _T_1896) @[Bitwise.scala 103:75] + node _T_1898 = or(_T_1893, _T_1897) @[Bitwise.scala 103:39] + node _T_1899 = bits(_T_1891, 30, 0) @[Bitwise.scala 102:28] + node _T_1900 = shl(_T_1899, 1) @[Bitwise.scala 102:47] + node _T_1901 = xor(_T_1891, _T_1900) @[Bitwise.scala 102:21] + node _T_1902 = shr(_T_1898, 1) @[Bitwise.scala 103:21] + node _T_1903 = and(_T_1902, _T_1901) @[Bitwise.scala 103:31] + node _T_1904 = bits(_T_1898, 30, 0) @[Bitwise.scala 103:46] + node _T_1905 = shl(_T_1904, 1) @[Bitwise.scala 103:65] + node _T_1906 = not(_T_1901) @[Bitwise.scala 103:77] + node _T_1907 = and(_T_1905, _T_1906) @[Bitwise.scala 103:75] + node _T_1908 = or(_T_1903, _T_1907) @[Bitwise.scala 103:39] + node _T_1909 = and(_T_1843, _T_1908) @[lsu_dccm_ctl.scala 265:115] + io.store_data_r <= _T_1909 @[lsu_dccm_ctl.scala 265:29] + node _T_1910 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 267:48] + io.dccm_rdata_lo_m <= _T_1910 @[lsu_dccm_ctl.scala 267:27] + node _T_1911 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 268:48] + io.dccm_rdata_hi_m <= _T_1911 @[lsu_dccm_ctl.scala 268:27] + node _T_1912 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 269:48] + io.dccm_data_ecc_lo_m <= _T_1912 @[lsu_dccm_ctl.scala 269:27] + node _T_1913 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 270:48] + io.dccm_data_ecc_hi_m <= _T_1913 @[lsu_dccm_ctl.scala 270:27] + node _T_1914 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 272:58] + node _T_1915 = and(_T_1914, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 272:84] + node _T_1916 = and(_T_1915, io.lsu_commit_r) @[lsu_dccm_ctl.scala 272:103] + node _T_1917 = or(_T_1916, io.dma_pic_wen) @[lsu_dccm_ctl.scala 272:122] + io.lsu_pic.picm_wren <= _T_1917 @[lsu_dccm_ctl.scala 272:35] + node _T_1918 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 273:58] + node _T_1919 = and(_T_1918, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 273:84] + io.lsu_pic.picm_rden <= _T_1919 @[lsu_dccm_ctl.scala 273:35] + node _T_1920 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 274:58] + node _T_1921 = and(_T_1920, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 274:84] + io.lsu_pic.picm_mken <= _T_1921 @[lsu_dccm_ctl.scala 274:35] + node _T_1922 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1923 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 275:103] + node _T_1924 = cat(_T_1922, _T_1923) @[Cat.scala 29:58] + node _T_1925 = or(UInt<32>("h0f00c0000"), _T_1924) @[lsu_dccm_ctl.scala 275:62] + io.lsu_pic.picm_rdaddr <= _T_1925 @[lsu_dccm_ctl.scala 275:35] + node _T_1926 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1927 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:109] + node _T_1928 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 276:144] + node _T_1929 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 276:172] + node _T_1930 = mux(_T_1927, _T_1928, _T_1929) @[lsu_dccm_ctl.scala 276:93] + node _T_1931 = cat(_T_1926, _T_1930) @[Cat.scala 29:58] + node _T_1932 = or(UInt<32>("h0f00c0000"), _T_1931) @[lsu_dccm_ctl.scala 276:62] + io.lsu_pic.picm_wraddr <= _T_1932 @[lsu_dccm_ctl.scala 276:35] + node _T_1933 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 277:44] + io.picm_mask_data_m <= _T_1933 @[lsu_dccm_ctl.scala 277:27] + node _T_1934 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 278:57] + node _T_1935 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 278:93] + node _T_1936 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 278:120] + node _T_1937 = mux(_T_1934, _T_1935, _T_1936) @[lsu_dccm_ctl.scala 278:41] + io.lsu_pic.picm_wr_data <= _T_1937 @[lsu_dccm_ctl.scala 278:35] + reg _T_1938 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61] + _T_1938 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 280:61] + io.lsu_dccm_rden_m <= _T_1938 @[lsu_dccm_ctl.scala 280:24] + reg _T_1939 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 281:61] + _T_1939 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 281:61] + io.lsu_dccm_rden_r <= _T_1939 @[lsu_dccm_ctl.scala 281:24] + reg _T_1940 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 282:73] + _T_1940 <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 282:73] + lsu_double_ecc_error_r_ff <= _T_1940 @[lsu_dccm_ctl.scala 282:33] + reg _T_1941 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 283:73] + _T_1941 <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 283:73] + ld_single_ecc_error_hi_r_ff <= _T_1941 @[lsu_dccm_ctl.scala 283:33] + reg _T_1942 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 284:73] + _T_1942 <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 284:73] + ld_single_ecc_error_lo_r_ff <= _T_1942 @[lsu_dccm_ctl.scala 284:33] + node _T_1943 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 285:48] + node _T_1944 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 285:90] + node _T_1945 = bits(_T_1944, 0, 0) @[lib.scala 8:44] + node _T_1946 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 285:128] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_1945 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= _T_1946 @[lib.scala 372:24] + reg _T_1947 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1947 <= _T_1943 @[lib.scala 374:16] + ld_sec_addr_hi_r_ff <= _T_1947 @[lsu_dccm_ctl.scala 285:25] + node _T_1948 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 286:48] + node _T_1949 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_dccm_ctl.scala 286:90] + node _T_1950 = bits(_T_1949, 0, 0) @[lib.scala 8:44] + node _T_1951 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 286:128] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_1950 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= _T_1951 @[lib.scala 372:24] + reg _T_1952 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1952 <= _T_1948 @[lib.scala 374:16] + ld_sec_addr_lo_r_ff <= _T_1952 @[lsu_dccm_ctl.scala 286:25] + diff --git a/lsu_dccm_ctl.v b/lsu_dccm_ctl.v new file mode 100644 index 00000000..1a08b14a --- /dev/null +++ b/lsu_dccm_ctl.v @@ -0,0 +1,1308 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_dccm_ctl( + input clock, + input reset, + input io_clk_override, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_free_c2_clk, + input io_lsu_c1_r_clk, + input io_lsu_store_c1_r_clk, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_dword, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_unsign, + input io_lsu_pkt_d_bits_dma, + input io_lsu_pkt_d_bits_store_data_bypass_d, + input io_lsu_pkt_d_bits_load_ldst_bypass_d, + input io_lsu_pkt_d_bits_store_data_bypass_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input io_addr_in_dccm_d, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_addr_in_pic_d, + input io_addr_in_pic_m, + input io_addr_in_pic_r, + input io_lsu_raw_fwd_lo_r, + input io_lsu_raw_fwd_hi_r, + input io_lsu_commit_r, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [31:0] io_lsu_addr_d, + input [15:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [15:0] io_end_addr_m, + input [15:0] io_end_addr_r, + input io_stbuf_reqvld_any, + input [15:0] io_stbuf_addr_any, + input [31:0] io_stbuf_data_any, + input [6:0] io_stbuf_ecc_any, + input [31:0] io_stbuf_fwddata_hi_m, + input [31:0] io_stbuf_fwddata_lo_m, + input [3:0] io_stbuf_fwdbyteen_lo_m, + input [3:0] io_stbuf_fwdbyteen_hi_m, + output [31:0] io_dccm_rdata_hi_r, + output [31:0] io_dccm_rdata_lo_r, + output [6:0] io_dccm_data_ecc_hi_r, + output [6:0] io_dccm_data_ecc_lo_r, + output [31:0] io_lsu_ld_data_r, + output [31:0] io_lsu_ld_data_corr_r, + input io_lsu_double_ecc_error_r, + input io_single_ecc_error_hi_r, + input io_single_ecc_error_lo_r, + input [31:0] io_sec_data_hi_r, + input [31:0] io_sec_data_lo_r, + input [31:0] io_sec_data_hi_r_ff, + input [31:0] io_sec_data_lo_r_ff, + input [6:0] io_sec_data_ecc_hi_r_ff, + input [6:0] io_sec_data_ecc_lo_r_ff, + output [31:0] io_dccm_rdata_hi_m, + output [31:0] io_dccm_rdata_lo_m, + output [6:0] io_dccm_data_ecc_hi_m, + output [6:0] io_dccm_data_ecc_lo_m, + output [31:0] io_lsu_ld_data_m, + input io_lsu_double_ecc_error_m, + input [31:0] io_sec_data_hi_m, + input [31:0] io_sec_data_lo_m, + input [31:0] io_store_data_m, + input io_dma_dccm_wen, + input io_dma_pic_wen, + input [2:0] io_dma_mem_tag_m, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input [6:0] io_dma_dccm_wdata_ecc_hi, + input [6:0] io_dma_dccm_wdata_ecc_lo, + output [31:0] io_store_data_hi_r, + output [31:0] io_store_data_lo_r, + output [31:0] io_store_datafn_hi_r, + output [31:0] io_store_datafn_lo_r, + output [31:0] io_store_data_r, + output io_ld_single_ecc_error_r, + output io_ld_single_ecc_error_r_ff, + output [31:0] io_picm_mask_data_m, + output io_lsu_stbuf_commit_any, + output io_lsu_dccm_rden_m, + output io_lsu_dccm_rden_r, + input [31:0] io_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_dma_dccm_ctl_dma_mem_wdata, + output io_dma_dccm_ctl_dccm_dma_rvalid, + output io_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_dma_dccm_ctl_dccm_dma_rdata, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [63:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire [63:0] picm_rd_data_m = {io_lsu_pic_picm_rd_data,io_lsu_pic_picm_rd_data}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_corr_m = {io_sec_data_hi_m,io_sec_data_lo_m}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_m = {io_dccm_rdata_hi_m,io_dccm_rdata_lo_m}; // @[Cat.scala 29:58] + wire _T = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_load; // @[lsu_dccm_ctl.scala 145:63] + wire [7:0] _T_5 = {io_stbuf_fwdbyteen_hi_m,io_stbuf_fwdbyteen_lo_m}; // @[Cat.scala 29:58] + wire [63:0] _T_8 = {io_stbuf_fwddata_hi_m,io_stbuf_fwddata_lo_m}; // @[Cat.scala 29:58] + wire [1:0] _T_13 = io_addr_in_dccm_m ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [7:0] _GEN_0 = {{6'd0}, _T_13}; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_15 = _GEN_0 & dccm_rdata_corr_m[7:0]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_16 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_15; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_17 = _T_5[0] ? _T_8[7:0] : _T_16; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_21 = {{4'd0}, _T_17[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_23 = {_T_17[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_25 = _T_23 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_26 = _T_21 | _T_25; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_1 = {{2'd0}, _T_26[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_31 = _GEN_1 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_33 = {_T_26[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_35 = _T_33 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_36 = _T_31 | _T_35; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_2 = {{1'd0}, _T_36[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_41 = _GEN_2 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_43 = {_T_36[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_45 = _T_43 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_46 = _T_41 | _T_45; // @[Bitwise.scala 103:39] + wire [7:0] _T_57 = _GEN_0 & dccm_rdata_corr_m[15:8]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_58 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_57; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_59 = _T_5[1] ? _T_8[15:8] : _T_58; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_63 = {{4'd0}, _T_59[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_65 = {_T_59[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_67 = _T_65 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_68 = _T_63 | _T_67; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_4 = {{2'd0}, _T_68[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_73 = _GEN_4 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_75 = {_T_68[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_77 = _T_75 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_78 = _T_73 | _T_77; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_5 = {{1'd0}, _T_78[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_83 = _GEN_5 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_85 = {_T_78[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_87 = _T_85 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_88 = _T_83 | _T_87; // @[Bitwise.scala 103:39] + wire [7:0] _T_99 = _GEN_0 & dccm_rdata_corr_m[23:16]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_100 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_99; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_101 = _T_5[2] ? _T_8[23:16] : _T_100; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_105 = {{4'd0}, _T_101[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_107 = {_T_101[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_109 = _T_107 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_110 = _T_105 | _T_109; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_7 = {{2'd0}, _T_110[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_115 = _GEN_7 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_117 = {_T_110[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_119 = _T_117 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_120 = _T_115 | _T_119; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_8 = {{1'd0}, _T_120[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_125 = _GEN_8 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_127 = {_T_120[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_129 = _T_127 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_130 = _T_125 | _T_129; // @[Bitwise.scala 103:39] + wire [7:0] _T_141 = _GEN_0 & dccm_rdata_corr_m[31:24]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_142 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_141; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_143 = _T_5[3] ? _T_8[31:24] : _T_142; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_147 = {{4'd0}, _T_143[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_149 = {_T_143[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_151 = _T_149 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_152 = _T_147 | _T_151; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_10 = {{2'd0}, _T_152[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_157 = _GEN_10 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_159 = {_T_152[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_161 = _T_159 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_162 = _T_157 | _T_161; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_11 = {{1'd0}, _T_162[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_167 = _GEN_11 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_169 = {_T_162[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_171 = _T_169 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_172 = _T_167 | _T_171; // @[Bitwise.scala 103:39] + wire [7:0] _T_183 = _GEN_0 & dccm_rdata_corr_m[39:32]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_184 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_183; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_185 = _T_5[4] ? _T_8[39:32] : _T_184; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_189 = {{4'd0}, _T_185[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_191 = {_T_185[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_193 = _T_191 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_194 = _T_189 | _T_193; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_13 = {{2'd0}, _T_194[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_199 = _GEN_13 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_201 = {_T_194[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_203 = _T_201 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_204 = _T_199 | _T_203; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_14 = {{1'd0}, _T_204[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_209 = _GEN_14 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_211 = {_T_204[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_213 = _T_211 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_214 = _T_209 | _T_213; // @[Bitwise.scala 103:39] + wire [7:0] _T_225 = _GEN_0 & dccm_rdata_corr_m[47:40]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_226 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_225; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_227 = _T_5[5] ? _T_8[47:40] : _T_226; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_231 = {{4'd0}, _T_227[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_233 = {_T_227[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_235 = _T_233 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_236 = _T_231 | _T_235; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_16 = {{2'd0}, _T_236[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_241 = _GEN_16 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_243 = {_T_236[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_245 = _T_243 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_246 = _T_241 | _T_245; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_17 = {{1'd0}, _T_246[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_251 = _GEN_17 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_253 = {_T_246[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_255 = _T_253 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_256 = _T_251 | _T_255; // @[Bitwise.scala 103:39] + wire [7:0] _T_267 = _GEN_0 & dccm_rdata_corr_m[55:48]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_268 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_267; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_269 = _T_5[6] ? _T_8[55:48] : _T_268; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_273 = {{4'd0}, _T_269[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_275 = {_T_269[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_277 = _T_275 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_278 = _T_273 | _T_277; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_19 = {{2'd0}, _T_278[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_283 = _GEN_19 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_285 = {_T_278[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_287 = _T_285 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_288 = _T_283 | _T_287; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_20 = {{1'd0}, _T_288[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_293 = _GEN_20 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_295 = {_T_288[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_297 = _T_295 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_298 = _T_293 | _T_297; // @[Bitwise.scala 103:39] + wire [7:0] _T_309 = _GEN_0 & dccm_rdata_corr_m[63:56]; // @[lsu_dccm_ctl.scala 155:294] + wire [7:0] _T_310 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_309; // @[lsu_dccm_ctl.scala 155:214] + wire [7:0] _T_311 = _T_5[7] ? _T_8[63:56] : _T_310; // @[lsu_dccm_ctl.scala 155:78] + wire [7:0] _T_315 = {{4'd0}, _T_311[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_317 = {_T_311[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_319 = _T_317 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_320 = _T_315 | _T_319; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_22 = {{2'd0}, _T_320[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_325 = _GEN_22 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_327 = {_T_320[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_329 = _T_327 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_330 = _T_325 | _T_329; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_23 = {{1'd0}, _T_330[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_335 = _GEN_23 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_337 = {_T_330[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_339 = _T_337 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_340 = _T_335 | _T_339; // @[Bitwise.scala 103:39] + wire [63:0] _T_348 = {_T_46,_T_88,_T_130,_T_172,_T_214,_T_256,_T_298,_T_340}; // @[Cat.scala 29:58] + wire [63:0] _T_352 = {{32'd0}, _T_348[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_354 = {_T_348[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_356 = _T_354 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_357 = _T_352 | _T_356; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_24 = {{16'd0}, _T_357[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_362 = _GEN_24 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_364 = {_T_357[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_366 = _T_364 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_367 = _T_362 | _T_366; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_25 = {{8'd0}, _T_367[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_372 = _GEN_25 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_374 = {_T_367[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_376 = _T_374 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_377 = _T_372 | _T_376; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_26 = {{4'd0}, _T_377[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_382 = _GEN_26 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_384 = {_T_377[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_386 = _T_384 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_387 = _T_382 | _T_386; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_27 = {{2'd0}, _T_387[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_392 = _GEN_27 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_394 = {_T_387[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_396 = _T_394 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_397 = _T_392 | _T_396; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_28 = {{1'd0}, _T_397[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_402 = _GEN_28 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_404 = {_T_397[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_406 = _T_404 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_corr_m = _T_402 | _T_406; // @[Bitwise.scala 103:39] + wire [127:0] _T_3 = {lsu_rdata_corr_m,lsu_rdata_corr_m}; // @[Cat.scala 29:58] + wire [127:0] _T_4 = io_ldst_dual_m ? {{64'd0}, lsu_rdata_corr_m} : _T_3; // @[lsu_dccm_ctl.scala 147:47] + wire [7:0] _T_418 = _GEN_0 & dccm_rdata_m[7:0]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_419 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : _T_418; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_420 = _T_5[0] ? _T_8[7:0] : _T_419; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_424 = {{4'd0}, _T_420[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_426 = {_T_420[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_428 = _T_426 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_429 = _T_424 | _T_428; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_30 = {{2'd0}, _T_429[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_434 = _GEN_30 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_436 = {_T_429[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_438 = _T_436 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_439 = _T_434 | _T_438; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_31 = {{1'd0}, _T_439[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_444 = _GEN_31 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_446 = {_T_439[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_448 = _T_446 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_449 = _T_444 | _T_448; // @[Bitwise.scala 103:39] + wire [7:0] _T_460 = _GEN_0 & dccm_rdata_m[15:8]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_461 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : _T_460; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_462 = _T_5[1] ? _T_8[15:8] : _T_461; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_466 = {{4'd0}, _T_462[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_468 = {_T_462[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_470 = _T_468 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_471 = _T_466 | _T_470; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_33 = {{2'd0}, _T_471[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_476 = _GEN_33 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_478 = {_T_471[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_480 = _T_478 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_481 = _T_476 | _T_480; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_34 = {{1'd0}, _T_481[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_486 = _GEN_34 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_488 = {_T_481[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_490 = _T_488 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_491 = _T_486 | _T_490; // @[Bitwise.scala 103:39] + wire [7:0] _T_502 = _GEN_0 & dccm_rdata_m[23:16]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_503 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : _T_502; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_504 = _T_5[2] ? _T_8[23:16] : _T_503; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_508 = {{4'd0}, _T_504[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_510 = {_T_504[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_512 = _T_510 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_513 = _T_508 | _T_512; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_36 = {{2'd0}, _T_513[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_518 = _GEN_36 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_520 = {_T_513[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_522 = _T_520 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_523 = _T_518 | _T_522; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_37 = {{1'd0}, _T_523[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_528 = _GEN_37 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_530 = {_T_523[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_532 = _T_530 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_533 = _T_528 | _T_532; // @[Bitwise.scala 103:39] + wire [7:0] _T_544 = _GEN_0 & dccm_rdata_m[31:24]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_545 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : _T_544; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_546 = _T_5[3] ? _T_8[31:24] : _T_545; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_550 = {{4'd0}, _T_546[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_552 = {_T_546[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_554 = _T_552 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_555 = _T_550 | _T_554; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_39 = {{2'd0}, _T_555[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_560 = _GEN_39 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_562 = {_T_555[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_564 = _T_562 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_565 = _T_560 | _T_564; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_40 = {{1'd0}, _T_565[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_570 = _GEN_40 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_572 = {_T_565[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_574 = _T_572 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_575 = _T_570 | _T_574; // @[Bitwise.scala 103:39] + wire [7:0] _T_586 = _GEN_0 & dccm_rdata_m[39:32]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_587 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : _T_586; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_588 = _T_5[4] ? _T_8[39:32] : _T_587; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_592 = {{4'd0}, _T_588[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_594 = {_T_588[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_596 = _T_594 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_597 = _T_592 | _T_596; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_42 = {{2'd0}, _T_597[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_602 = _GEN_42 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_604 = {_T_597[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_606 = _T_604 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_607 = _T_602 | _T_606; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_43 = {{1'd0}, _T_607[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_612 = _GEN_43 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_614 = {_T_607[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_616 = _T_614 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_617 = _T_612 | _T_616; // @[Bitwise.scala 103:39] + wire [7:0] _T_628 = _GEN_0 & dccm_rdata_m[47:40]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_629 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : _T_628; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_630 = _T_5[5] ? _T_8[47:40] : _T_629; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_634 = {{4'd0}, _T_630[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_636 = {_T_630[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_638 = _T_636 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_639 = _T_634 | _T_638; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_45 = {{2'd0}, _T_639[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_644 = _GEN_45 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_646 = {_T_639[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_648 = _T_646 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_649 = _T_644 | _T_648; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_46 = {{1'd0}, _T_649[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_654 = _GEN_46 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_656 = {_T_649[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_658 = _T_656 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_659 = _T_654 | _T_658; // @[Bitwise.scala 103:39] + wire [7:0] _T_670 = _GEN_0 & dccm_rdata_m[55:48]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_671 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : _T_670; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_672 = _T_5[6] ? _T_8[55:48] : _T_671; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_676 = {{4'd0}, _T_672[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_678 = {_T_672[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_680 = _T_678 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_681 = _T_676 | _T_680; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_48 = {{2'd0}, _T_681[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_686 = _GEN_48 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_688 = {_T_681[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_690 = _T_688 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_691 = _T_686 | _T_690; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_49 = {{1'd0}, _T_691[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_696 = _GEN_49 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_698 = {_T_691[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_700 = _T_698 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_701 = _T_696 | _T_700; // @[Bitwise.scala 103:39] + wire [7:0] _T_712 = _GEN_0 & dccm_rdata_m[63:56]; // @[lsu_dccm_ctl.scala 156:294] + wire [7:0] _T_713 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : _T_712; // @[lsu_dccm_ctl.scala 156:214] + wire [7:0] _T_714 = _T_5[7] ? _T_8[63:56] : _T_713; // @[lsu_dccm_ctl.scala 156:78] + wire [7:0] _T_718 = {{4'd0}, _T_714[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_720 = {_T_714[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_722 = _T_720 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_723 = _T_718 | _T_722; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_51 = {{2'd0}, _T_723[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_728 = _GEN_51 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_730 = {_T_723[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_732 = _T_730 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_733 = _T_728 | _T_732; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_52 = {{1'd0}, _T_733[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_738 = _GEN_52 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_740 = {_T_733[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_742 = _T_740 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_743 = _T_738 | _T_742; // @[Bitwise.scala 103:39] + wire [63:0] _T_751 = {_T_449,_T_491,_T_533,_T_575,_T_617,_T_659,_T_701,_T_743}; // @[Cat.scala 29:58] + wire [63:0] _T_755 = {{32'd0}, _T_751[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_757 = {_T_751[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_759 = _T_757 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_760 = _T_755 | _T_759; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_53 = {{16'd0}, _T_760[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_765 = _GEN_53 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_767 = {_T_760[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_769 = _T_767 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_770 = _T_765 | _T_769; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_54 = {{8'd0}, _T_770[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_775 = _GEN_54 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_777 = {_T_770[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_779 = _T_777 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_780 = _T_775 | _T_779; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_55 = {{4'd0}, _T_780[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_785 = _GEN_55 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_787 = {_T_780[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_789 = _T_787 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_790 = _T_785 | _T_789; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_56 = {{2'd0}, _T_790[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_795 = _GEN_56 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_797 = {_T_790[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_799 = _T_797 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_800 = _T_795 | _T_799; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_57 = {{1'd0}, _T_800[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_805 = _GEN_57 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_807 = {_T_800[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_809 = _T_807 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_m = _T_805 | _T_809; // @[Bitwise.scala 103:39] + wire _T_812 = io_addr_in_pic_m | io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 157:123] + wire _T_813 = _T & _T_812; // @[lsu_dccm_ctl.scala 157:103] + reg [63:0] _T_817; // @[lib.scala 374:16] + wire [3:0] _GEN_58 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_dccm_ctl.scala 158:49] + wire [5:0] _T_819 = 4'h8 * _GEN_58; // @[lsu_dccm_ctl.scala 158:49] + wire [63:0] _T_820 = lsu_rdata_m >> _T_819; // @[lsu_dccm_ctl.scala 158:43] + wire _T_826 = io_lsu_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:60] + wire _T_829 = io_end_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 163:133] + wire _T_830 = _T_826 | _T_829; // @[lsu_dccm_ctl.scala 163:101] + wire _T_831 = _T_830 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 163:175] + wire _T_832 = _T_831 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 163:196] + wire _T_833 = _T_832 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 163:222] + wire _T_834 = _T_833 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 163:246] + wire _T_837 = io_lsu_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:37] + wire _T_840 = io_end_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 164:110] + wire _T_841 = _T_837 | _T_840; // @[lsu_dccm_ctl.scala 164:78] + wire _T_842 = _T_841 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 164:152] + wire _T_843 = _T_842 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 164:173] + wire _T_844 = _T_843 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 164:199] + wire _T_845 = _T_844 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 164:223] + wire kill_ecc_corr_lo_r = _T_834 | _T_845; // @[lsu_dccm_ctl.scala 163:267] + wire _T_848 = io_lsu_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:60] + wire _T_851 = io_end_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 166:133] + wire _T_852 = _T_848 | _T_851; // @[lsu_dccm_ctl.scala 166:101] + wire _T_853 = _T_852 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 166:175] + wire _T_854 = _T_853 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 166:196] + wire _T_855 = _T_854 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 166:222] + wire _T_856 = _T_855 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 166:246] + wire _T_859 = io_lsu_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:37] + wire _T_862 = io_end_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 167:110] + wire _T_863 = _T_859 | _T_862; // @[lsu_dccm_ctl.scala 167:78] + wire _T_864 = _T_863 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 167:152] + wire _T_865 = _T_864 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 167:173] + wire _T_866 = _T_865 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 167:199] + wire _T_867 = _T_866 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 167:223] + wire kill_ecc_corr_hi_r = _T_856 | _T_867; // @[lsu_dccm_ctl.scala 166:267] + wire _T_868 = io_lsu_pkt_r_bits_load & io_single_ecc_error_lo_r; // @[lsu_dccm_ctl.scala 169:60] + wire _T_869 = ~io_lsu_raw_fwd_lo_r; // @[lsu_dccm_ctl.scala 169:89] + wire ld_single_ecc_error_lo_r = _T_868 & _T_869; // @[lsu_dccm_ctl.scala 169:87] + wire _T_870 = io_lsu_pkt_r_bits_load & io_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 170:60] + wire _T_871 = ~io_lsu_raw_fwd_hi_r; // @[lsu_dccm_ctl.scala 170:89] + wire ld_single_ecc_error_hi_r = _T_870 & _T_871; // @[lsu_dccm_ctl.scala 170:87] + wire _T_872 = ld_single_ecc_error_lo_r | ld_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 171:63] + wire _T_873 = ~io_lsu_double_ecc_error_r; // @[lsu_dccm_ctl.scala 171:93] + wire _T_875 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_dccm_ctl.scala 172:81] + wire _T_876 = ld_single_ecc_error_lo_r & _T_875; // @[lsu_dccm_ctl.scala 172:62] + wire _T_877 = ~kill_ecc_corr_lo_r; // @[lsu_dccm_ctl.scala 172:108] + wire _T_879 = ld_single_ecc_error_hi_r & _T_875; // @[lsu_dccm_ctl.scala 173:62] + wire _T_880 = ~kill_ecc_corr_hi_r; // @[lsu_dccm_ctl.scala 173:108] + wire _T_881 = io_lsu_pkt_d_bits_word | io_lsu_pkt_d_bits_dword; // @[lsu_dccm_ctl.scala 175:125] + wire _T_882 = ~_T_881; // @[lsu_dccm_ctl.scala 175:100] + wire _T_884 = io_lsu_addr_d[1:0] != 2'h0; // @[lsu_dccm_ctl.scala 175:174] + wire _T_885 = _T_882 | _T_884; // @[lsu_dccm_ctl.scala 175:152] + wire _T_886 = io_lsu_pkt_d_bits_store & _T_885; // @[lsu_dccm_ctl.scala 175:97] + wire _T_887 = io_lsu_pkt_d_bits_load | _T_886; // @[lsu_dccm_ctl.scala 175:70] + wire _T_888 = io_lsu_pkt_d_valid & _T_887; // @[lsu_dccm_ctl.scala 175:44] + wire lsu_dccm_rden_d = _T_888 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 175:191] + reg ld_single_ecc_error_lo_r_ff; // @[lsu_dccm_ctl.scala 284:73] + reg ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 283:73] + wire _T_889 = ld_single_ecc_error_lo_r_ff | ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 178:63] + reg lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 282:73] + wire _T_890 = ~lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 178:96] + wire _T_892 = lsu_dccm_rden_d | io_dma_dccm_wen; // @[lsu_dccm_ctl.scala 179:75] + wire _T_893 = _T_892 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 179:93] + wire _T_894 = ~_T_893; // @[lsu_dccm_ctl.scala 179:57] + wire _T_897 = io_stbuf_addr_any[3:2] == io_lsu_addr_d[3:2]; // @[lsu_dccm_ctl.scala 180:95] + wire _T_900 = io_stbuf_addr_any[3:2] == io_end_addr_d[3:2]; // @[lsu_dccm_ctl.scala 181:76] + wire _T_901 = _T_897 | _T_900; // @[lsu_dccm_ctl.scala 180:171] + wire _T_902 = ~_T_901; // @[lsu_dccm_ctl.scala 180:24] + wire _T_903 = lsu_dccm_rden_d & _T_902; // @[lsu_dccm_ctl.scala 180:22] + wire _T_904 = _T_894 | _T_903; // @[lsu_dccm_ctl.scala 179:124] + wire _T_906 = io_dma_dccm_wen | io_lsu_stbuf_commit_any; // @[lsu_dccm_ctl.scala 185:41] + reg [15:0] ld_sec_addr_lo_r_ff; // @[lib.scala 374:16] + reg [15:0] ld_sec_addr_hi_r_ff; // @[lib.scala 374:16] + wire [15:0] _T_913 = ld_single_ecc_error_lo_r_ff ? ld_sec_addr_lo_r_ff : ld_sec_addr_hi_r_ff; // @[lsu_dccm_ctl.scala 189:8] + wire [15:0] _T_917 = io_dma_dccm_wen ? io_lsu_addr_d[15:0] : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 190:8] + wire [15:0] _T_923 = ld_single_ecc_error_hi_r_ff ? ld_sec_addr_hi_r_ff : ld_sec_addr_lo_r_ff; // @[lsu_dccm_ctl.scala 193:8] + wire [15:0] _T_927 = io_dma_dccm_wen ? io_end_addr_d : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 194:8] + wire [38:0] _T_935 = {io_sec_data_ecc_lo_r_ff,io_sec_data_lo_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_938 = {io_sec_data_ecc_hi_r_ff,io_sec_data_hi_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_939 = ld_single_ecc_error_lo_r_ff ? _T_935 : _T_938; // @[lsu_dccm_ctl.scala 200:8] + wire [38:0] _T_943 = {io_dma_dccm_wdata_ecc_lo,io_dma_dccm_wdata_lo}; // @[Cat.scala 29:58] + wire [38:0] _T_946 = {io_stbuf_ecc_any,io_stbuf_data_any}; // @[Cat.scala 29:58] + wire [38:0] _T_947 = io_dma_dccm_wen ? _T_943 : _T_946; // @[lsu_dccm_ctl.scala 202:8] + wire [38:0] _T_957 = ld_single_ecc_error_hi_r_ff ? _T_938 : _T_935; // @[lsu_dccm_ctl.scala 206:8] + wire [38:0] _T_961 = {io_dma_dccm_wdata_ecc_hi,io_dma_dccm_wdata_hi}; // @[Cat.scala 29:58] + wire [38:0] _T_965 = io_dma_dccm_wen ? _T_961 : _T_946; // @[lsu_dccm_ctl.scala 208:8] + wire [3:0] _T_968 = io_lsu_pkt_m_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_970 = io_lsu_pkt_m_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_971 = _T_970 & 4'h1; // @[lsu_dccm_ctl.scala 212:94] + wire [3:0] _T_973 = io_lsu_pkt_m_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_974 = _T_973 & 4'h3; // @[lsu_dccm_ctl.scala 213:38] + wire [3:0] _T_975 = _T_971 | _T_974; // @[lsu_dccm_ctl.scala 212:107] + wire [3:0] _T_977 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_979 = _T_975 | _T_977; // @[lsu_dccm_ctl.scala 213:51] + wire [3:0] store_byteen_m = _T_968 & _T_979; // @[lsu_dccm_ctl.scala 212:58] + wire [3:0] _T_981 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_983 = io_lsu_pkt_r_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_984 = _T_983 & 4'h1; // @[lsu_dccm_ctl.scala 216:94] + wire [3:0] _T_986 = io_lsu_pkt_r_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_987 = _T_986 & 4'h3; // @[lsu_dccm_ctl.scala 217:38] + wire [3:0] _T_988 = _T_984 | _T_987; // @[lsu_dccm_ctl.scala 216:107] + wire [3:0] _T_990 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_992 = _T_988 | _T_990; // @[lsu_dccm_ctl.scala 217:51] + wire [3:0] store_byteen_r = _T_981 & _T_992; // @[lsu_dccm_ctl.scala 216:58] + wire [6:0] _GEN_60 = {{3'd0}, store_byteen_m}; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _T_995 = _GEN_60 << io_lsu_addr_m[1:0]; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _GEN_61 = {{3'd0}, store_byteen_r}; // @[lsu_dccm_ctl.scala 222:45] + wire [6:0] _T_998 = _GEN_61 << io_lsu_addr_r[1:0]; // @[lsu_dccm_ctl.scala 222:45] + wire _T_1001 = io_stbuf_addr_any[15:2] == io_lsu_addr_m[15:2]; // @[lsu_dccm_ctl.scala 225:67] + wire dccm_wr_bypass_d_m_lo = _T_1001 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 225:101] + wire _T_1004 = io_stbuf_addr_any[15:2] == io_end_addr_m[15:2]; // @[lsu_dccm_ctl.scala 226:67] + wire dccm_wr_bypass_d_m_hi = _T_1004 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 226:101] + wire _T_1007 = io_stbuf_addr_any[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 228:67] + wire dccm_wr_bypass_d_r_lo = _T_1007 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 228:101] + wire _T_1010 = io_stbuf_addr_any[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 229:67] + wire dccm_wr_bypass_d_r_hi = _T_1010 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 229:101] + wire [63:0] _T_1013 = {32'h0,io_store_data_m}; // @[Cat.scala 29:58] + wire [126:0] _GEN_63 = {{63'd0}, _T_1013}; // @[lsu_dccm_ctl.scala 258:72] + wire [126:0] _T_1016 = _GEN_63 << _T_819; // @[lsu_dccm_ctl.scala 258:72] + wire [63:0] store_data_pre_m = _T_1016[63:0]; // @[lsu_dccm_ctl.scala 258:29] + wire [31:0] store_data_hi_m = store_data_pre_m[63:32]; // @[lsu_dccm_ctl.scala 259:48] + wire [31:0] store_data_lo_m = store_data_pre_m[31:0]; // @[lsu_dccm_ctl.scala 260:48] + wire [7:0] store_byteen_ext_m = {{1'd0}, _T_995}; // @[lsu_dccm_ctl.scala 220:22] + wire _T_1022 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo; // @[lsu_dccm_ctl.scala 261:211] + wire [7:0] _T_1026 = _T_1022 ? io_stbuf_data_any[7:0] : io_sec_data_lo_m[7:0]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1027 = store_byteen_ext_m[0] ? store_data_lo_m[7:0] : _T_1026; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1031 = {{4'd0}, _T_1027[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1033 = {_T_1027[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1035 = _T_1033 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1036 = _T_1031 | _T_1035; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_64 = {{2'd0}, _T_1036[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1041 = _GEN_64 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1043 = {_T_1036[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1045 = _T_1043 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1046 = _T_1041 | _T_1045; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_65 = {{1'd0}, _T_1046[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1051 = _GEN_65 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1053 = {_T_1046[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1055 = _T_1053 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1056 = _T_1051 | _T_1055; // @[Bitwise.scala 103:39] + wire [7:0] _T_1064 = _T_1022 ? io_stbuf_data_any[15:8] : io_sec_data_lo_m[15:8]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1065 = store_byteen_ext_m[1] ? store_data_lo_m[15:8] : _T_1064; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1069 = {{4'd0}, _T_1065[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1071 = {_T_1065[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1073 = _T_1071 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1074 = _T_1069 | _T_1073; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_66 = {{2'd0}, _T_1074[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1079 = _GEN_66 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1081 = {_T_1074[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1083 = _T_1081 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1084 = _T_1079 | _T_1083; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_67 = {{1'd0}, _T_1084[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1089 = _GEN_67 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1091 = {_T_1084[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1093 = _T_1091 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1094 = _T_1089 | _T_1093; // @[Bitwise.scala 103:39] + wire [7:0] _T_1102 = _T_1022 ? io_stbuf_data_any[23:16] : io_sec_data_lo_m[23:16]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1103 = store_byteen_ext_m[2] ? store_data_lo_m[23:16] : _T_1102; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1107 = {{4'd0}, _T_1103[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1109 = {_T_1103[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1111 = _T_1109 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1112 = _T_1107 | _T_1111; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_68 = {{2'd0}, _T_1112[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1117 = _GEN_68 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1119 = {_T_1112[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1121 = _T_1119 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1122 = _T_1117 | _T_1121; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_69 = {{1'd0}, _T_1122[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1127 = _GEN_69 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1129 = {_T_1122[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1131 = _T_1129 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1132 = _T_1127 | _T_1131; // @[Bitwise.scala 103:39] + wire [7:0] _T_1140 = _T_1022 ? io_stbuf_data_any[31:24] : io_sec_data_lo_m[31:24]; // @[lsu_dccm_ctl.scala 261:185] + wire [7:0] _T_1141 = store_byteen_ext_m[3] ? store_data_lo_m[31:24] : _T_1140; // @[lsu_dccm_ctl.scala 261:120] + wire [7:0] _T_1145 = {{4'd0}, _T_1141[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1147 = {_T_1141[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1149 = _T_1147 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1150 = _T_1145 | _T_1149; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_70 = {{2'd0}, _T_1150[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1155 = _GEN_70 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1157 = {_T_1150[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1159 = _T_1157 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1160 = _T_1155 | _T_1159; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_71 = {{1'd0}, _T_1160[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1165 = _GEN_71 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1167 = {_T_1160[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1169 = _T_1167 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1170 = _T_1165 | _T_1169; // @[Bitwise.scala 103:39] + wire [31:0] _T_1174 = {_T_1056,_T_1094,_T_1132,_T_1170}; // @[Cat.scala 29:58] + wire [31:0] _T_1178 = {{16'd0}, _T_1174[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1180 = {_T_1174[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1182 = _T_1180 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1183 = _T_1178 | _T_1182; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_72 = {{8'd0}, _T_1183[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1188 = _GEN_72 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1190 = {_T_1183[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1192 = _T_1190 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1193 = _T_1188 | _T_1192; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_73 = {{4'd0}, _T_1193[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1198 = _GEN_73 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1200 = {_T_1193[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1202 = _T_1200 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1203 = _T_1198 | _T_1202; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_74 = {{2'd0}, _T_1203[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1208 = _GEN_74 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1210 = {_T_1203[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1212 = _T_1210 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1213 = _T_1208 | _T_1212; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_75 = {{1'd0}, _T_1213[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1218 = _GEN_75 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1220 = {_T_1213[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1222 = _T_1220 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1224; // @[lsu_dccm_ctl.scala 261:72] + wire _T_1228 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi; // @[lsu_dccm_ctl.scala 262:177] + wire [7:0] _T_1232 = _T_1228 ? io_stbuf_data_any[7:0] : io_sec_data_hi_m[7:0]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1233 = store_byteen_ext_m[4] ? store_data_hi_m[7:0] : _T_1232; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1237 = {{4'd0}, _T_1233[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1239 = {_T_1233[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1241 = _T_1239 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1242 = _T_1237 | _T_1241; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_76 = {{2'd0}, _T_1242[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1247 = _GEN_76 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1249 = {_T_1242[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1251 = _T_1249 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1252 = _T_1247 | _T_1251; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_77 = {{1'd0}, _T_1252[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1257 = _GEN_77 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1259 = {_T_1252[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1261 = _T_1259 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1262 = _T_1257 | _T_1261; // @[Bitwise.scala 103:39] + wire [7:0] _T_1270 = _T_1228 ? io_stbuf_data_any[15:8] : io_sec_data_hi_m[15:8]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1271 = store_byteen_ext_m[5] ? store_data_hi_m[15:8] : _T_1270; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1275 = {{4'd0}, _T_1271[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1277 = {_T_1271[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1279 = _T_1277 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1280 = _T_1275 | _T_1279; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_78 = {{2'd0}, _T_1280[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1285 = _GEN_78 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1287 = {_T_1280[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1289 = _T_1287 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1290 = _T_1285 | _T_1289; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_79 = {{1'd0}, _T_1290[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1295 = _GEN_79 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1297 = {_T_1290[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1299 = _T_1297 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1300 = _T_1295 | _T_1299; // @[Bitwise.scala 103:39] + wire [7:0] _T_1308 = _T_1228 ? io_stbuf_data_any[23:16] : io_sec_data_hi_m[23:16]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1309 = store_byteen_ext_m[6] ? store_data_hi_m[23:16] : _T_1308; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1313 = {{4'd0}, _T_1309[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1315 = {_T_1309[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1317 = _T_1315 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1318 = _T_1313 | _T_1317; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_80 = {{2'd0}, _T_1318[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1323 = _GEN_80 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1325 = {_T_1318[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1327 = _T_1325 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1328 = _T_1323 | _T_1327; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_81 = {{1'd0}, _T_1328[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1333 = _GEN_81 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1335 = {_T_1328[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1337 = _T_1335 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1338 = _T_1333 | _T_1337; // @[Bitwise.scala 103:39] + wire [7:0] _T_1346 = _T_1228 ? io_stbuf_data_any[31:24] : io_sec_data_hi_m[31:24]; // @[lsu_dccm_ctl.scala 262:151] + wire [7:0] _T_1347 = store_byteen_ext_m[7] ? store_data_hi_m[31:24] : _T_1346; // @[lsu_dccm_ctl.scala 262:86] + wire [7:0] _T_1351 = {{4'd0}, _T_1347[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1353 = {_T_1347[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1355 = _T_1353 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1356 = _T_1351 | _T_1355; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_82 = {{2'd0}, _T_1356[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1361 = _GEN_82 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1363 = {_T_1356[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1365 = _T_1363 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1366 = _T_1361 | _T_1365; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_83 = {{1'd0}, _T_1366[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1371 = _GEN_83 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1373 = {_T_1366[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1375 = _T_1373 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1376 = _T_1371 | _T_1375; // @[Bitwise.scala 103:39] + wire [31:0] _T_1380 = {_T_1262,_T_1300,_T_1338,_T_1376}; // @[Cat.scala 29:58] + wire [31:0] _T_1384 = {{16'd0}, _T_1380[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1386 = {_T_1380[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1388 = _T_1386 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1389 = _T_1384 | _T_1388; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_84 = {{8'd0}, _T_1389[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1394 = _GEN_84 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1396 = {_T_1389[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1398 = _T_1396 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1399 = _T_1394 | _T_1398; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_85 = {{4'd0}, _T_1399[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1404 = _GEN_85 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1406 = {_T_1399[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1408 = _T_1406 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1409 = _T_1404 | _T_1408; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_86 = {{2'd0}, _T_1409[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1414 = _GEN_86 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1416 = {_T_1409[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1418 = _T_1416 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1419 = _T_1414 | _T_1418; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_87 = {{1'd0}, _T_1419[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1424 = _GEN_87 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1426 = {_T_1419[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1428 = _T_1426 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1430 = io_ldst_dual_m & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 262:295] + wire _T_1431 = _T_1430 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 262:316] + reg [31:0] _T_1435; // @[lib.scala 374:16] + wire _T_1436 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo; // @[lsu_dccm_ctl.scala 263:105] + wire [7:0] store_byteen_ext_r = {{1'd0}, _T_998}; // @[lsu_dccm_ctl.scala 222:22] + wire _T_1438 = ~store_byteen_ext_r[0]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1439 = _T_1436 & _T_1438; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1443 = _T_1439 ? io_stbuf_data_any[7:0] : io_store_data_lo_r[7:0]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1447 = {{4'd0}, _T_1443[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1449 = {_T_1443[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1451 = _T_1449 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1452 = _T_1447 | _T_1451; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_88 = {{2'd0}, _T_1452[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1457 = _GEN_88 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1459 = {_T_1452[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1461 = _T_1459 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1462 = _T_1457 | _T_1461; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_89 = {{1'd0}, _T_1462[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1467 = _GEN_89 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1469 = {_T_1462[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1471 = _T_1469 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1472 = _T_1467 | _T_1471; // @[Bitwise.scala 103:39] + wire _T_1475 = ~store_byteen_ext_r[1]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1476 = _T_1436 & _T_1475; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1480 = _T_1476 ? io_stbuf_data_any[15:8] : io_store_data_lo_r[15:8]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1484 = {{4'd0}, _T_1480[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1486 = {_T_1480[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1488 = _T_1486 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1489 = _T_1484 | _T_1488; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_90 = {{2'd0}, _T_1489[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1494 = _GEN_90 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1496 = {_T_1489[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1498 = _T_1496 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1499 = _T_1494 | _T_1498; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_91 = {{1'd0}, _T_1499[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1504 = _GEN_91 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1506 = {_T_1499[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1508 = _T_1506 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1509 = _T_1504 | _T_1508; // @[Bitwise.scala 103:39] + wire _T_1512 = ~store_byteen_ext_r[2]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1513 = _T_1436 & _T_1512; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1517 = _T_1513 ? io_stbuf_data_any[23:16] : io_store_data_lo_r[23:16]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1521 = {{4'd0}, _T_1517[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1523 = {_T_1517[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1525 = _T_1523 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1526 = _T_1521 | _T_1525; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_92 = {{2'd0}, _T_1526[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1531 = _GEN_92 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1533 = {_T_1526[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1535 = _T_1533 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1536 = _T_1531 | _T_1535; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_93 = {{1'd0}, _T_1536[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1541 = _GEN_93 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1543 = {_T_1536[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1545 = _T_1543 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1546 = _T_1541 | _T_1545; // @[Bitwise.scala 103:39] + wire _T_1549 = ~store_byteen_ext_r[3]; // @[lsu_dccm_ctl.scala 263:131] + wire _T_1550 = _T_1436 & _T_1549; // @[lsu_dccm_ctl.scala 263:129] + wire [7:0] _T_1554 = _T_1550 ? io_stbuf_data_any[31:24] : io_store_data_lo_r[31:24]; // @[lsu_dccm_ctl.scala 263:79] + wire [7:0] _T_1558 = {{4'd0}, _T_1554[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1560 = {_T_1554[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1562 = _T_1560 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1563 = _T_1558 | _T_1562; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_94 = {{2'd0}, _T_1563[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1568 = _GEN_94 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1570 = {_T_1563[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1572 = _T_1570 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1573 = _T_1568 | _T_1572; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_95 = {{1'd0}, _T_1573[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1578 = _GEN_95 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1580 = {_T_1573[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1582 = _T_1580 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1583 = _T_1578 | _T_1582; // @[Bitwise.scala 103:39] + wire [31:0] _T_1587 = {_T_1472,_T_1509,_T_1546,_T_1583}; // @[Cat.scala 29:58] + wire [31:0] _T_1591 = {{16'd0}, _T_1587[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1593 = {_T_1587[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1595 = _T_1593 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1596 = _T_1591 | _T_1595; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_96 = {{8'd0}, _T_1596[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1601 = _GEN_96 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1603 = {_T_1596[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1605 = _T_1603 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1606 = _T_1601 | _T_1605; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_97 = {{4'd0}, _T_1606[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1611 = _GEN_97 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1613 = {_T_1606[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1615 = _T_1613 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1616 = _T_1611 | _T_1615; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_98 = {{2'd0}, _T_1616[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1621 = _GEN_98 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1623 = {_T_1616[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1625 = _T_1623 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1626 = _T_1621 | _T_1625; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_99 = {{1'd0}, _T_1626[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1631 = _GEN_99 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1633 = {_T_1626[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1635 = _T_1633 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1637 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi; // @[lsu_dccm_ctl.scala 264:105] + wire _T_1639 = ~store_byteen_ext_r[4]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1640 = _T_1637 & _T_1639; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1644 = _T_1640 ? io_stbuf_data_any[7:0] : io_store_data_hi_r[7:0]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1648 = {{4'd0}, _T_1644[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1650 = {_T_1644[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1652 = _T_1650 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1653 = _T_1648 | _T_1652; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_100 = {{2'd0}, _T_1653[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1658 = _GEN_100 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1660 = {_T_1653[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1662 = _T_1660 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1663 = _T_1658 | _T_1662; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_101 = {{1'd0}, _T_1663[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1668 = _GEN_101 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1670 = {_T_1663[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1672 = _T_1670 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1673 = _T_1668 | _T_1672; // @[Bitwise.scala 103:39] + wire _T_1676 = ~store_byteen_ext_r[5]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1677 = _T_1637 & _T_1676; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1681 = _T_1677 ? io_stbuf_data_any[15:8] : io_store_data_hi_r[15:8]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1685 = {{4'd0}, _T_1681[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1687 = {_T_1681[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1689 = _T_1687 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1690 = _T_1685 | _T_1689; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_102 = {{2'd0}, _T_1690[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1695 = _GEN_102 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1697 = {_T_1690[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1699 = _T_1697 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1700 = _T_1695 | _T_1699; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_103 = {{1'd0}, _T_1700[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1705 = _GEN_103 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1707 = {_T_1700[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1709 = _T_1707 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1710 = _T_1705 | _T_1709; // @[Bitwise.scala 103:39] + wire _T_1713 = ~store_byteen_ext_r[6]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1714 = _T_1637 & _T_1713; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1718 = _T_1714 ? io_stbuf_data_any[23:16] : io_store_data_hi_r[23:16]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1722 = {{4'd0}, _T_1718[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1724 = {_T_1718[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1726 = _T_1724 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1727 = _T_1722 | _T_1726; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_104 = {{2'd0}, _T_1727[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1732 = _GEN_104 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1734 = {_T_1727[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1736 = _T_1734 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1737 = _T_1732 | _T_1736; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_105 = {{1'd0}, _T_1737[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1742 = _GEN_105 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1744 = {_T_1737[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1746 = _T_1744 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1747 = _T_1742 | _T_1746; // @[Bitwise.scala 103:39] + wire _T_1750 = ~store_byteen_ext_r[7]; // @[lsu_dccm_ctl.scala 264:131] + wire _T_1751 = _T_1637 & _T_1750; // @[lsu_dccm_ctl.scala 264:129] + wire [7:0] _T_1755 = _T_1751 ? io_stbuf_data_any[31:24] : io_store_data_hi_r[31:24]; // @[lsu_dccm_ctl.scala 264:79] + wire [7:0] _T_1759 = {{4'd0}, _T_1755[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1761 = {_T_1755[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1763 = _T_1761 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1764 = _T_1759 | _T_1763; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_106 = {{2'd0}, _T_1764[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1769 = _GEN_106 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1771 = {_T_1764[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1773 = _T_1771 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1774 = _T_1769 | _T_1773; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_107 = {{1'd0}, _T_1774[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1779 = _GEN_107 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1781 = {_T_1774[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1783 = _T_1781 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1784 = _T_1779 | _T_1783; // @[Bitwise.scala 103:39] + wire [31:0] _T_1788 = {_T_1673,_T_1710,_T_1747,_T_1784}; // @[Cat.scala 29:58] + wire [31:0] _T_1792 = {{16'd0}, _T_1788[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1794 = {_T_1788[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1796 = _T_1794 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1797 = _T_1792 | _T_1796; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_108 = {{8'd0}, _T_1797[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1802 = _GEN_108 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1804 = {_T_1797[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1806 = _T_1804 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1807 = _T_1802 | _T_1806; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_109 = {{4'd0}, _T_1807[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1812 = _GEN_109 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1814 = {_T_1807[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1816 = _T_1814 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1817 = _T_1812 | _T_1816; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_110 = {{2'd0}, _T_1817[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1822 = _GEN_110 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1824 = {_T_1817[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1826 = _T_1824 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1827 = _T_1822 | _T_1826; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_111 = {{1'd0}, _T_1827[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1832 = _GEN_111 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1834 = {_T_1827[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1836 = _T_1834 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] _T_1840 = {io_store_data_hi_r,io_store_data_lo_r}; // @[Cat.scala 29:58] + wire [3:0] _GEN_112 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[lsu_dccm_ctl.scala 265:94] + wire [5:0] _T_1842 = 4'h8 * _GEN_112; // @[lsu_dccm_ctl.scala 265:94] + wire [63:0] _T_1843 = _T_1840 >> _T_1842; // @[lsu_dccm_ctl.scala 265:88] + wire [7:0] _T_1846 = store_byteen_r[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1849 = store_byteen_r[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1852 = store_byteen_r[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1855 = store_byteen_r[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1859 = {_T_1846,_T_1849,_T_1852,_T_1855}; // @[Cat.scala 29:58] + wire [31:0] _T_1863 = {{16'd0}, _T_1859[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1865 = {_T_1859[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1867 = _T_1865 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1868 = _T_1863 | _T_1867; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_113 = {{8'd0}, _T_1868[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1873 = _GEN_113 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1875 = {_T_1868[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1877 = _T_1875 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1878 = _T_1873 | _T_1877; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_114 = {{4'd0}, _T_1878[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1883 = _GEN_114 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1885 = {_T_1878[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1887 = _T_1885 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1888 = _T_1883 | _T_1887; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_115 = {{2'd0}, _T_1888[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1893 = _GEN_115 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1895 = {_T_1888[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1897 = _T_1895 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1898 = _T_1893 | _T_1897; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_116 = {{1'd0}, _T_1898[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1903 = _GEN_116 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1905 = {_T_1898[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1907 = _T_1905 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [31:0] _T_1908 = _T_1903 | _T_1907; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_117 = {{32'd0}, _T_1908}; // @[lsu_dccm_ctl.scala 265:115] + wire [63:0] _T_1909 = _T_1843 & _GEN_117; // @[lsu_dccm_ctl.scala 265:115] + wire _T_1914 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_dccm_ctl.scala 272:58] + wire _T_1915 = _T_1914 & io_addr_in_pic_r; // @[lsu_dccm_ctl.scala 272:84] + wire _T_1916 = _T_1915 & io_lsu_commit_r; // @[lsu_dccm_ctl.scala 272:103] + wire _T_1918 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_load; // @[lsu_dccm_ctl.scala 273:58] + wire _T_1920 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 274:58] + wire [31:0] _T_1924 = {17'h0,io_lsu_addr_d[14:0]}; // @[Cat.scala 29:58] + wire [14:0] _T_1930 = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_addr[14:0] : io_lsu_addr_r[14:0]; // @[lsu_dccm_ctl.scala 276:93] + wire [31:0] _T_1931 = {17'h0,_T_1930}; // @[Cat.scala 29:58] + reg _T_1938; // @[lsu_dccm_ctl.scala 280:61] + reg _T_1939; // @[lsu_dccm_ctl.scala 281:61] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_dccm_rdata_hi_r = 32'h0; // @[lsu_dccm_ctl.scala 150:28] + assign io_dccm_rdata_lo_r = 32'h0; // @[lsu_dccm_ctl.scala 149:28] + assign io_dccm_data_ecc_hi_r = 7'h0; // @[lsu_dccm_ctl.scala 151:28] + assign io_dccm_data_ecc_lo_r = 7'h0; // @[lsu_dccm_ctl.scala 152:28] + assign io_lsu_ld_data_r = 32'h0; // @[lsu_dccm_ctl.scala 153:28] + assign io_lsu_ld_data_corr_r = _T_817[31:0]; // @[lsu_dccm_ctl.scala 157:28] + assign io_dccm_rdata_hi_m = io_dccm_rd_data_hi[31:0]; // @[lsu_dccm_ctl.scala 268:27] + assign io_dccm_rdata_lo_m = io_dccm_rd_data_lo[31:0]; // @[lsu_dccm_ctl.scala 267:27] + assign io_dccm_data_ecc_hi_m = io_dccm_rd_data_hi[38:32]; // @[lsu_dccm_ctl.scala 270:27] + assign io_dccm_data_ecc_lo_m = io_dccm_rd_data_lo[38:32]; // @[lsu_dccm_ctl.scala 269:27] + assign io_lsu_ld_data_m = _T_820[31:0]; // @[lsu_dccm_ctl.scala 158:28] + assign io_store_data_hi_r = _T_1435; // @[lsu_dccm_ctl.scala 262:29] + assign io_store_data_lo_r = _T_1224; // @[lsu_dccm_ctl.scala 261:29] + assign io_store_datafn_hi_r = _T_1832 | _T_1836; // @[lsu_dccm_ctl.scala 264:29] + assign io_store_datafn_lo_r = _T_1631 | _T_1635; // @[lsu_dccm_ctl.scala 263:29] + assign io_store_data_r = _T_1909[31:0]; // @[lsu_dccm_ctl.scala 265:29] + assign io_ld_single_ecc_error_r = _T_872 & _T_873; // @[lsu_dccm_ctl.scala 171:34] + assign io_ld_single_ecc_error_r_ff = _T_889 & _T_890; // @[lsu_dccm_ctl.scala 178:31] + assign io_picm_mask_data_m = picm_rd_data_m[31:0]; // @[lsu_dccm_ctl.scala 277:27] + assign io_lsu_stbuf_commit_any = io_stbuf_reqvld_any & _T_904; // @[lsu_dccm_ctl.scala 179:31] + assign io_lsu_dccm_rden_m = _T_1938; // @[lsu_dccm_ctl.scala 280:24] + assign io_lsu_dccm_rden_r = _T_1939; // @[lsu_dccm_ctl.scala 281:24] + assign io_dma_dccm_ctl_dccm_dma_rvalid = _T & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 145:41] + assign io_dma_dccm_ctl_dccm_dma_ecc_error = io_lsu_double_ecc_error_m; // @[lsu_dccm_ctl.scala 146:41] + assign io_dma_dccm_ctl_dccm_dma_rtag = io_dma_mem_tag_m; // @[lsu_dccm_ctl.scala 148:41] + assign io_dma_dccm_ctl_dccm_dma_rdata = _T_4[63:0]; // @[lsu_dccm_ctl.scala 147:41] + assign io_dccm_wren = _T_906 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 185:22] + assign io_dccm_rden = lsu_dccm_rden_d & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 186:22] + assign io_dccm_wr_addr_lo = io_ld_single_ecc_error_r_ff ? _T_913 : _T_917; // @[lsu_dccm_ctl.scala 188:22] + assign io_dccm_wr_addr_hi = io_ld_single_ecc_error_r_ff ? _T_923 : _T_927; // @[lsu_dccm_ctl.scala 192:22] + assign io_dccm_rd_addr_lo = io_lsu_addr_d[15:0]; // @[lsu_dccm_ctl.scala 196:22] + assign io_dccm_rd_addr_hi = io_end_addr_d; // @[lsu_dccm_ctl.scala 197:22] + assign io_dccm_wr_data_lo = io_ld_single_ecc_error_r_ff ? _T_939 : _T_947; // @[lsu_dccm_ctl.scala 199:22] + assign io_dccm_wr_data_hi = io_ld_single_ecc_error_r_ff ? _T_957 : _T_965; // @[lsu_dccm_ctl.scala 205:22] + assign io_lsu_pic_picm_wren = _T_1916 | io_dma_pic_wen; // @[lsu_dccm_ctl.scala 272:35] + assign io_lsu_pic_picm_rden = _T_1918 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 273:35] + assign io_lsu_pic_picm_mken = _T_1920 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 274:35] + assign io_lsu_pic_picm_rdaddr = 32'hf00c0000 | _T_1924; // @[lsu_dccm_ctl.scala 275:35] + assign io_lsu_pic_picm_wraddr = 32'hf00c0000 | _T_1931; // @[lsu_dccm_ctl.scala 276:35] + assign io_lsu_pic_picm_wr_data = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_wdata[31:0] : io_store_datafn_lo_r; // @[lsu_dccm_ctl.scala 278:35] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_813 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_1431 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {2{`RANDOM}}; + _T_817 = _RAND_0[63:0]; + _RAND_1 = {1{`RANDOM}}; + ld_single_ecc_error_lo_r_ff = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + ld_single_ecc_error_hi_r_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + lsu_double_ecc_error_r_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ld_sec_addr_lo_r_ff = _RAND_4[15:0]; + _RAND_5 = {1{`RANDOM}}; + ld_sec_addr_hi_r_ff = _RAND_5[15:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1224 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1435 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + _T_1938 = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_1939 = _RAND_9[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_817 = 64'h0; + end + if (reset) begin + ld_single_ecc_error_lo_r_ff = 1'h0; + end + if (reset) begin + ld_single_ecc_error_hi_r_ff = 1'h0; + end + if (reset) begin + lsu_double_ecc_error_r_ff = 1'h0; + end + if (reset) begin + ld_sec_addr_lo_r_ff = 16'h0; + end + if (reset) begin + ld_sec_addr_hi_r_ff = 16'h0; + end + if (reset) begin + _T_1224 = 32'h0; + end + if (reset) begin + _T_1435 = 32'h0; + end + if (reset) begin + _T_1938 = 1'h0; + end + if (reset) begin + _T_1939 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_817 <= 64'h0; + end else begin + _T_817 <= lsu_rdata_corr_m >> _T_819; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_lo_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_lo_r_ff <= _T_876 & _T_877; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_hi_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_hi_r_ff <= _T_879 & _T_880; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_double_ecc_error_r_ff <= 1'h0; + end else begin + lsu_double_ecc_error_r_ff <= io_lsu_double_ecc_error_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_lo_r_ff <= 16'h0; + end else begin + ld_sec_addr_lo_r_ff <= io_lsu_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_hi_r_ff <= 16'h0; + end else begin + ld_sec_addr_hi_r_ff <= io_end_addr_r; + end + end + always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin + if (reset) begin + _T_1224 <= 32'h0; + end else begin + _T_1224 <= _T_1218 | _T_1222; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_1435 <= 32'h0; + end else begin + _T_1435 <= _T_1424 | _T_1428; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_1938 <= 1'h0; + end else begin + _T_1938 <= _T_888 & io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1939 <= 1'h0; + end else begin + _T_1939 <= io_lsu_dccm_rden_m; + end + end +endmodule diff --git a/lsu_ecc.anno.json b/lsu_ecc.anno.json new file mode 100644 index 00000000..458ae8a3 --- /dev/null +++ b/lsu_ecc.anno.json @@ -0,0 +1,339 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_lsu_single_ecc_error_r", + "sources":[ + "~lsu_ecc|lsu_ecc>io_single_ecc_error_hi_r", + "~lsu_ecc|lsu_ecc>io_single_ecc_error_lo_r", + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_ecc_hi", + "sources":[ + "~lsu_ecc|lsu_ecc>io_sec_data_hi_r_ff", + "~lsu_ecc|lsu_ecc>io_ld_single_ecc_error_r_ff", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_hi", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wen" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_lsu_double_ecc_error_m", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_sec_data_ecc_lo_r_ff", + "sources":[ + "~lsu_ecc|lsu_ecc>io_sec_data_lo_r_ff", + "~lsu_ecc|lsu_ecc>io_ld_single_ecc_error_r_ff", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_lo", + "~lsu_ecc|lsu_ecc>io_stbuf_data_any", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wen" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_single_ecc_error_hi_r", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_sec_data_lo_r", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_single_ecc_error_lo_r", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_sec_data_hi_r", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_sec_data_lo_m", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_sec_data_ecc_hi_r_ff", + "sources":[ + "~lsu_ecc|lsu_ecc>io_sec_data_hi_r_ff", + "~lsu_ecc|lsu_ecc>io_ld_single_ecc_error_r_ff", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_hi", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wen" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_sec_data_hi_m", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_lsu_single_ecc_error_m", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_ecc_lo", + "sources":[ + "~lsu_ecc|lsu_ecc>io_sec_data_lo_r_ff", + "~lsu_ecc|lsu_ecc>io_ld_single_ecc_error_r_ff", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_lo", + "~lsu_ecc|lsu_ecc>io_stbuf_data_any", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wen" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_stbuf_ecc_any", + "sources":[ + "~lsu_ecc|lsu_ecc>io_sec_data_lo_r_ff", + "~lsu_ecc|lsu_ecc>io_ld_single_ecc_error_r_ff", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wdata_lo", + "~lsu_ecc|lsu_ecc>io_stbuf_data_any", + "~lsu_ecc|lsu_ecc>io_dma_dccm_wen" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_ecc|lsu_ecc>io_lsu_double_ecc_error_r", + "sources":[ + "~lsu_ecc|lsu_ecc>io_dec_tlu_core_ecc_disable", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_dma", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_hi_m", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_data_ecc_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_r", + "~lsu_ecc|lsu_ecc>io_lsu_dccm_rden_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_hi_m", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_r", + "~lsu_ecc|lsu_ecc>io_addr_in_dccm_m", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_r", + "~lsu_ecc|lsu_ecc>io_dccm_rdata_lo_m", + "~lsu_ecc|lsu_ecc>io_lsu_addr_r", + "~lsu_ecc|lsu_ecc>io_end_addr_r", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_valid", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_valid", + "~lsu_ecc|lsu_ecc>io_lsu_addr_m", + "~lsu_ecc|lsu_ecc>io_end_addr_m", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_r_bits_store", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_load", + "~lsu_ecc|lsu_ecc>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_ecc.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_ecc" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_ecc.fir b/lsu_ecc.fir new file mode 100644 index 00000000..9635e200 --- /dev/null +++ b/lsu_ecc.fir @@ -0,0 +1,1670 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_ecc : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_ecc : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_r_clk : Clock, flip clk_override : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip stbuf_data_any : UInt<32>, flip dec_tlu_core_ecc_disable : UInt<1>, flip lsu_dccm_rden_r : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_addr_r : UInt<16>, flip end_addr_r : UInt<16>, flip lsu_addr_m : UInt<16>, flip end_addr_m : UInt<16>, flip dccm_rdata_hi_r : UInt<32>, flip dccm_rdata_lo_r : UInt<32>, flip dccm_rdata_hi_m : UInt<32>, flip dccm_rdata_lo_m : UInt<32>, flip dccm_data_ecc_hi_r : UInt<7>, flip dccm_data_ecc_lo_r : UInt<7>, flip dccm_data_ecc_hi_m : UInt<7>, flip dccm_data_ecc_lo_m : UInt<7>, flip ld_single_ecc_error_r : UInt<1>, flip ld_single_ecc_error_r_ff : UInt<1>, flip lsu_dccm_rden_m : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_wen : UInt<1>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip scan_mode : UInt<1>, sec_data_hi_r : UInt<32>, sec_data_lo_r : UInt<32>, sec_data_hi_m : UInt<32>, sec_data_lo_m : UInt<32>, sec_data_hi_r_ff : UInt<32>, sec_data_lo_r_ff : UInt<32>, dma_dccm_wdata_ecc_hi : UInt<7>, dma_dccm_wdata_ecc_lo : UInt<7>, stbuf_ecc_any : UInt<7>, sec_data_ecc_hi_r_ff : UInt<7>, sec_data_ecc_lo_r_ff : UInt<7>, single_ecc_error_hi_r : UInt<1>, single_ecc_error_lo_r : UInt<1>, lsu_single_ecc_error_r : UInt<1>, lsu_double_ecc_error_r : UInt<1>, lsu_single_ecc_error_m : UInt<1>, lsu_double_ecc_error_m : UInt<1>} + + wire is_ldst_r : UInt<1> + is_ldst_r <= UInt<1>("h00") + wire is_ldst_hi_any : UInt<1> + is_ldst_hi_any <= UInt<1>("h00") + wire is_ldst_lo_any : UInt<1> + is_ldst_lo_any <= UInt<1>("h00") + wire dccm_wdata_hi_any : UInt<32> + dccm_wdata_hi_any <= UInt<32>("h00") + wire dccm_wdata_lo_any : UInt<32> + dccm_wdata_lo_any <= UInt<32>("h00") + wire dccm_rdata_hi_any : UInt<32> + dccm_rdata_hi_any <= UInt<32>("h00") + wire dccm_rdata_lo_any : UInt<32> + dccm_rdata_lo_any <= UInt<32>("h00") + wire dccm_data_ecc_hi_any : UInt<7> + dccm_data_ecc_hi_any <= UInt<7>("h00") + wire dccm_data_ecc_lo_any : UInt<7> + dccm_data_ecc_lo_any <= UInt<7>("h00") + wire double_ecc_error_hi_m : UInt<1> + double_ecc_error_hi_m <= UInt<1>("h00") + wire double_ecc_error_lo_m : UInt<1> + double_ecc_error_lo_m <= UInt<1>("h00") + wire double_ecc_error_hi_r : UInt<1> + double_ecc_error_hi_r <= UInt<1>("h00") + wire double_ecc_error_lo_r : UInt<1> + double_ecc_error_lo_r <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire is_ldst_m : UInt<1> + is_ldst_m <= UInt<1>("h00") + wire is_ldst_hi_m : UInt<1> + is_ldst_hi_m <= UInt<1>("h00") + wire is_ldst_lo_m : UInt<1> + is_ldst_lo_m <= UInt<1>("h00") + wire is_ldst_hi_r : UInt<1> + is_ldst_hi_r <= UInt<1>("h00") + wire is_ldst_lo_r : UInt<1> + is_ldst_lo_r <= UInt<1>("h00") + io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 89:32] + io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 90:32] + io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 91:30] + io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 92:30] + wire _T : UInt<1>[18] @[lib.scala 173:18] + wire _T_1 : UInt<1>[18] @[lib.scala 174:18] + wire _T_2 : UInt<1>[18] @[lib.scala 175:18] + wire _T_3 : UInt<1>[15] @[lib.scala 176:18] + wire _T_4 : UInt<1>[15] @[lib.scala 177:18] + wire _T_5 : UInt<1>[6] @[lib.scala 178:18] + node _T_6 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 185:36] + _T[0] <= _T_6 @[lib.scala 185:30] + node _T_7 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 186:36] + _T_1[0] <= _T_7 @[lib.scala 186:30] + node _T_8 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 185:36] + _T[1] <= _T_8 @[lib.scala 185:30] + node _T_9 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 187:36] + _T_2[0] <= _T_9 @[lib.scala 187:30] + node _T_10 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 186:36] + _T_1[1] <= _T_10 @[lib.scala 186:30] + node _T_11 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 187:36] + _T_2[1] <= _T_11 @[lib.scala 187:30] + node _T_12 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 185:36] + _T[2] <= _T_12 @[lib.scala 185:30] + node _T_13 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 186:36] + _T_1[2] <= _T_13 @[lib.scala 186:30] + node _T_14 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 187:36] + _T_2[2] <= _T_14 @[lib.scala 187:30] + node _T_15 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 185:36] + _T[3] <= _T_15 @[lib.scala 185:30] + node _T_16 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 188:36] + _T_3[0] <= _T_16 @[lib.scala 188:30] + node _T_17 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 186:36] + _T_1[3] <= _T_17 @[lib.scala 186:30] + node _T_18 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 188:36] + _T_3[1] <= _T_18 @[lib.scala 188:30] + node _T_19 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 185:36] + _T[4] <= _T_19 @[lib.scala 185:30] + node _T_20 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 186:36] + _T_1[4] <= _T_20 @[lib.scala 186:30] + node _T_21 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 188:36] + _T_3[2] <= _T_21 @[lib.scala 188:30] + node _T_22 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 187:36] + _T_2[3] <= _T_22 @[lib.scala 187:30] + node _T_23 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 188:36] + _T_3[3] <= _T_23 @[lib.scala 188:30] + node _T_24 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 185:36] + _T[5] <= _T_24 @[lib.scala 185:30] + node _T_25 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 187:36] + _T_2[4] <= _T_25 @[lib.scala 187:30] + node _T_26 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 188:36] + _T_3[4] <= _T_26 @[lib.scala 188:30] + node _T_27 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 186:36] + _T_1[5] <= _T_27 @[lib.scala 186:30] + node _T_28 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 187:36] + _T_2[5] <= _T_28 @[lib.scala 187:30] + node _T_29 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 188:36] + _T_3[5] <= _T_29 @[lib.scala 188:30] + node _T_30 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 185:36] + _T[6] <= _T_30 @[lib.scala 185:30] + node _T_31 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 186:36] + _T_1[6] <= _T_31 @[lib.scala 186:30] + node _T_32 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 187:36] + _T_2[6] <= _T_32 @[lib.scala 187:30] + node _T_33 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 188:36] + _T_3[6] <= _T_33 @[lib.scala 188:30] + node _T_34 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 185:36] + _T[7] <= _T_34 @[lib.scala 185:30] + node _T_35 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 189:36] + _T_4[0] <= _T_35 @[lib.scala 189:30] + node _T_36 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 186:36] + _T_1[7] <= _T_36 @[lib.scala 186:30] + node _T_37 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 189:36] + _T_4[1] <= _T_37 @[lib.scala 189:30] + node _T_38 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 185:36] + _T[8] <= _T_38 @[lib.scala 185:30] + node _T_39 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 186:36] + _T_1[8] <= _T_39 @[lib.scala 186:30] + node _T_40 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 189:36] + _T_4[2] <= _T_40 @[lib.scala 189:30] + node _T_41 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 187:36] + _T_2[7] <= _T_41 @[lib.scala 187:30] + node _T_42 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 189:36] + _T_4[3] <= _T_42 @[lib.scala 189:30] + node _T_43 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 185:36] + _T[9] <= _T_43 @[lib.scala 185:30] + node _T_44 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 187:36] + _T_2[8] <= _T_44 @[lib.scala 187:30] + node _T_45 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 189:36] + _T_4[4] <= _T_45 @[lib.scala 189:30] + node _T_46 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 186:36] + _T_1[9] <= _T_46 @[lib.scala 186:30] + node _T_47 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 187:36] + _T_2[9] <= _T_47 @[lib.scala 187:30] + node _T_48 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 189:36] + _T_4[5] <= _T_48 @[lib.scala 189:30] + node _T_49 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 185:36] + _T[10] <= _T_49 @[lib.scala 185:30] + node _T_50 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 186:36] + _T_1[10] <= _T_50 @[lib.scala 186:30] + node _T_51 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 187:36] + _T_2[10] <= _T_51 @[lib.scala 187:30] + node _T_52 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 189:36] + _T_4[6] <= _T_52 @[lib.scala 189:30] + node _T_53 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 188:36] + _T_3[7] <= _T_53 @[lib.scala 188:30] + node _T_54 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 189:36] + _T_4[7] <= _T_54 @[lib.scala 189:30] + node _T_55 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 185:36] + _T[11] <= _T_55 @[lib.scala 185:30] + node _T_56 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 188:36] + _T_3[8] <= _T_56 @[lib.scala 188:30] + node _T_57 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 189:36] + _T_4[8] <= _T_57 @[lib.scala 189:30] + node _T_58 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 186:36] + _T_1[11] <= _T_58 @[lib.scala 186:30] + node _T_59 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 188:36] + _T_3[9] <= _T_59 @[lib.scala 188:30] + node _T_60 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 189:36] + _T_4[9] <= _T_60 @[lib.scala 189:30] + node _T_61 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 185:36] + _T[12] <= _T_61 @[lib.scala 185:30] + node _T_62 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 186:36] + _T_1[12] <= _T_62 @[lib.scala 186:30] + node _T_63 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 188:36] + _T_3[10] <= _T_63 @[lib.scala 188:30] + node _T_64 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 189:36] + _T_4[10] <= _T_64 @[lib.scala 189:30] + node _T_65 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 187:36] + _T_2[11] <= _T_65 @[lib.scala 187:30] + node _T_66 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 188:36] + _T_3[11] <= _T_66 @[lib.scala 188:30] + node _T_67 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 189:36] + _T_4[11] <= _T_67 @[lib.scala 189:30] + node _T_68 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 185:36] + _T[13] <= _T_68 @[lib.scala 185:30] + node _T_69 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 187:36] + _T_2[12] <= _T_69 @[lib.scala 187:30] + node _T_70 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 188:36] + _T_3[12] <= _T_70 @[lib.scala 188:30] + node _T_71 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 189:36] + _T_4[12] <= _T_71 @[lib.scala 189:30] + node _T_72 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 186:36] + _T_1[13] <= _T_72 @[lib.scala 186:30] + node _T_73 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 187:36] + _T_2[13] <= _T_73 @[lib.scala 187:30] + node _T_74 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 188:36] + _T_3[13] <= _T_74 @[lib.scala 188:30] + node _T_75 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 189:36] + _T_4[13] <= _T_75 @[lib.scala 189:30] + node _T_76 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 185:36] + _T[14] <= _T_76 @[lib.scala 185:30] + node _T_77 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 186:36] + _T_1[14] <= _T_77 @[lib.scala 186:30] + node _T_78 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 187:36] + _T_2[14] <= _T_78 @[lib.scala 187:30] + node _T_79 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 188:36] + _T_3[14] <= _T_79 @[lib.scala 188:30] + node _T_80 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 189:36] + _T_4[14] <= _T_80 @[lib.scala 189:30] + node _T_81 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 185:36] + _T[15] <= _T_81 @[lib.scala 185:30] + node _T_82 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 190:36] + _T_5[0] <= _T_82 @[lib.scala 190:30] + node _T_83 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 186:36] + _T_1[15] <= _T_83 @[lib.scala 186:30] + node _T_84 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 190:36] + _T_5[1] <= _T_84 @[lib.scala 190:30] + node _T_85 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 185:36] + _T[16] <= _T_85 @[lib.scala 185:30] + node _T_86 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 186:36] + _T_1[16] <= _T_86 @[lib.scala 186:30] + node _T_87 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 190:36] + _T_5[2] <= _T_87 @[lib.scala 190:30] + node _T_88 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 187:36] + _T_2[15] <= _T_88 @[lib.scala 187:30] + node _T_89 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 190:36] + _T_5[3] <= _T_89 @[lib.scala 190:30] + node _T_90 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 185:36] + _T[17] <= _T_90 @[lib.scala 185:30] + node _T_91 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 187:36] + _T_2[16] <= _T_91 @[lib.scala 187:30] + node _T_92 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 190:36] + _T_5[4] <= _T_92 @[lib.scala 190:30] + node _T_93 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 186:36] + _T_1[17] <= _T_93 @[lib.scala 186:30] + node _T_94 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 187:36] + _T_2[17] <= _T_94 @[lib.scala 187:30] + node _T_95 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 190:36] + _T_5[5] <= _T_95 @[lib.scala 190:30] + node _T_96 = xorr(dccm_rdata_hi_any) @[lib.scala 193:30] + node _T_97 = xorr(dccm_data_ecc_hi_any) @[lib.scala 193:44] + node _T_98 = xor(_T_96, _T_97) @[lib.scala 193:35] + node _T_99 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_100 = and(_T_98, _T_99) @[lib.scala 193:50] + node _T_101 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 193:68] + node _T_102 = cat(_T_5[2], _T_5[1]) @[lib.scala 193:76] + node _T_103 = cat(_T_102, _T_5[0]) @[lib.scala 193:76] + node _T_104 = cat(_T_5[5], _T_5[4]) @[lib.scala 193:76] + node _T_105 = cat(_T_104, _T_5[3]) @[lib.scala 193:76] + node _T_106 = cat(_T_105, _T_103) @[lib.scala 193:76] + node _T_107 = xorr(_T_106) @[lib.scala 193:83] + node _T_108 = xor(_T_101, _T_107) @[lib.scala 193:71] + node _T_109 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 193:95] + node _T_110 = cat(_T_4[2], _T_4[1]) @[lib.scala 193:103] + node _T_111 = cat(_T_110, _T_4[0]) @[lib.scala 193:103] + node _T_112 = cat(_T_4[4], _T_4[3]) @[lib.scala 193:103] + node _T_113 = cat(_T_4[6], _T_4[5]) @[lib.scala 193:103] + node _T_114 = cat(_T_113, _T_112) @[lib.scala 193:103] + node _T_115 = cat(_T_114, _T_111) @[lib.scala 193:103] + node _T_116 = cat(_T_4[8], _T_4[7]) @[lib.scala 193:103] + node _T_117 = cat(_T_4[10], _T_4[9]) @[lib.scala 193:103] + node _T_118 = cat(_T_117, _T_116) @[lib.scala 193:103] + node _T_119 = cat(_T_4[12], _T_4[11]) @[lib.scala 193:103] + node _T_120 = cat(_T_4[14], _T_4[13]) @[lib.scala 193:103] + node _T_121 = cat(_T_120, _T_119) @[lib.scala 193:103] + node _T_122 = cat(_T_121, _T_118) @[lib.scala 193:103] + node _T_123 = cat(_T_122, _T_115) @[lib.scala 193:103] + node _T_124 = xorr(_T_123) @[lib.scala 193:110] + node _T_125 = xor(_T_109, _T_124) @[lib.scala 193:98] + node _T_126 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 193:122] + node _T_127 = cat(_T_3[2], _T_3[1]) @[lib.scala 193:130] + node _T_128 = cat(_T_127, _T_3[0]) @[lib.scala 193:130] + node _T_129 = cat(_T_3[4], _T_3[3]) @[lib.scala 193:130] + node _T_130 = cat(_T_3[6], _T_3[5]) @[lib.scala 193:130] + node _T_131 = cat(_T_130, _T_129) @[lib.scala 193:130] + node _T_132 = cat(_T_131, _T_128) @[lib.scala 193:130] + node _T_133 = cat(_T_3[8], _T_3[7]) @[lib.scala 193:130] + node _T_134 = cat(_T_3[10], _T_3[9]) @[lib.scala 193:130] + node _T_135 = cat(_T_134, _T_133) @[lib.scala 193:130] + node _T_136 = cat(_T_3[12], _T_3[11]) @[lib.scala 193:130] + node _T_137 = cat(_T_3[14], _T_3[13]) @[lib.scala 193:130] + node _T_138 = cat(_T_137, _T_136) @[lib.scala 193:130] + node _T_139 = cat(_T_138, _T_135) @[lib.scala 193:130] + node _T_140 = cat(_T_139, _T_132) @[lib.scala 193:130] + node _T_141 = xorr(_T_140) @[lib.scala 193:137] + node _T_142 = xor(_T_126, _T_141) @[lib.scala 193:125] + node _T_143 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 193:149] + node _T_144 = cat(_T_2[1], _T_2[0]) @[lib.scala 193:157] + node _T_145 = cat(_T_2[3], _T_2[2]) @[lib.scala 193:157] + node _T_146 = cat(_T_145, _T_144) @[lib.scala 193:157] + node _T_147 = cat(_T_2[5], _T_2[4]) @[lib.scala 193:157] + node _T_148 = cat(_T_2[8], _T_2[7]) @[lib.scala 193:157] + node _T_149 = cat(_T_148, _T_2[6]) @[lib.scala 193:157] + node _T_150 = cat(_T_149, _T_147) @[lib.scala 193:157] + node _T_151 = cat(_T_150, _T_146) @[lib.scala 193:157] + node _T_152 = cat(_T_2[10], _T_2[9]) @[lib.scala 193:157] + node _T_153 = cat(_T_2[12], _T_2[11]) @[lib.scala 193:157] + node _T_154 = cat(_T_153, _T_152) @[lib.scala 193:157] + node _T_155 = cat(_T_2[14], _T_2[13]) @[lib.scala 193:157] + node _T_156 = cat(_T_2[17], _T_2[16]) @[lib.scala 193:157] + node _T_157 = cat(_T_156, _T_2[15]) @[lib.scala 193:157] + node _T_158 = cat(_T_157, _T_155) @[lib.scala 193:157] + node _T_159 = cat(_T_158, _T_154) @[lib.scala 193:157] + node _T_160 = cat(_T_159, _T_151) @[lib.scala 193:157] + node _T_161 = xorr(_T_160) @[lib.scala 193:164] + node _T_162 = xor(_T_143, _T_161) @[lib.scala 193:152] + node _T_163 = bits(dccm_data_ecc_hi_any, 1, 1) @[lib.scala 193:176] + node _T_164 = cat(_T_1[1], _T_1[0]) @[lib.scala 193:184] + node _T_165 = cat(_T_1[3], _T_1[2]) @[lib.scala 193:184] + node _T_166 = cat(_T_165, _T_164) @[lib.scala 193:184] + node _T_167 = cat(_T_1[5], _T_1[4]) @[lib.scala 193:184] + node _T_168 = cat(_T_1[8], _T_1[7]) @[lib.scala 193:184] + node _T_169 = cat(_T_168, _T_1[6]) @[lib.scala 193:184] + node _T_170 = cat(_T_169, _T_167) @[lib.scala 193:184] + node _T_171 = cat(_T_170, _T_166) @[lib.scala 193:184] + node _T_172 = cat(_T_1[10], _T_1[9]) @[lib.scala 193:184] + node _T_173 = cat(_T_1[12], _T_1[11]) @[lib.scala 193:184] + node _T_174 = cat(_T_173, _T_172) @[lib.scala 193:184] + node _T_175 = cat(_T_1[14], _T_1[13]) @[lib.scala 193:184] + node _T_176 = cat(_T_1[17], _T_1[16]) @[lib.scala 193:184] + node _T_177 = cat(_T_176, _T_1[15]) @[lib.scala 193:184] + node _T_178 = cat(_T_177, _T_175) @[lib.scala 193:184] + node _T_179 = cat(_T_178, _T_174) @[lib.scala 193:184] + node _T_180 = cat(_T_179, _T_171) @[lib.scala 193:184] + node _T_181 = xorr(_T_180) @[lib.scala 193:191] + node _T_182 = xor(_T_163, _T_181) @[lib.scala 193:179] + node _T_183 = bits(dccm_data_ecc_hi_any, 0, 0) @[lib.scala 193:203] + node _T_184 = cat(_T[1], _T[0]) @[lib.scala 193:211] + node _T_185 = cat(_T[3], _T[2]) @[lib.scala 193:211] + node _T_186 = cat(_T_185, _T_184) @[lib.scala 193:211] + node _T_187 = cat(_T[5], _T[4]) @[lib.scala 193:211] + node _T_188 = cat(_T[8], _T[7]) @[lib.scala 193:211] + node _T_189 = cat(_T_188, _T[6]) @[lib.scala 193:211] + node _T_190 = cat(_T_189, _T_187) @[lib.scala 193:211] + node _T_191 = cat(_T_190, _T_186) @[lib.scala 193:211] + node _T_192 = cat(_T[10], _T[9]) @[lib.scala 193:211] + node _T_193 = cat(_T[12], _T[11]) @[lib.scala 193:211] + node _T_194 = cat(_T_193, _T_192) @[lib.scala 193:211] + node _T_195 = cat(_T[14], _T[13]) @[lib.scala 193:211] + node _T_196 = cat(_T[17], _T[16]) @[lib.scala 193:211] + node _T_197 = cat(_T_196, _T[15]) @[lib.scala 193:211] + node _T_198 = cat(_T_197, _T_195) @[lib.scala 193:211] + node _T_199 = cat(_T_198, _T_194) @[lib.scala 193:211] + node _T_200 = cat(_T_199, _T_191) @[lib.scala 193:211] + node _T_201 = xorr(_T_200) @[lib.scala 193:218] + node _T_202 = xor(_T_183, _T_201) @[lib.scala 193:206] + node _T_203 = cat(_T_162, _T_182) @[Cat.scala 29:58] + node _T_204 = cat(_T_203, _T_202) @[Cat.scala 29:58] + node _T_205 = cat(_T_125, _T_142) @[Cat.scala 29:58] + node _T_206 = cat(_T_100, _T_108) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, _T_205) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_204) @[Cat.scala 29:58] + node _T_209 = neq(_T_208, UInt<1>("h00")) @[lib.scala 194:44] + node _T_210 = and(is_ldst_hi_any, _T_209) @[lib.scala 194:32] + node _T_211 = bits(_T_208, 6, 6) @[lib.scala 194:64] + node single_ecc_error_hi_any = and(_T_210, _T_211) @[lib.scala 194:53] + node _T_212 = neq(_T_208, UInt<1>("h00")) @[lib.scala 195:44] + node _T_213 = and(is_ldst_hi_any, _T_212) @[lib.scala 195:32] + node _T_214 = bits(_T_208, 6, 6) @[lib.scala 195:65] + node _T_215 = not(_T_214) @[lib.scala 195:55] + node double_ecc_error_hi_any = and(_T_213, _T_215) @[lib.scala 195:53] + wire _T_216 : UInt<1>[39] @[lib.scala 196:26] + node _T_217 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_218 = eq(_T_217, UInt<1>("h01")) @[lib.scala 199:41] + _T_216[0] <= _T_218 @[lib.scala 199:23] + node _T_219 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_220 = eq(_T_219, UInt<2>("h02")) @[lib.scala 199:41] + _T_216[1] <= _T_220 @[lib.scala 199:23] + node _T_221 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_222 = eq(_T_221, UInt<2>("h03")) @[lib.scala 199:41] + _T_216[2] <= _T_222 @[lib.scala 199:23] + node _T_223 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_224 = eq(_T_223, UInt<3>("h04")) @[lib.scala 199:41] + _T_216[3] <= _T_224 @[lib.scala 199:23] + node _T_225 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_226 = eq(_T_225, UInt<3>("h05")) @[lib.scala 199:41] + _T_216[4] <= _T_226 @[lib.scala 199:23] + node _T_227 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_228 = eq(_T_227, UInt<3>("h06")) @[lib.scala 199:41] + _T_216[5] <= _T_228 @[lib.scala 199:23] + node _T_229 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_230 = eq(_T_229, UInt<3>("h07")) @[lib.scala 199:41] + _T_216[6] <= _T_230 @[lib.scala 199:23] + node _T_231 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_232 = eq(_T_231, UInt<4>("h08")) @[lib.scala 199:41] + _T_216[7] <= _T_232 @[lib.scala 199:23] + node _T_233 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_234 = eq(_T_233, UInt<4>("h09")) @[lib.scala 199:41] + _T_216[8] <= _T_234 @[lib.scala 199:23] + node _T_235 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_236 = eq(_T_235, UInt<4>("h0a")) @[lib.scala 199:41] + _T_216[9] <= _T_236 @[lib.scala 199:23] + node _T_237 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_238 = eq(_T_237, UInt<4>("h0b")) @[lib.scala 199:41] + _T_216[10] <= _T_238 @[lib.scala 199:23] + node _T_239 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_240 = eq(_T_239, UInt<4>("h0c")) @[lib.scala 199:41] + _T_216[11] <= _T_240 @[lib.scala 199:23] + node _T_241 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_242 = eq(_T_241, UInt<4>("h0d")) @[lib.scala 199:41] + _T_216[12] <= _T_242 @[lib.scala 199:23] + node _T_243 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_244 = eq(_T_243, UInt<4>("h0e")) @[lib.scala 199:41] + _T_216[13] <= _T_244 @[lib.scala 199:23] + node _T_245 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_246 = eq(_T_245, UInt<4>("h0f")) @[lib.scala 199:41] + _T_216[14] <= _T_246 @[lib.scala 199:23] + node _T_247 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_248 = eq(_T_247, UInt<5>("h010")) @[lib.scala 199:41] + _T_216[15] <= _T_248 @[lib.scala 199:23] + node _T_249 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_250 = eq(_T_249, UInt<5>("h011")) @[lib.scala 199:41] + _T_216[16] <= _T_250 @[lib.scala 199:23] + node _T_251 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_252 = eq(_T_251, UInt<5>("h012")) @[lib.scala 199:41] + _T_216[17] <= _T_252 @[lib.scala 199:23] + node _T_253 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_254 = eq(_T_253, UInt<5>("h013")) @[lib.scala 199:41] + _T_216[18] <= _T_254 @[lib.scala 199:23] + node _T_255 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_256 = eq(_T_255, UInt<5>("h014")) @[lib.scala 199:41] + _T_216[19] <= _T_256 @[lib.scala 199:23] + node _T_257 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_258 = eq(_T_257, UInt<5>("h015")) @[lib.scala 199:41] + _T_216[20] <= _T_258 @[lib.scala 199:23] + node _T_259 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_260 = eq(_T_259, UInt<5>("h016")) @[lib.scala 199:41] + _T_216[21] <= _T_260 @[lib.scala 199:23] + node _T_261 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_262 = eq(_T_261, UInt<5>("h017")) @[lib.scala 199:41] + _T_216[22] <= _T_262 @[lib.scala 199:23] + node _T_263 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_264 = eq(_T_263, UInt<5>("h018")) @[lib.scala 199:41] + _T_216[23] <= _T_264 @[lib.scala 199:23] + node _T_265 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_266 = eq(_T_265, UInt<5>("h019")) @[lib.scala 199:41] + _T_216[24] <= _T_266 @[lib.scala 199:23] + node _T_267 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_268 = eq(_T_267, UInt<5>("h01a")) @[lib.scala 199:41] + _T_216[25] <= _T_268 @[lib.scala 199:23] + node _T_269 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_270 = eq(_T_269, UInt<5>("h01b")) @[lib.scala 199:41] + _T_216[26] <= _T_270 @[lib.scala 199:23] + node _T_271 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_272 = eq(_T_271, UInt<5>("h01c")) @[lib.scala 199:41] + _T_216[27] <= _T_272 @[lib.scala 199:23] + node _T_273 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_274 = eq(_T_273, UInt<5>("h01d")) @[lib.scala 199:41] + _T_216[28] <= _T_274 @[lib.scala 199:23] + node _T_275 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_276 = eq(_T_275, UInt<5>("h01e")) @[lib.scala 199:41] + _T_216[29] <= _T_276 @[lib.scala 199:23] + node _T_277 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_278 = eq(_T_277, UInt<5>("h01f")) @[lib.scala 199:41] + _T_216[30] <= _T_278 @[lib.scala 199:23] + node _T_279 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_280 = eq(_T_279, UInt<6>("h020")) @[lib.scala 199:41] + _T_216[31] <= _T_280 @[lib.scala 199:23] + node _T_281 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_282 = eq(_T_281, UInt<6>("h021")) @[lib.scala 199:41] + _T_216[32] <= _T_282 @[lib.scala 199:23] + node _T_283 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_284 = eq(_T_283, UInt<6>("h022")) @[lib.scala 199:41] + _T_216[33] <= _T_284 @[lib.scala 199:23] + node _T_285 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_286 = eq(_T_285, UInt<6>("h023")) @[lib.scala 199:41] + _T_216[34] <= _T_286 @[lib.scala 199:23] + node _T_287 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_288 = eq(_T_287, UInt<6>("h024")) @[lib.scala 199:41] + _T_216[35] <= _T_288 @[lib.scala 199:23] + node _T_289 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_290 = eq(_T_289, UInt<6>("h025")) @[lib.scala 199:41] + _T_216[36] <= _T_290 @[lib.scala 199:23] + node _T_291 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_292 = eq(_T_291, UInt<6>("h026")) @[lib.scala 199:41] + _T_216[37] <= _T_292 @[lib.scala 199:23] + node _T_293 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_294 = eq(_T_293, UInt<6>("h027")) @[lib.scala 199:41] + _T_216[38] <= _T_294 @[lib.scala 199:23] + node _T_295 = bits(dccm_data_ecc_hi_any, 6, 6) @[lib.scala 201:37] + node _T_296 = bits(dccm_rdata_hi_any, 31, 26) @[lib.scala 201:45] + node _T_297 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 201:60] + node _T_298 = bits(dccm_rdata_hi_any, 25, 11) @[lib.scala 201:68] + node _T_299 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 201:83] + node _T_300 = bits(dccm_rdata_hi_any, 10, 4) @[lib.scala 201:91] + node _T_301 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 201:105] + node _T_302 = bits(dccm_rdata_hi_any, 3, 1) @[lib.scala 201:113] + node _T_303 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 201:126] + node _T_304 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 201:134] + node _T_305 = bits(dccm_data_ecc_hi_any, 1, 0) @[lib.scala 201:145] + node _T_306 = cat(_T_304, _T_305) @[Cat.scala 29:58] + node _T_307 = cat(_T_301, _T_302) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_303) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306) @[Cat.scala 29:58] + node _T_310 = cat(_T_298, _T_299) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_300) @[Cat.scala 29:58] + node _T_312 = cat(_T_295, _T_296) @[Cat.scala 29:58] + node _T_313 = cat(_T_312, _T_297) @[Cat.scala 29:58] + node _T_314 = cat(_T_313, _T_311) @[Cat.scala 29:58] + node _T_315 = cat(_T_314, _T_309) @[Cat.scala 29:58] + node _T_316 = bits(single_ecc_error_hi_any, 0, 0) @[lib.scala 202:49] + node _T_317 = cat(_T_216[1], _T_216[0]) @[lib.scala 202:69] + node _T_318 = cat(_T_216[3], _T_216[2]) @[lib.scala 202:69] + node _T_319 = cat(_T_318, _T_317) @[lib.scala 202:69] + node _T_320 = cat(_T_216[5], _T_216[4]) @[lib.scala 202:69] + node _T_321 = cat(_T_216[8], _T_216[7]) @[lib.scala 202:69] + node _T_322 = cat(_T_321, _T_216[6]) @[lib.scala 202:69] + node _T_323 = cat(_T_322, _T_320) @[lib.scala 202:69] + node _T_324 = cat(_T_323, _T_319) @[lib.scala 202:69] + node _T_325 = cat(_T_216[10], _T_216[9]) @[lib.scala 202:69] + node _T_326 = cat(_T_216[13], _T_216[12]) @[lib.scala 202:69] + node _T_327 = cat(_T_326, _T_216[11]) @[lib.scala 202:69] + node _T_328 = cat(_T_327, _T_325) @[lib.scala 202:69] + node _T_329 = cat(_T_216[15], _T_216[14]) @[lib.scala 202:69] + node _T_330 = cat(_T_216[18], _T_216[17]) @[lib.scala 202:69] + node _T_331 = cat(_T_330, _T_216[16]) @[lib.scala 202:69] + node _T_332 = cat(_T_331, _T_329) @[lib.scala 202:69] + node _T_333 = cat(_T_332, _T_328) @[lib.scala 202:69] + node _T_334 = cat(_T_333, _T_324) @[lib.scala 202:69] + node _T_335 = cat(_T_216[20], _T_216[19]) @[lib.scala 202:69] + node _T_336 = cat(_T_216[23], _T_216[22]) @[lib.scala 202:69] + node _T_337 = cat(_T_336, _T_216[21]) @[lib.scala 202:69] + node _T_338 = cat(_T_337, _T_335) @[lib.scala 202:69] + node _T_339 = cat(_T_216[25], _T_216[24]) @[lib.scala 202:69] + node _T_340 = cat(_T_216[28], _T_216[27]) @[lib.scala 202:69] + node _T_341 = cat(_T_340, _T_216[26]) @[lib.scala 202:69] + node _T_342 = cat(_T_341, _T_339) @[lib.scala 202:69] + node _T_343 = cat(_T_342, _T_338) @[lib.scala 202:69] + node _T_344 = cat(_T_216[30], _T_216[29]) @[lib.scala 202:69] + node _T_345 = cat(_T_216[33], _T_216[32]) @[lib.scala 202:69] + node _T_346 = cat(_T_345, _T_216[31]) @[lib.scala 202:69] + node _T_347 = cat(_T_346, _T_344) @[lib.scala 202:69] + node _T_348 = cat(_T_216[35], _T_216[34]) @[lib.scala 202:69] + node _T_349 = cat(_T_216[38], _T_216[37]) @[lib.scala 202:69] + node _T_350 = cat(_T_349, _T_216[36]) @[lib.scala 202:69] + node _T_351 = cat(_T_350, _T_348) @[lib.scala 202:69] + node _T_352 = cat(_T_351, _T_347) @[lib.scala 202:69] + node _T_353 = cat(_T_352, _T_343) @[lib.scala 202:69] + node _T_354 = cat(_T_353, _T_334) @[lib.scala 202:69] + node _T_355 = xor(_T_354, _T_315) @[lib.scala 202:76] + node _T_356 = mux(_T_316, _T_355, _T_315) @[lib.scala 202:31] + node _T_357 = bits(_T_356, 37, 32) @[lib.scala 204:37] + node _T_358 = bits(_T_356, 30, 16) @[lib.scala 204:61] + node _T_359 = bits(_T_356, 14, 8) @[lib.scala 204:86] + node _T_360 = bits(_T_356, 6, 4) @[lib.scala 204:110] + node _T_361 = bits(_T_356, 2, 2) @[lib.scala 204:133] + node _T_362 = cat(_T_360, _T_361) @[Cat.scala 29:58] + node _T_363 = cat(_T_357, _T_358) @[Cat.scala 29:58] + node _T_364 = cat(_T_363, _T_359) @[Cat.scala 29:58] + node sec_data_hi_any = cat(_T_364, _T_362) @[Cat.scala 29:58] + node _T_365 = bits(_T_356, 38, 38) @[lib.scala 205:39] + node _T_366 = bits(_T_208, 6, 0) @[lib.scala 205:56] + node _T_367 = eq(_T_366, UInt<7>("h040")) @[lib.scala 205:62] + node _T_368 = xor(_T_365, _T_367) @[lib.scala 205:44] + node _T_369 = bits(_T_356, 31, 31) @[lib.scala 205:102] + node _T_370 = bits(_T_356, 15, 15) @[lib.scala 205:124] + node _T_371 = bits(_T_356, 7, 7) @[lib.scala 205:146] + node _T_372 = bits(_T_356, 3, 3) @[lib.scala 205:167] + node _T_373 = bits(_T_356, 1, 0) @[lib.scala 205:188] + node _T_374 = cat(_T_371, _T_372) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_373) @[Cat.scala 29:58] + node _T_376 = cat(_T_368, _T_369) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_370) @[Cat.scala 29:58] + node ecc_out_hi_nc = cat(_T_377, _T_375) @[Cat.scala 29:58] + wire _T_378 : UInt<1>[18] @[lib.scala 173:18] + wire _T_379 : UInt<1>[18] @[lib.scala 174:18] + wire _T_380 : UInt<1>[18] @[lib.scala 175:18] + wire _T_381 : UInt<1>[15] @[lib.scala 176:18] + wire _T_382 : UInt<1>[15] @[lib.scala 177:18] + wire _T_383 : UInt<1>[6] @[lib.scala 178:18] + node _T_384 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 185:36] + _T_378[0] <= _T_384 @[lib.scala 185:30] + node _T_385 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 186:36] + _T_379[0] <= _T_385 @[lib.scala 186:30] + node _T_386 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 185:36] + _T_378[1] <= _T_386 @[lib.scala 185:30] + node _T_387 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 187:36] + _T_380[0] <= _T_387 @[lib.scala 187:30] + node _T_388 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 186:36] + _T_379[1] <= _T_388 @[lib.scala 186:30] + node _T_389 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 187:36] + _T_380[1] <= _T_389 @[lib.scala 187:30] + node _T_390 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 185:36] + _T_378[2] <= _T_390 @[lib.scala 185:30] + node _T_391 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 186:36] + _T_379[2] <= _T_391 @[lib.scala 186:30] + node _T_392 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 187:36] + _T_380[2] <= _T_392 @[lib.scala 187:30] + node _T_393 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 185:36] + _T_378[3] <= _T_393 @[lib.scala 185:30] + node _T_394 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 188:36] + _T_381[0] <= _T_394 @[lib.scala 188:30] + node _T_395 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 186:36] + _T_379[3] <= _T_395 @[lib.scala 186:30] + node _T_396 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 188:36] + _T_381[1] <= _T_396 @[lib.scala 188:30] + node _T_397 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 185:36] + _T_378[4] <= _T_397 @[lib.scala 185:30] + node _T_398 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 186:36] + _T_379[4] <= _T_398 @[lib.scala 186:30] + node _T_399 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 188:36] + _T_381[2] <= _T_399 @[lib.scala 188:30] + node _T_400 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 187:36] + _T_380[3] <= _T_400 @[lib.scala 187:30] + node _T_401 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 188:36] + _T_381[3] <= _T_401 @[lib.scala 188:30] + node _T_402 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 185:36] + _T_378[5] <= _T_402 @[lib.scala 185:30] + node _T_403 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 187:36] + _T_380[4] <= _T_403 @[lib.scala 187:30] + node _T_404 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 188:36] + _T_381[4] <= _T_404 @[lib.scala 188:30] + node _T_405 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 186:36] + _T_379[5] <= _T_405 @[lib.scala 186:30] + node _T_406 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 187:36] + _T_380[5] <= _T_406 @[lib.scala 187:30] + node _T_407 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 188:36] + _T_381[5] <= _T_407 @[lib.scala 188:30] + node _T_408 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 185:36] + _T_378[6] <= _T_408 @[lib.scala 185:30] + node _T_409 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 186:36] + _T_379[6] <= _T_409 @[lib.scala 186:30] + node _T_410 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 187:36] + _T_380[6] <= _T_410 @[lib.scala 187:30] + node _T_411 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 188:36] + _T_381[6] <= _T_411 @[lib.scala 188:30] + node _T_412 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 185:36] + _T_378[7] <= _T_412 @[lib.scala 185:30] + node _T_413 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 189:36] + _T_382[0] <= _T_413 @[lib.scala 189:30] + node _T_414 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 186:36] + _T_379[7] <= _T_414 @[lib.scala 186:30] + node _T_415 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 189:36] + _T_382[1] <= _T_415 @[lib.scala 189:30] + node _T_416 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 185:36] + _T_378[8] <= _T_416 @[lib.scala 185:30] + node _T_417 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 186:36] + _T_379[8] <= _T_417 @[lib.scala 186:30] + node _T_418 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 189:36] + _T_382[2] <= _T_418 @[lib.scala 189:30] + node _T_419 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 187:36] + _T_380[7] <= _T_419 @[lib.scala 187:30] + node _T_420 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 189:36] + _T_382[3] <= _T_420 @[lib.scala 189:30] + node _T_421 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 185:36] + _T_378[9] <= _T_421 @[lib.scala 185:30] + node _T_422 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 187:36] + _T_380[8] <= _T_422 @[lib.scala 187:30] + node _T_423 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 189:36] + _T_382[4] <= _T_423 @[lib.scala 189:30] + node _T_424 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 186:36] + _T_379[9] <= _T_424 @[lib.scala 186:30] + node _T_425 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 187:36] + _T_380[9] <= _T_425 @[lib.scala 187:30] + node _T_426 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 189:36] + _T_382[5] <= _T_426 @[lib.scala 189:30] + node _T_427 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 185:36] + _T_378[10] <= _T_427 @[lib.scala 185:30] + node _T_428 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 186:36] + _T_379[10] <= _T_428 @[lib.scala 186:30] + node _T_429 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 187:36] + _T_380[10] <= _T_429 @[lib.scala 187:30] + node _T_430 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 189:36] + _T_382[6] <= _T_430 @[lib.scala 189:30] + node _T_431 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 188:36] + _T_381[7] <= _T_431 @[lib.scala 188:30] + node _T_432 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 189:36] + _T_382[7] <= _T_432 @[lib.scala 189:30] + node _T_433 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 185:36] + _T_378[11] <= _T_433 @[lib.scala 185:30] + node _T_434 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 188:36] + _T_381[8] <= _T_434 @[lib.scala 188:30] + node _T_435 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 189:36] + _T_382[8] <= _T_435 @[lib.scala 189:30] + node _T_436 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 186:36] + _T_379[11] <= _T_436 @[lib.scala 186:30] + node _T_437 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 188:36] + _T_381[9] <= _T_437 @[lib.scala 188:30] + node _T_438 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 189:36] + _T_382[9] <= _T_438 @[lib.scala 189:30] + node _T_439 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 185:36] + _T_378[12] <= _T_439 @[lib.scala 185:30] + node _T_440 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 186:36] + _T_379[12] <= _T_440 @[lib.scala 186:30] + node _T_441 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 188:36] + _T_381[10] <= _T_441 @[lib.scala 188:30] + node _T_442 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 189:36] + _T_382[10] <= _T_442 @[lib.scala 189:30] + node _T_443 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 187:36] + _T_380[11] <= _T_443 @[lib.scala 187:30] + node _T_444 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 188:36] + _T_381[11] <= _T_444 @[lib.scala 188:30] + node _T_445 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 189:36] + _T_382[11] <= _T_445 @[lib.scala 189:30] + node _T_446 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 185:36] + _T_378[13] <= _T_446 @[lib.scala 185:30] + node _T_447 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 187:36] + _T_380[12] <= _T_447 @[lib.scala 187:30] + node _T_448 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 188:36] + _T_381[12] <= _T_448 @[lib.scala 188:30] + node _T_449 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 189:36] + _T_382[12] <= _T_449 @[lib.scala 189:30] + node _T_450 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 186:36] + _T_379[13] <= _T_450 @[lib.scala 186:30] + node _T_451 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 187:36] + _T_380[13] <= _T_451 @[lib.scala 187:30] + node _T_452 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 188:36] + _T_381[13] <= _T_452 @[lib.scala 188:30] + node _T_453 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 189:36] + _T_382[13] <= _T_453 @[lib.scala 189:30] + node _T_454 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 185:36] + _T_378[14] <= _T_454 @[lib.scala 185:30] + node _T_455 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 186:36] + _T_379[14] <= _T_455 @[lib.scala 186:30] + node _T_456 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 187:36] + _T_380[14] <= _T_456 @[lib.scala 187:30] + node _T_457 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 188:36] + _T_381[14] <= _T_457 @[lib.scala 188:30] + node _T_458 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 189:36] + _T_382[14] <= _T_458 @[lib.scala 189:30] + node _T_459 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 185:36] + _T_378[15] <= _T_459 @[lib.scala 185:30] + node _T_460 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 190:36] + _T_383[0] <= _T_460 @[lib.scala 190:30] + node _T_461 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 186:36] + _T_379[15] <= _T_461 @[lib.scala 186:30] + node _T_462 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 190:36] + _T_383[1] <= _T_462 @[lib.scala 190:30] + node _T_463 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 185:36] + _T_378[16] <= _T_463 @[lib.scala 185:30] + node _T_464 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 186:36] + _T_379[16] <= _T_464 @[lib.scala 186:30] + node _T_465 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 190:36] + _T_383[2] <= _T_465 @[lib.scala 190:30] + node _T_466 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 187:36] + _T_380[15] <= _T_466 @[lib.scala 187:30] + node _T_467 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 190:36] + _T_383[3] <= _T_467 @[lib.scala 190:30] + node _T_468 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 185:36] + _T_378[17] <= _T_468 @[lib.scala 185:30] + node _T_469 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 187:36] + _T_380[16] <= _T_469 @[lib.scala 187:30] + node _T_470 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 190:36] + _T_383[4] <= _T_470 @[lib.scala 190:30] + node _T_471 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 186:36] + _T_379[17] <= _T_471 @[lib.scala 186:30] + node _T_472 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 187:36] + _T_380[17] <= _T_472 @[lib.scala 187:30] + node _T_473 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 190:36] + _T_383[5] <= _T_473 @[lib.scala 190:30] + node _T_474 = xorr(dccm_rdata_lo_any) @[lib.scala 193:30] + node _T_475 = xorr(dccm_data_ecc_lo_any) @[lib.scala 193:44] + node _T_476 = xor(_T_474, _T_475) @[lib.scala 193:35] + node _T_477 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_478 = and(_T_476, _T_477) @[lib.scala 193:50] + node _T_479 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 193:68] + node _T_480 = cat(_T_383[2], _T_383[1]) @[lib.scala 193:76] + node _T_481 = cat(_T_480, _T_383[0]) @[lib.scala 193:76] + node _T_482 = cat(_T_383[5], _T_383[4]) @[lib.scala 193:76] + node _T_483 = cat(_T_482, _T_383[3]) @[lib.scala 193:76] + node _T_484 = cat(_T_483, _T_481) @[lib.scala 193:76] + node _T_485 = xorr(_T_484) @[lib.scala 193:83] + node _T_486 = xor(_T_479, _T_485) @[lib.scala 193:71] + node _T_487 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 193:95] + node _T_488 = cat(_T_382[2], _T_382[1]) @[lib.scala 193:103] + node _T_489 = cat(_T_488, _T_382[0]) @[lib.scala 193:103] + node _T_490 = cat(_T_382[4], _T_382[3]) @[lib.scala 193:103] + node _T_491 = cat(_T_382[6], _T_382[5]) @[lib.scala 193:103] + node _T_492 = cat(_T_491, _T_490) @[lib.scala 193:103] + node _T_493 = cat(_T_492, _T_489) @[lib.scala 193:103] + node _T_494 = cat(_T_382[8], _T_382[7]) @[lib.scala 193:103] + node _T_495 = cat(_T_382[10], _T_382[9]) @[lib.scala 193:103] + node _T_496 = cat(_T_495, _T_494) @[lib.scala 193:103] + node _T_497 = cat(_T_382[12], _T_382[11]) @[lib.scala 193:103] + node _T_498 = cat(_T_382[14], _T_382[13]) @[lib.scala 193:103] + node _T_499 = cat(_T_498, _T_497) @[lib.scala 193:103] + node _T_500 = cat(_T_499, _T_496) @[lib.scala 193:103] + node _T_501 = cat(_T_500, _T_493) @[lib.scala 193:103] + node _T_502 = xorr(_T_501) @[lib.scala 193:110] + node _T_503 = xor(_T_487, _T_502) @[lib.scala 193:98] + node _T_504 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 193:122] + node _T_505 = cat(_T_381[2], _T_381[1]) @[lib.scala 193:130] + node _T_506 = cat(_T_505, _T_381[0]) @[lib.scala 193:130] + node _T_507 = cat(_T_381[4], _T_381[3]) @[lib.scala 193:130] + node _T_508 = cat(_T_381[6], _T_381[5]) @[lib.scala 193:130] + node _T_509 = cat(_T_508, _T_507) @[lib.scala 193:130] + node _T_510 = cat(_T_509, _T_506) @[lib.scala 193:130] + node _T_511 = cat(_T_381[8], _T_381[7]) @[lib.scala 193:130] + node _T_512 = cat(_T_381[10], _T_381[9]) @[lib.scala 193:130] + node _T_513 = cat(_T_512, _T_511) @[lib.scala 193:130] + node _T_514 = cat(_T_381[12], _T_381[11]) @[lib.scala 193:130] + node _T_515 = cat(_T_381[14], _T_381[13]) @[lib.scala 193:130] + node _T_516 = cat(_T_515, _T_514) @[lib.scala 193:130] + node _T_517 = cat(_T_516, _T_513) @[lib.scala 193:130] + node _T_518 = cat(_T_517, _T_510) @[lib.scala 193:130] + node _T_519 = xorr(_T_518) @[lib.scala 193:137] + node _T_520 = xor(_T_504, _T_519) @[lib.scala 193:125] + node _T_521 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 193:149] + node _T_522 = cat(_T_380[1], _T_380[0]) @[lib.scala 193:157] + node _T_523 = cat(_T_380[3], _T_380[2]) @[lib.scala 193:157] + node _T_524 = cat(_T_523, _T_522) @[lib.scala 193:157] + node _T_525 = cat(_T_380[5], _T_380[4]) @[lib.scala 193:157] + node _T_526 = cat(_T_380[8], _T_380[7]) @[lib.scala 193:157] + node _T_527 = cat(_T_526, _T_380[6]) @[lib.scala 193:157] + node _T_528 = cat(_T_527, _T_525) @[lib.scala 193:157] + node _T_529 = cat(_T_528, _T_524) @[lib.scala 193:157] + node _T_530 = cat(_T_380[10], _T_380[9]) @[lib.scala 193:157] + node _T_531 = cat(_T_380[12], _T_380[11]) @[lib.scala 193:157] + node _T_532 = cat(_T_531, _T_530) @[lib.scala 193:157] + node _T_533 = cat(_T_380[14], _T_380[13]) @[lib.scala 193:157] + node _T_534 = cat(_T_380[17], _T_380[16]) @[lib.scala 193:157] + node _T_535 = cat(_T_534, _T_380[15]) @[lib.scala 193:157] + node _T_536 = cat(_T_535, _T_533) @[lib.scala 193:157] + node _T_537 = cat(_T_536, _T_532) @[lib.scala 193:157] + node _T_538 = cat(_T_537, _T_529) @[lib.scala 193:157] + node _T_539 = xorr(_T_538) @[lib.scala 193:164] + node _T_540 = xor(_T_521, _T_539) @[lib.scala 193:152] + node _T_541 = bits(dccm_data_ecc_lo_any, 1, 1) @[lib.scala 193:176] + node _T_542 = cat(_T_379[1], _T_379[0]) @[lib.scala 193:184] + node _T_543 = cat(_T_379[3], _T_379[2]) @[lib.scala 193:184] + node _T_544 = cat(_T_543, _T_542) @[lib.scala 193:184] + node _T_545 = cat(_T_379[5], _T_379[4]) @[lib.scala 193:184] + node _T_546 = cat(_T_379[8], _T_379[7]) @[lib.scala 193:184] + node _T_547 = cat(_T_546, _T_379[6]) @[lib.scala 193:184] + node _T_548 = cat(_T_547, _T_545) @[lib.scala 193:184] + node _T_549 = cat(_T_548, _T_544) @[lib.scala 193:184] + node _T_550 = cat(_T_379[10], _T_379[9]) @[lib.scala 193:184] + node _T_551 = cat(_T_379[12], _T_379[11]) @[lib.scala 193:184] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 193:184] + node _T_553 = cat(_T_379[14], _T_379[13]) @[lib.scala 193:184] + node _T_554 = cat(_T_379[17], _T_379[16]) @[lib.scala 193:184] + node _T_555 = cat(_T_554, _T_379[15]) @[lib.scala 193:184] + node _T_556 = cat(_T_555, _T_553) @[lib.scala 193:184] + node _T_557 = cat(_T_556, _T_552) @[lib.scala 193:184] + node _T_558 = cat(_T_557, _T_549) @[lib.scala 193:184] + node _T_559 = xorr(_T_558) @[lib.scala 193:191] + node _T_560 = xor(_T_541, _T_559) @[lib.scala 193:179] + node _T_561 = bits(dccm_data_ecc_lo_any, 0, 0) @[lib.scala 193:203] + node _T_562 = cat(_T_378[1], _T_378[0]) @[lib.scala 193:211] + node _T_563 = cat(_T_378[3], _T_378[2]) @[lib.scala 193:211] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 193:211] + node _T_565 = cat(_T_378[5], _T_378[4]) @[lib.scala 193:211] + node _T_566 = cat(_T_378[8], _T_378[7]) @[lib.scala 193:211] + node _T_567 = cat(_T_566, _T_378[6]) @[lib.scala 193:211] + node _T_568 = cat(_T_567, _T_565) @[lib.scala 193:211] + node _T_569 = cat(_T_568, _T_564) @[lib.scala 193:211] + node _T_570 = cat(_T_378[10], _T_378[9]) @[lib.scala 193:211] + node _T_571 = cat(_T_378[12], _T_378[11]) @[lib.scala 193:211] + node _T_572 = cat(_T_571, _T_570) @[lib.scala 193:211] + node _T_573 = cat(_T_378[14], _T_378[13]) @[lib.scala 193:211] + node _T_574 = cat(_T_378[17], _T_378[16]) @[lib.scala 193:211] + node _T_575 = cat(_T_574, _T_378[15]) @[lib.scala 193:211] + node _T_576 = cat(_T_575, _T_573) @[lib.scala 193:211] + node _T_577 = cat(_T_576, _T_572) @[lib.scala 193:211] + node _T_578 = cat(_T_577, _T_569) @[lib.scala 193:211] + node _T_579 = xorr(_T_578) @[lib.scala 193:218] + node _T_580 = xor(_T_561, _T_579) @[lib.scala 193:206] + node _T_581 = cat(_T_540, _T_560) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_580) @[Cat.scala 29:58] + node _T_583 = cat(_T_503, _T_520) @[Cat.scala 29:58] + node _T_584 = cat(_T_478, _T_486) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_583) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_582) @[Cat.scala 29:58] + node _T_587 = neq(_T_586, UInt<1>("h00")) @[lib.scala 194:44] + node _T_588 = and(is_ldst_lo_any, _T_587) @[lib.scala 194:32] + node _T_589 = bits(_T_586, 6, 6) @[lib.scala 194:64] + node single_ecc_error_lo_any = and(_T_588, _T_589) @[lib.scala 194:53] + node _T_590 = neq(_T_586, UInt<1>("h00")) @[lib.scala 195:44] + node _T_591 = and(is_ldst_lo_any, _T_590) @[lib.scala 195:32] + node _T_592 = bits(_T_586, 6, 6) @[lib.scala 195:65] + node _T_593 = not(_T_592) @[lib.scala 195:55] + node double_ecc_error_lo_any = and(_T_591, _T_593) @[lib.scala 195:53] + wire _T_594 : UInt<1>[39] @[lib.scala 196:26] + node _T_595 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_596 = eq(_T_595, UInt<1>("h01")) @[lib.scala 199:41] + _T_594[0] <= _T_596 @[lib.scala 199:23] + node _T_597 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_598 = eq(_T_597, UInt<2>("h02")) @[lib.scala 199:41] + _T_594[1] <= _T_598 @[lib.scala 199:23] + node _T_599 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_600 = eq(_T_599, UInt<2>("h03")) @[lib.scala 199:41] + _T_594[2] <= _T_600 @[lib.scala 199:23] + node _T_601 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_602 = eq(_T_601, UInt<3>("h04")) @[lib.scala 199:41] + _T_594[3] <= _T_602 @[lib.scala 199:23] + node _T_603 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_604 = eq(_T_603, UInt<3>("h05")) @[lib.scala 199:41] + _T_594[4] <= _T_604 @[lib.scala 199:23] + node _T_605 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_606 = eq(_T_605, UInt<3>("h06")) @[lib.scala 199:41] + _T_594[5] <= _T_606 @[lib.scala 199:23] + node _T_607 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_608 = eq(_T_607, UInt<3>("h07")) @[lib.scala 199:41] + _T_594[6] <= _T_608 @[lib.scala 199:23] + node _T_609 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_610 = eq(_T_609, UInt<4>("h08")) @[lib.scala 199:41] + _T_594[7] <= _T_610 @[lib.scala 199:23] + node _T_611 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_612 = eq(_T_611, UInt<4>("h09")) @[lib.scala 199:41] + _T_594[8] <= _T_612 @[lib.scala 199:23] + node _T_613 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_614 = eq(_T_613, UInt<4>("h0a")) @[lib.scala 199:41] + _T_594[9] <= _T_614 @[lib.scala 199:23] + node _T_615 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_616 = eq(_T_615, UInt<4>("h0b")) @[lib.scala 199:41] + _T_594[10] <= _T_616 @[lib.scala 199:23] + node _T_617 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_618 = eq(_T_617, UInt<4>("h0c")) @[lib.scala 199:41] + _T_594[11] <= _T_618 @[lib.scala 199:23] + node _T_619 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_620 = eq(_T_619, UInt<4>("h0d")) @[lib.scala 199:41] + _T_594[12] <= _T_620 @[lib.scala 199:23] + node _T_621 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_622 = eq(_T_621, UInt<4>("h0e")) @[lib.scala 199:41] + _T_594[13] <= _T_622 @[lib.scala 199:23] + node _T_623 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_624 = eq(_T_623, UInt<4>("h0f")) @[lib.scala 199:41] + _T_594[14] <= _T_624 @[lib.scala 199:23] + node _T_625 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_626 = eq(_T_625, UInt<5>("h010")) @[lib.scala 199:41] + _T_594[15] <= _T_626 @[lib.scala 199:23] + node _T_627 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_628 = eq(_T_627, UInt<5>("h011")) @[lib.scala 199:41] + _T_594[16] <= _T_628 @[lib.scala 199:23] + node _T_629 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_630 = eq(_T_629, UInt<5>("h012")) @[lib.scala 199:41] + _T_594[17] <= _T_630 @[lib.scala 199:23] + node _T_631 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_632 = eq(_T_631, UInt<5>("h013")) @[lib.scala 199:41] + _T_594[18] <= _T_632 @[lib.scala 199:23] + node _T_633 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_634 = eq(_T_633, UInt<5>("h014")) @[lib.scala 199:41] + _T_594[19] <= _T_634 @[lib.scala 199:23] + node _T_635 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_636 = eq(_T_635, UInt<5>("h015")) @[lib.scala 199:41] + _T_594[20] <= _T_636 @[lib.scala 199:23] + node _T_637 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_638 = eq(_T_637, UInt<5>("h016")) @[lib.scala 199:41] + _T_594[21] <= _T_638 @[lib.scala 199:23] + node _T_639 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_640 = eq(_T_639, UInt<5>("h017")) @[lib.scala 199:41] + _T_594[22] <= _T_640 @[lib.scala 199:23] + node _T_641 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_642 = eq(_T_641, UInt<5>("h018")) @[lib.scala 199:41] + _T_594[23] <= _T_642 @[lib.scala 199:23] + node _T_643 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_644 = eq(_T_643, UInt<5>("h019")) @[lib.scala 199:41] + _T_594[24] <= _T_644 @[lib.scala 199:23] + node _T_645 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_646 = eq(_T_645, UInt<5>("h01a")) @[lib.scala 199:41] + _T_594[25] <= _T_646 @[lib.scala 199:23] + node _T_647 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_648 = eq(_T_647, UInt<5>("h01b")) @[lib.scala 199:41] + _T_594[26] <= _T_648 @[lib.scala 199:23] + node _T_649 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_650 = eq(_T_649, UInt<5>("h01c")) @[lib.scala 199:41] + _T_594[27] <= _T_650 @[lib.scala 199:23] + node _T_651 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_652 = eq(_T_651, UInt<5>("h01d")) @[lib.scala 199:41] + _T_594[28] <= _T_652 @[lib.scala 199:23] + node _T_653 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_654 = eq(_T_653, UInt<5>("h01e")) @[lib.scala 199:41] + _T_594[29] <= _T_654 @[lib.scala 199:23] + node _T_655 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_656 = eq(_T_655, UInt<5>("h01f")) @[lib.scala 199:41] + _T_594[30] <= _T_656 @[lib.scala 199:23] + node _T_657 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_658 = eq(_T_657, UInt<6>("h020")) @[lib.scala 199:41] + _T_594[31] <= _T_658 @[lib.scala 199:23] + node _T_659 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_660 = eq(_T_659, UInt<6>("h021")) @[lib.scala 199:41] + _T_594[32] <= _T_660 @[lib.scala 199:23] + node _T_661 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_662 = eq(_T_661, UInt<6>("h022")) @[lib.scala 199:41] + _T_594[33] <= _T_662 @[lib.scala 199:23] + node _T_663 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_664 = eq(_T_663, UInt<6>("h023")) @[lib.scala 199:41] + _T_594[34] <= _T_664 @[lib.scala 199:23] + node _T_665 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_666 = eq(_T_665, UInt<6>("h024")) @[lib.scala 199:41] + _T_594[35] <= _T_666 @[lib.scala 199:23] + node _T_667 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_668 = eq(_T_667, UInt<6>("h025")) @[lib.scala 199:41] + _T_594[36] <= _T_668 @[lib.scala 199:23] + node _T_669 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_670 = eq(_T_669, UInt<6>("h026")) @[lib.scala 199:41] + _T_594[37] <= _T_670 @[lib.scala 199:23] + node _T_671 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_672 = eq(_T_671, UInt<6>("h027")) @[lib.scala 199:41] + _T_594[38] <= _T_672 @[lib.scala 199:23] + node _T_673 = bits(dccm_data_ecc_lo_any, 6, 6) @[lib.scala 201:37] + node _T_674 = bits(dccm_rdata_lo_any, 31, 26) @[lib.scala 201:45] + node _T_675 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 201:60] + node _T_676 = bits(dccm_rdata_lo_any, 25, 11) @[lib.scala 201:68] + node _T_677 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 201:83] + node _T_678 = bits(dccm_rdata_lo_any, 10, 4) @[lib.scala 201:91] + node _T_679 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 201:105] + node _T_680 = bits(dccm_rdata_lo_any, 3, 1) @[lib.scala 201:113] + node _T_681 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 201:126] + node _T_682 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 201:134] + node _T_683 = bits(dccm_data_ecc_lo_any, 1, 0) @[lib.scala 201:145] + node _T_684 = cat(_T_682, _T_683) @[Cat.scala 29:58] + node _T_685 = cat(_T_679, _T_680) @[Cat.scala 29:58] + node _T_686 = cat(_T_685, _T_681) @[Cat.scala 29:58] + node _T_687 = cat(_T_686, _T_684) @[Cat.scala 29:58] + node _T_688 = cat(_T_676, _T_677) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_678) @[Cat.scala 29:58] + node _T_690 = cat(_T_673, _T_674) @[Cat.scala 29:58] + node _T_691 = cat(_T_690, _T_675) @[Cat.scala 29:58] + node _T_692 = cat(_T_691, _T_689) @[Cat.scala 29:58] + node _T_693 = cat(_T_692, _T_687) @[Cat.scala 29:58] + node _T_694 = bits(single_ecc_error_lo_any, 0, 0) @[lib.scala 202:49] + node _T_695 = cat(_T_594[1], _T_594[0]) @[lib.scala 202:69] + node _T_696 = cat(_T_594[3], _T_594[2]) @[lib.scala 202:69] + node _T_697 = cat(_T_696, _T_695) @[lib.scala 202:69] + node _T_698 = cat(_T_594[5], _T_594[4]) @[lib.scala 202:69] + node _T_699 = cat(_T_594[8], _T_594[7]) @[lib.scala 202:69] + node _T_700 = cat(_T_699, _T_594[6]) @[lib.scala 202:69] + node _T_701 = cat(_T_700, _T_698) @[lib.scala 202:69] + node _T_702 = cat(_T_701, _T_697) @[lib.scala 202:69] + node _T_703 = cat(_T_594[10], _T_594[9]) @[lib.scala 202:69] + node _T_704 = cat(_T_594[13], _T_594[12]) @[lib.scala 202:69] + node _T_705 = cat(_T_704, _T_594[11]) @[lib.scala 202:69] + node _T_706 = cat(_T_705, _T_703) @[lib.scala 202:69] + node _T_707 = cat(_T_594[15], _T_594[14]) @[lib.scala 202:69] + node _T_708 = cat(_T_594[18], _T_594[17]) @[lib.scala 202:69] + node _T_709 = cat(_T_708, _T_594[16]) @[lib.scala 202:69] + node _T_710 = cat(_T_709, _T_707) @[lib.scala 202:69] + node _T_711 = cat(_T_710, _T_706) @[lib.scala 202:69] + node _T_712 = cat(_T_711, _T_702) @[lib.scala 202:69] + node _T_713 = cat(_T_594[20], _T_594[19]) @[lib.scala 202:69] + node _T_714 = cat(_T_594[23], _T_594[22]) @[lib.scala 202:69] + node _T_715 = cat(_T_714, _T_594[21]) @[lib.scala 202:69] + node _T_716 = cat(_T_715, _T_713) @[lib.scala 202:69] + node _T_717 = cat(_T_594[25], _T_594[24]) @[lib.scala 202:69] + node _T_718 = cat(_T_594[28], _T_594[27]) @[lib.scala 202:69] + node _T_719 = cat(_T_718, _T_594[26]) @[lib.scala 202:69] + node _T_720 = cat(_T_719, _T_717) @[lib.scala 202:69] + node _T_721 = cat(_T_720, _T_716) @[lib.scala 202:69] + node _T_722 = cat(_T_594[30], _T_594[29]) @[lib.scala 202:69] + node _T_723 = cat(_T_594[33], _T_594[32]) @[lib.scala 202:69] + node _T_724 = cat(_T_723, _T_594[31]) @[lib.scala 202:69] + node _T_725 = cat(_T_724, _T_722) @[lib.scala 202:69] + node _T_726 = cat(_T_594[35], _T_594[34]) @[lib.scala 202:69] + node _T_727 = cat(_T_594[38], _T_594[37]) @[lib.scala 202:69] + node _T_728 = cat(_T_727, _T_594[36]) @[lib.scala 202:69] + node _T_729 = cat(_T_728, _T_726) @[lib.scala 202:69] + node _T_730 = cat(_T_729, _T_725) @[lib.scala 202:69] + node _T_731 = cat(_T_730, _T_721) @[lib.scala 202:69] + node _T_732 = cat(_T_731, _T_712) @[lib.scala 202:69] + node _T_733 = xor(_T_732, _T_693) @[lib.scala 202:76] + node _T_734 = mux(_T_694, _T_733, _T_693) @[lib.scala 202:31] + node _T_735 = bits(_T_734, 37, 32) @[lib.scala 204:37] + node _T_736 = bits(_T_734, 30, 16) @[lib.scala 204:61] + node _T_737 = bits(_T_734, 14, 8) @[lib.scala 204:86] + node _T_738 = bits(_T_734, 6, 4) @[lib.scala 204:110] + node _T_739 = bits(_T_734, 2, 2) @[lib.scala 204:133] + node _T_740 = cat(_T_738, _T_739) @[Cat.scala 29:58] + node _T_741 = cat(_T_735, _T_736) @[Cat.scala 29:58] + node _T_742 = cat(_T_741, _T_737) @[Cat.scala 29:58] + node sec_data_lo_any = cat(_T_742, _T_740) @[Cat.scala 29:58] + node _T_743 = bits(_T_734, 38, 38) @[lib.scala 205:39] + node _T_744 = bits(_T_586, 6, 0) @[lib.scala 205:56] + node _T_745 = eq(_T_744, UInt<7>("h040")) @[lib.scala 205:62] + node _T_746 = xor(_T_743, _T_745) @[lib.scala 205:44] + node _T_747 = bits(_T_734, 31, 31) @[lib.scala 205:102] + node _T_748 = bits(_T_734, 15, 15) @[lib.scala 205:124] + node _T_749 = bits(_T_734, 7, 7) @[lib.scala 205:146] + node _T_750 = bits(_T_734, 3, 3) @[lib.scala 205:167] + node _T_751 = bits(_T_734, 1, 0) @[lib.scala 205:188] + node _T_752 = cat(_T_749, _T_750) @[Cat.scala 29:58] + node _T_753 = cat(_T_752, _T_751) @[Cat.scala 29:58] + node _T_754 = cat(_T_746, _T_747) @[Cat.scala 29:58] + node _T_755 = cat(_T_754, _T_748) @[Cat.scala 29:58] + node ecc_out_lo_nc = cat(_T_755, _T_753) @[Cat.scala 29:58] + node _T_756 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_757 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_758 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_759 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_760 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_761 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_762 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_763 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_764 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_765 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_766 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_767 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_768 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_769 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_770 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_771 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_772 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_773 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_774 = xor(_T_756, _T_757) @[lib.scala 119:74] + node _T_775 = xor(_T_774, _T_758) @[lib.scala 119:74] + node _T_776 = xor(_T_775, _T_759) @[lib.scala 119:74] + node _T_777 = xor(_T_776, _T_760) @[lib.scala 119:74] + node _T_778 = xor(_T_777, _T_761) @[lib.scala 119:74] + node _T_779 = xor(_T_778, _T_762) @[lib.scala 119:74] + node _T_780 = xor(_T_779, _T_763) @[lib.scala 119:74] + node _T_781 = xor(_T_780, _T_764) @[lib.scala 119:74] + node _T_782 = xor(_T_781, _T_765) @[lib.scala 119:74] + node _T_783 = xor(_T_782, _T_766) @[lib.scala 119:74] + node _T_784 = xor(_T_783, _T_767) @[lib.scala 119:74] + node _T_785 = xor(_T_784, _T_768) @[lib.scala 119:74] + node _T_786 = xor(_T_785, _T_769) @[lib.scala 119:74] + node _T_787 = xor(_T_786, _T_770) @[lib.scala 119:74] + node _T_788 = xor(_T_787, _T_771) @[lib.scala 119:74] + node _T_789 = xor(_T_788, _T_772) @[lib.scala 119:74] + node _T_790 = xor(_T_789, _T_773) @[lib.scala 119:74] + node _T_791 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_792 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_793 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_794 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_795 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_796 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_797 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_798 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_799 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_800 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_801 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_802 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_803 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_804 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_805 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_806 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_807 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_808 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_809 = xor(_T_791, _T_792) @[lib.scala 119:74] + node _T_810 = xor(_T_809, _T_793) @[lib.scala 119:74] + node _T_811 = xor(_T_810, _T_794) @[lib.scala 119:74] + node _T_812 = xor(_T_811, _T_795) @[lib.scala 119:74] + node _T_813 = xor(_T_812, _T_796) @[lib.scala 119:74] + node _T_814 = xor(_T_813, _T_797) @[lib.scala 119:74] + node _T_815 = xor(_T_814, _T_798) @[lib.scala 119:74] + node _T_816 = xor(_T_815, _T_799) @[lib.scala 119:74] + node _T_817 = xor(_T_816, _T_800) @[lib.scala 119:74] + node _T_818 = xor(_T_817, _T_801) @[lib.scala 119:74] + node _T_819 = xor(_T_818, _T_802) @[lib.scala 119:74] + node _T_820 = xor(_T_819, _T_803) @[lib.scala 119:74] + node _T_821 = xor(_T_820, _T_804) @[lib.scala 119:74] + node _T_822 = xor(_T_821, _T_805) @[lib.scala 119:74] + node _T_823 = xor(_T_822, _T_806) @[lib.scala 119:74] + node _T_824 = xor(_T_823, _T_807) @[lib.scala 119:74] + node _T_825 = xor(_T_824, _T_808) @[lib.scala 119:74] + node _T_826 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_827 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_828 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_829 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_830 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_831 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_832 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_833 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_834 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_835 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_836 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_837 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_838 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_839 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_840 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_841 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_842 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_843 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_844 = xor(_T_826, _T_827) @[lib.scala 119:74] + node _T_845 = xor(_T_844, _T_828) @[lib.scala 119:74] + node _T_846 = xor(_T_845, _T_829) @[lib.scala 119:74] + node _T_847 = xor(_T_846, _T_830) @[lib.scala 119:74] + node _T_848 = xor(_T_847, _T_831) @[lib.scala 119:74] + node _T_849 = xor(_T_848, _T_832) @[lib.scala 119:74] + node _T_850 = xor(_T_849, _T_833) @[lib.scala 119:74] + node _T_851 = xor(_T_850, _T_834) @[lib.scala 119:74] + node _T_852 = xor(_T_851, _T_835) @[lib.scala 119:74] + node _T_853 = xor(_T_852, _T_836) @[lib.scala 119:74] + node _T_854 = xor(_T_853, _T_837) @[lib.scala 119:74] + node _T_855 = xor(_T_854, _T_838) @[lib.scala 119:74] + node _T_856 = xor(_T_855, _T_839) @[lib.scala 119:74] + node _T_857 = xor(_T_856, _T_840) @[lib.scala 119:74] + node _T_858 = xor(_T_857, _T_841) @[lib.scala 119:74] + node _T_859 = xor(_T_858, _T_842) @[lib.scala 119:74] + node _T_860 = xor(_T_859, _T_843) @[lib.scala 119:74] + node _T_861 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_862 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_863 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_864 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_865 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_866 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_867 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_868 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_869 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_870 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_871 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_872 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_873 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_874 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_875 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_876 = xor(_T_861, _T_862) @[lib.scala 119:74] + node _T_877 = xor(_T_876, _T_863) @[lib.scala 119:74] + node _T_878 = xor(_T_877, _T_864) @[lib.scala 119:74] + node _T_879 = xor(_T_878, _T_865) @[lib.scala 119:74] + node _T_880 = xor(_T_879, _T_866) @[lib.scala 119:74] + node _T_881 = xor(_T_880, _T_867) @[lib.scala 119:74] + node _T_882 = xor(_T_881, _T_868) @[lib.scala 119:74] + node _T_883 = xor(_T_882, _T_869) @[lib.scala 119:74] + node _T_884 = xor(_T_883, _T_870) @[lib.scala 119:74] + node _T_885 = xor(_T_884, _T_871) @[lib.scala 119:74] + node _T_886 = xor(_T_885, _T_872) @[lib.scala 119:74] + node _T_887 = xor(_T_886, _T_873) @[lib.scala 119:74] + node _T_888 = xor(_T_887, _T_874) @[lib.scala 119:74] + node _T_889 = xor(_T_888, _T_875) @[lib.scala 119:74] + node _T_890 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_891 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_892 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_893 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_894 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_895 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_896 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_897 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_898 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_899 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_900 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_901 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_902 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_903 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_904 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_905 = xor(_T_890, _T_891) @[lib.scala 119:74] + node _T_906 = xor(_T_905, _T_892) @[lib.scala 119:74] + node _T_907 = xor(_T_906, _T_893) @[lib.scala 119:74] + node _T_908 = xor(_T_907, _T_894) @[lib.scala 119:74] + node _T_909 = xor(_T_908, _T_895) @[lib.scala 119:74] + node _T_910 = xor(_T_909, _T_896) @[lib.scala 119:74] + node _T_911 = xor(_T_910, _T_897) @[lib.scala 119:74] + node _T_912 = xor(_T_911, _T_898) @[lib.scala 119:74] + node _T_913 = xor(_T_912, _T_899) @[lib.scala 119:74] + node _T_914 = xor(_T_913, _T_900) @[lib.scala 119:74] + node _T_915 = xor(_T_914, _T_901) @[lib.scala 119:74] + node _T_916 = xor(_T_915, _T_902) @[lib.scala 119:74] + node _T_917 = xor(_T_916, _T_903) @[lib.scala 119:74] + node _T_918 = xor(_T_917, _T_904) @[lib.scala 119:74] + node _T_919 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_920 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_921 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_922 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_923 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_924 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_925 = xor(_T_919, _T_920) @[lib.scala 119:74] + node _T_926 = xor(_T_925, _T_921) @[lib.scala 119:74] + node _T_927 = xor(_T_926, _T_922) @[lib.scala 119:74] + node _T_928 = xor(_T_927, _T_923) @[lib.scala 119:74] + node _T_929 = xor(_T_928, _T_924) @[lib.scala 119:74] + node _T_930 = cat(_T_860, _T_825) @[Cat.scala 29:58] + node _T_931 = cat(_T_930, _T_790) @[Cat.scala 29:58] + node _T_932 = cat(_T_929, _T_918) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_889) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_931) @[Cat.scala 29:58] + node _T_935 = xorr(dccm_wdata_lo_any) @[lib.scala 127:13] + node _T_936 = xorr(_T_934) @[lib.scala 127:23] + node _T_937 = xor(_T_935, _T_936) @[lib.scala 127:18] + node dccm_wdata_ecc_lo_any = cat(_T_937, _T_934) @[Cat.scala 29:58] + node _T_938 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_939 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_940 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_941 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_942 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_943 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_944 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_945 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_946 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_947 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_948 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_949 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_950 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_951 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_952 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_953 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_954 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_955 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_956 = xor(_T_938, _T_939) @[lib.scala 119:74] + node _T_957 = xor(_T_956, _T_940) @[lib.scala 119:74] + node _T_958 = xor(_T_957, _T_941) @[lib.scala 119:74] + node _T_959 = xor(_T_958, _T_942) @[lib.scala 119:74] + node _T_960 = xor(_T_959, _T_943) @[lib.scala 119:74] + node _T_961 = xor(_T_960, _T_944) @[lib.scala 119:74] + node _T_962 = xor(_T_961, _T_945) @[lib.scala 119:74] + node _T_963 = xor(_T_962, _T_946) @[lib.scala 119:74] + node _T_964 = xor(_T_963, _T_947) @[lib.scala 119:74] + node _T_965 = xor(_T_964, _T_948) @[lib.scala 119:74] + node _T_966 = xor(_T_965, _T_949) @[lib.scala 119:74] + node _T_967 = xor(_T_966, _T_950) @[lib.scala 119:74] + node _T_968 = xor(_T_967, _T_951) @[lib.scala 119:74] + node _T_969 = xor(_T_968, _T_952) @[lib.scala 119:74] + node _T_970 = xor(_T_969, _T_953) @[lib.scala 119:74] + node _T_971 = xor(_T_970, _T_954) @[lib.scala 119:74] + node _T_972 = xor(_T_971, _T_955) @[lib.scala 119:74] + node _T_973 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_974 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_975 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_976 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_977 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_978 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_979 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_980 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_981 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_982 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_983 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_984 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_985 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_986 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_987 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_988 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_989 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_990 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_991 = xor(_T_973, _T_974) @[lib.scala 119:74] + node _T_992 = xor(_T_991, _T_975) @[lib.scala 119:74] + node _T_993 = xor(_T_992, _T_976) @[lib.scala 119:74] + node _T_994 = xor(_T_993, _T_977) @[lib.scala 119:74] + node _T_995 = xor(_T_994, _T_978) @[lib.scala 119:74] + node _T_996 = xor(_T_995, _T_979) @[lib.scala 119:74] + node _T_997 = xor(_T_996, _T_980) @[lib.scala 119:74] + node _T_998 = xor(_T_997, _T_981) @[lib.scala 119:74] + node _T_999 = xor(_T_998, _T_982) @[lib.scala 119:74] + node _T_1000 = xor(_T_999, _T_983) @[lib.scala 119:74] + node _T_1001 = xor(_T_1000, _T_984) @[lib.scala 119:74] + node _T_1002 = xor(_T_1001, _T_985) @[lib.scala 119:74] + node _T_1003 = xor(_T_1002, _T_986) @[lib.scala 119:74] + node _T_1004 = xor(_T_1003, _T_987) @[lib.scala 119:74] + node _T_1005 = xor(_T_1004, _T_988) @[lib.scala 119:74] + node _T_1006 = xor(_T_1005, _T_989) @[lib.scala 119:74] + node _T_1007 = xor(_T_1006, _T_990) @[lib.scala 119:74] + node _T_1008 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_1009 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_1010 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_1011 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1012 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1013 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1014 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1015 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1016 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1017 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1018 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1019 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1020 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1021 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1022 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1023 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1024 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1025 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1026 = xor(_T_1008, _T_1009) @[lib.scala 119:74] + node _T_1027 = xor(_T_1026, _T_1010) @[lib.scala 119:74] + node _T_1028 = xor(_T_1027, _T_1011) @[lib.scala 119:74] + node _T_1029 = xor(_T_1028, _T_1012) @[lib.scala 119:74] + node _T_1030 = xor(_T_1029, _T_1013) @[lib.scala 119:74] + node _T_1031 = xor(_T_1030, _T_1014) @[lib.scala 119:74] + node _T_1032 = xor(_T_1031, _T_1015) @[lib.scala 119:74] + node _T_1033 = xor(_T_1032, _T_1016) @[lib.scala 119:74] + node _T_1034 = xor(_T_1033, _T_1017) @[lib.scala 119:74] + node _T_1035 = xor(_T_1034, _T_1018) @[lib.scala 119:74] + node _T_1036 = xor(_T_1035, _T_1019) @[lib.scala 119:74] + node _T_1037 = xor(_T_1036, _T_1020) @[lib.scala 119:74] + node _T_1038 = xor(_T_1037, _T_1021) @[lib.scala 119:74] + node _T_1039 = xor(_T_1038, _T_1022) @[lib.scala 119:74] + node _T_1040 = xor(_T_1039, _T_1023) @[lib.scala 119:74] + node _T_1041 = xor(_T_1040, _T_1024) @[lib.scala 119:74] + node _T_1042 = xor(_T_1041, _T_1025) @[lib.scala 119:74] + node _T_1043 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_1044 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_1045 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_1046 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1047 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1048 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1049 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1050 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1051 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1052 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1053 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1054 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1055 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1056 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1057 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1058 = xor(_T_1043, _T_1044) @[lib.scala 119:74] + node _T_1059 = xor(_T_1058, _T_1045) @[lib.scala 119:74] + node _T_1060 = xor(_T_1059, _T_1046) @[lib.scala 119:74] + node _T_1061 = xor(_T_1060, _T_1047) @[lib.scala 119:74] + node _T_1062 = xor(_T_1061, _T_1048) @[lib.scala 119:74] + node _T_1063 = xor(_T_1062, _T_1049) @[lib.scala 119:74] + node _T_1064 = xor(_T_1063, _T_1050) @[lib.scala 119:74] + node _T_1065 = xor(_T_1064, _T_1051) @[lib.scala 119:74] + node _T_1066 = xor(_T_1065, _T_1052) @[lib.scala 119:74] + node _T_1067 = xor(_T_1066, _T_1053) @[lib.scala 119:74] + node _T_1068 = xor(_T_1067, _T_1054) @[lib.scala 119:74] + node _T_1069 = xor(_T_1068, _T_1055) @[lib.scala 119:74] + node _T_1070 = xor(_T_1069, _T_1056) @[lib.scala 119:74] + node _T_1071 = xor(_T_1070, _T_1057) @[lib.scala 119:74] + node _T_1072 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_1073 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_1074 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_1075 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1076 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1077 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1078 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1079 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1080 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1081 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1082 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1083 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1084 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1085 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1086 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1087 = xor(_T_1072, _T_1073) @[lib.scala 119:74] + node _T_1088 = xor(_T_1087, _T_1074) @[lib.scala 119:74] + node _T_1089 = xor(_T_1088, _T_1075) @[lib.scala 119:74] + node _T_1090 = xor(_T_1089, _T_1076) @[lib.scala 119:74] + node _T_1091 = xor(_T_1090, _T_1077) @[lib.scala 119:74] + node _T_1092 = xor(_T_1091, _T_1078) @[lib.scala 119:74] + node _T_1093 = xor(_T_1092, _T_1079) @[lib.scala 119:74] + node _T_1094 = xor(_T_1093, _T_1080) @[lib.scala 119:74] + node _T_1095 = xor(_T_1094, _T_1081) @[lib.scala 119:74] + node _T_1096 = xor(_T_1095, _T_1082) @[lib.scala 119:74] + node _T_1097 = xor(_T_1096, _T_1083) @[lib.scala 119:74] + node _T_1098 = xor(_T_1097, _T_1084) @[lib.scala 119:74] + node _T_1099 = xor(_T_1098, _T_1085) @[lib.scala 119:74] + node _T_1100 = xor(_T_1099, _T_1086) @[lib.scala 119:74] + node _T_1101 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_1102 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_1103 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_1104 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1105 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1106 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1107 = xor(_T_1101, _T_1102) @[lib.scala 119:74] + node _T_1108 = xor(_T_1107, _T_1103) @[lib.scala 119:74] + node _T_1109 = xor(_T_1108, _T_1104) @[lib.scala 119:74] + node _T_1110 = xor(_T_1109, _T_1105) @[lib.scala 119:74] + node _T_1111 = xor(_T_1110, _T_1106) @[lib.scala 119:74] + node _T_1112 = cat(_T_1042, _T_1007) @[Cat.scala 29:58] + node _T_1113 = cat(_T_1112, _T_972) @[Cat.scala 29:58] + node _T_1114 = cat(_T_1111, _T_1100) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, _T_1071) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1113) @[Cat.scala 29:58] + node _T_1117 = xorr(dccm_wdata_hi_any) @[lib.scala 127:13] + node _T_1118 = xorr(_T_1116) @[lib.scala 127:23] + node _T_1119 = xor(_T_1117, _T_1118) @[lib.scala 127:18] + node dccm_wdata_ecc_hi_any = cat(_T_1119, _T_1116) @[Cat.scala 29:58] + when UInt<1>("h00") : @[lsu_ecc.scala 102:30] + node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 103:33] + node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 103:54] + node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 103:37] + ldst_dual_r <= _T_1122 @[lsu_ecc.scala 103:17] + node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 104:63] + node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 104:37] + node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 104:90] + node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 104:110] + is_ldst_r <= _T_1126 @[lsu_ecc.scala 104:15] + node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 105:33] + node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 105:31] + is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 105:18] + node _T_1129 = and(is_ldst_r, ldst_dual_r) @[lsu_ecc.scala 106:31] + node _T_1130 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 106:48] + node _T_1131 = and(_T_1129, _T_1130) @[lsu_ecc.scala 106:46] + is_ldst_hi_r <= _T_1131 @[lsu_ecc.scala 106:18] + is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 107:21] + dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 108:24] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 109:26] + is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 110:20] + dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 111:25] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 112:26] + io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 113:22] + io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 114:31] + double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 115:28] + io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 116:25] + io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 117:31] + double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 118:28] + node _T_1132 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 119:59] + io.lsu_single_ecc_error_r <= _T_1132 @[lsu_ecc.scala 119:31] + node _T_1133 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 120:56] + io.lsu_double_ecc_error_r <= _T_1133 @[lsu_ecc.scala 120:31] + skip @[lsu_ecc.scala 102:30] + else : @[lsu_ecc.scala 122:16] + node _T_1134 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 123:35] + node _T_1135 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 123:56] + node _T_1136 = neq(_T_1134, _T_1135) @[lsu_ecc.scala 123:39] + ldst_dual_m <= _T_1136 @[lsu_ecc.scala 123:19] + node _T_1137 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 124:65] + node _T_1138 = and(io.lsu_pkt_m.valid, _T_1137) @[lsu_ecc.scala 124:39] + node _T_1139 = and(_T_1138, io.addr_in_dccm_m) @[lsu_ecc.scala 124:92] + node _T_1140 = and(_T_1139, io.lsu_dccm_rden_m) @[lsu_ecc.scala 124:112] + is_ldst_m <= _T_1140 @[lsu_ecc.scala 124:17] + node _T_1141 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 125:35] + node _T_1142 = and(is_ldst_m, _T_1141) @[lsu_ecc.scala 125:33] + is_ldst_lo_m <= _T_1142 @[lsu_ecc.scala 125:20] + node _T_1143 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 126:48] + node _T_1144 = and(is_ldst_m, _T_1143) @[lsu_ecc.scala 126:33] + node _T_1145 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 126:75] + node _T_1146 = and(_T_1144, _T_1145) @[lsu_ecc.scala 126:73] + is_ldst_hi_m <= _T_1146 @[lsu_ecc.scala 126:20] + is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 127:23] + dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 128:26] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 129:28] + is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 130:22] + dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 131:27] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 132:28] + io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 133:27] + double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 134:30] + io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 135:27] + double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 136:30] + node _T_1147 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 137:60] + io.lsu_single_ecc_error_m <= _T_1147 @[lsu_ecc.scala 137:33] + node _T_1148 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 138:58] + io.lsu_double_ecc_error_m <= _T_1148 @[lsu_ecc.scala 138:33] + reg _T_1149 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 140:72] + _T_1149 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 140:72] + io.lsu_single_ecc_error_r <= _T_1149 @[lsu_ecc.scala 140:62] + reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72] + _T_1150 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 141:72] + io.lsu_double_ecc_error_r <= _T_1150 @[lsu_ecc.scala 141:62] + reg _T_1151 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72] + _T_1151 <= single_ecc_error_lo_any @[lsu_ecc.scala 142:72] + io.single_ecc_error_lo_r <= _T_1151 @[lsu_ecc.scala 142:62] + reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72] + _T_1152 <= single_ecc_error_hi_any @[lsu_ecc.scala 143:72] + io.single_ecc_error_hi_r <= _T_1152 @[lsu_ecc.scala 143:62] + node _T_1153 = or(io.lsu_single_ecc_error_m, io.clk_override) @[lsu_ecc.scala 144:87] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_1153 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1154 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1154 <= io.sec_data_hi_m @[lib.scala 374:16] + io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 144:34] + node _T_1155 = or(io.lsu_single_ecc_error_m, io.clk_override) @[lsu_ecc.scala 145:87] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_1155 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1156 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1156 <= io.sec_data_lo_m @[lib.scala 374:16] + io.sec_data_lo_r <= _T_1156 @[lsu_ecc.scala 145:34] + skip @[lsu_ecc.scala 122:16] + node _T_1157 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 148:56] + node _T_1158 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 148:104] + node _T_1159 = mux(_T_1158, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 148:87] + node _T_1160 = mux(_T_1157, io.sec_data_lo_r_ff, _T_1159) @[lsu_ecc.scala 148:27] + dccm_wdata_lo_any <= _T_1160 @[lsu_ecc.scala 148:21] + node _T_1161 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 149:56] + node _T_1162 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 149:104] + node _T_1163 = mux(_T_1162, io.dma_dccm_wdata_hi, UInt<1>("h00")) @[lsu_ecc.scala 149:87] + node _T_1164 = mux(_T_1161, io.sec_data_hi_r_ff, _T_1163) @[lsu_ecc.scala 149:27] + dccm_wdata_hi_any <= _T_1164 @[lsu_ecc.scala 149:21] + io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 150:28] + io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 151:28] + io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 152:28] + io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 153:28] + io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 154:28] + node _T_1165 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_ecc.scala 156:75] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_1165 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1166 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1166 <= io.sec_data_hi_r @[lib.scala 374:16] + io.sec_data_hi_r_ff <= _T_1166 @[lsu_ecc.scala 156:23] + node _T_1167 = or(io.ld_single_ecc_error_r, io.clk_override) @[lsu_ecc.scala 157:75] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_1167 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1168 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1168 <= io.sec_data_lo_r @[lib.scala 374:16] + io.sec_data_lo_r_ff <= _T_1168 @[lsu_ecc.scala 157:23] + diff --git a/lsu_ecc.v b/lsu_ecc.v new file mode 100644 index 00000000..0967afd2 --- /dev/null +++ b/lsu_ecc.v @@ -0,0 +1,674 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_ecc( + input clock, + input reset, + input io_lsu_c2_r_clk, + input io_clk_override, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input [31:0] io_stbuf_data_any, + input io_dec_tlu_core_ecc_disable, + input io_lsu_dccm_rden_r, + input io_addr_in_dccm_r, + input [15:0] io_lsu_addr_r, + input [15:0] io_end_addr_r, + input [15:0] io_lsu_addr_m, + input [15:0] io_end_addr_m, + input [31:0] io_dccm_rdata_hi_r, + input [31:0] io_dccm_rdata_lo_r, + input [31:0] io_dccm_rdata_hi_m, + input [31:0] io_dccm_rdata_lo_m, + input [6:0] io_dccm_data_ecc_hi_r, + input [6:0] io_dccm_data_ecc_lo_r, + input [6:0] io_dccm_data_ecc_hi_m, + input [6:0] io_dccm_data_ecc_lo_m, + input io_ld_single_ecc_error_r, + input io_ld_single_ecc_error_r_ff, + input io_lsu_dccm_rden_m, + input io_addr_in_dccm_m, + input io_dma_dccm_wen, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input io_scan_mode, + output [31:0] io_sec_data_hi_r, + output [31:0] io_sec_data_lo_r, + output [31:0] io_sec_data_hi_m, + output [31:0] io_sec_data_lo_m, + output [31:0] io_sec_data_hi_r_ff, + output [31:0] io_sec_data_lo_r_ff, + output [6:0] io_dma_dccm_wdata_ecc_hi, + output [6:0] io_dma_dccm_wdata_ecc_lo, + output [6:0] io_stbuf_ecc_any, + output [6:0] io_sec_data_ecc_hi_r_ff, + output [6:0] io_sec_data_ecc_lo_r_ff, + output io_single_ecc_error_hi_r, + output io_single_ecc_error_lo_r, + output io_lsu_single_ecc_error_r, + output io_lsu_double_ecc_error_r, + output io_lsu_single_ecc_error_m, + output io_lsu_double_ecc_error_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire _T_96 = ^io_dccm_rdata_hi_m; // @[lib.scala 193:30] + wire _T_97 = ^io_dccm_data_ecc_hi_m; // @[lib.scala 193:44] + wire _T_98 = _T_96 ^ _T_97; // @[lib.scala 193:35] + wire [5:0] _T_106 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[26]}; // @[lib.scala 193:76] + wire _T_107 = ^_T_106; // @[lib.scala 193:83] + wire _T_108 = io_dccm_data_ecc_hi_m[5] ^ _T_107; // @[lib.scala 193:71] + wire [6:0] _T_115 = {io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_123 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_115}; // @[lib.scala 193:103] + wire _T_124 = ^_T_123; // @[lib.scala 193:110] + wire _T_125 = io_dccm_data_ecc_hi_m[4] ^ _T_124; // @[lib.scala 193:98] + wire [6:0] _T_132 = {io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_140 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_132}; // @[lib.scala 193:130] + wire _T_141 = ^_T_140; // @[lib.scala 193:137] + wire _T_142 = io_dccm_data_ecc_hi_m[3] ^ _T_141; // @[lib.scala 193:125] + wire [8:0] _T_151 = {io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_160 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_151}; // @[lib.scala 193:157] + wire _T_161 = ^_T_160; // @[lib.scala 193:164] + wire _T_162 = io_dccm_data_ecc_hi_m[2] ^ _T_161; // @[lib.scala 193:152] + wire [8:0] _T_171 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_180 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_171}; // @[lib.scala 193:184] + wire _T_181 = ^_T_180; // @[lib.scala 193:191] + wire _T_182 = io_dccm_data_ecc_hi_m[1] ^ _T_181; // @[lib.scala 193:179] + wire [8:0] _T_191 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[11],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[4],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[1],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_200 = {io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[26],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[15],_T_191}; // @[lib.scala 193:211] + wire _T_201 = ^_T_200; // @[lib.scala 193:218] + wire _T_202 = io_dccm_data_ecc_hi_m[0] ^ _T_201; // @[lib.scala 193:206] + wire [6:0] _T_208 = {_T_98,_T_108,_T_125,_T_142,_T_162,_T_182,_T_202}; // @[Cat.scala 29:58] + wire _T_209 = _T_208 != 7'h0; // @[lib.scala 194:44] + wire _T_1130 = ~io_dec_tlu_core_ecc_disable; // @[lsu_ecc.scala 106:48] + wire _T_1137 = io_lsu_pkt_m_bits_load | io_lsu_pkt_m_bits_store; // @[lsu_ecc.scala 124:65] + wire _T_1138 = io_lsu_pkt_m_valid & _T_1137; // @[lsu_ecc.scala 124:39] + wire _T_1139 = _T_1138 & io_addr_in_dccm_m; // @[lsu_ecc.scala 124:92] + wire is_ldst_m = _T_1139 & io_lsu_dccm_rden_m; // @[lsu_ecc.scala 124:112] + wire ldst_dual_m = io_lsu_addr_m[2] != io_end_addr_m[2]; // @[lsu_ecc.scala 123:39] + wire _T_1143 = ldst_dual_m | io_lsu_pkt_m_bits_dma; // @[lsu_ecc.scala 126:48] + wire _T_1144 = is_ldst_m & _T_1143; // @[lsu_ecc.scala 126:33] + wire is_ldst_hi_m = _T_1144 & _T_1130; // @[lsu_ecc.scala 126:73] + wire _T_210 = is_ldst_hi_m & _T_209; // @[lib.scala 194:32] + wire single_ecc_error_hi_any = _T_210 & _T_208[6]; // @[lib.scala 194:53] + wire _T_215 = ~_T_208[6]; // @[lib.scala 195:55] + wire double_ecc_error_hi_any = _T_210 & _T_215; // @[lib.scala 195:53] + wire _T_218 = _T_208[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_220 = _T_208[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_222 = _T_208[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_224 = _T_208[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_226 = _T_208[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_228 = _T_208[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_230 = _T_208[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_232 = _T_208[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_234 = _T_208[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_236 = _T_208[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_238 = _T_208[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_240 = _T_208[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_242 = _T_208[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_244 = _T_208[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_246 = _T_208[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_248 = _T_208[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_250 = _T_208[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_252 = _T_208[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_254 = _T_208[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_256 = _T_208[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_258 = _T_208[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_260 = _T_208[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_262 = _T_208[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_264 = _T_208[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_266 = _T_208[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_268 = _T_208[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_270 = _T_208[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_272 = _T_208[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_274 = _T_208[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_276 = _T_208[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_278 = _T_208[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_280 = _T_208[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_282 = _T_208[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_284 = _T_208[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_286 = _T_208[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_288 = _T_208[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_290 = _T_208[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_292 = _T_208[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_294 = _T_208[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_309 = {io_dccm_data_ecc_hi_m[3],io_dccm_rdata_hi_m[3:1],io_dccm_data_ecc_hi_m[2],io_dccm_rdata_hi_m[0],io_dccm_data_ecc_hi_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_315 = {io_dccm_data_ecc_hi_m[6],io_dccm_rdata_hi_m[31:26],io_dccm_data_ecc_hi_m[5],io_dccm_rdata_hi_m[25:11],io_dccm_data_ecc_hi_m[4],io_dccm_rdata_hi_m[10:4],_T_309}; // @[Cat.scala 29:58] + wire [9:0] _T_333 = {_T_254,_T_252,_T_250,_T_248,_T_246,_T_244,_T_242,_T_240,_T_238,_T_236}; // @[lib.scala 202:69] + wire [18:0] _T_334 = {_T_333,_T_234,_T_232,_T_230,_T_228,_T_226,_T_224,_T_222,_T_220,_T_218}; // @[lib.scala 202:69] + wire [9:0] _T_343 = {_T_274,_T_272,_T_270,_T_268,_T_266,_T_264,_T_262,_T_260,_T_258,_T_256}; // @[lib.scala 202:69] + wire [9:0] _T_352 = {_T_294,_T_292,_T_290,_T_288,_T_286,_T_284,_T_282,_T_280,_T_278,_T_276}; // @[lib.scala 202:69] + wire [38:0] _T_354 = {_T_352,_T_343,_T_334}; // @[lib.scala 202:69] + wire [38:0] _T_355 = _T_354 ^ _T_315; // @[lib.scala 202:76] + wire [38:0] _T_356 = single_ecc_error_hi_any ? _T_355 : _T_315; // @[lib.scala 202:31] + wire [3:0] _T_362 = {_T_356[6:4],_T_356[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_364 = {_T_356[37:32],_T_356[30:16],_T_356[14:8]}; // @[Cat.scala 29:58] + wire _T_474 = ^io_dccm_rdata_lo_m; // @[lib.scala 193:30] + wire _T_475 = ^io_dccm_data_ecc_lo_m; // @[lib.scala 193:44] + wire _T_476 = _T_474 ^ _T_475; // @[lib.scala 193:35] + wire [5:0] _T_484 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[26]}; // @[lib.scala 193:76] + wire _T_485 = ^_T_484; // @[lib.scala 193:83] + wire _T_486 = io_dccm_data_ecc_lo_m[5] ^ _T_485; // @[lib.scala 193:71] + wire [6:0] _T_493 = {io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_501 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_493}; // @[lib.scala 193:103] + wire _T_502 = ^_T_501; // @[lib.scala 193:110] + wire _T_503 = io_dccm_data_ecc_lo_m[4] ^ _T_502; // @[lib.scala 193:98] + wire [6:0] _T_510 = {io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_518 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_510}; // @[lib.scala 193:130] + wire _T_519 = ^_T_518; // @[lib.scala 193:137] + wire _T_520 = io_dccm_data_ecc_lo_m[3] ^ _T_519; // @[lib.scala 193:125] + wire [8:0] _T_529 = {io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_538 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_529}; // @[lib.scala 193:157] + wire _T_539 = ^_T_538; // @[lib.scala 193:164] + wire _T_540 = io_dccm_data_ecc_lo_m[2] ^ _T_539; // @[lib.scala 193:152] + wire [8:0] _T_549 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_558 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_549}; // @[lib.scala 193:184] + wire _T_559 = ^_T_558; // @[lib.scala 193:191] + wire _T_560 = io_dccm_data_ecc_lo_m[1] ^ _T_559; // @[lib.scala 193:179] + wire [8:0] _T_569 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[11],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[4],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[1],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_578 = {io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[26],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[15],_T_569}; // @[lib.scala 193:211] + wire _T_579 = ^_T_578; // @[lib.scala 193:218] + wire _T_580 = io_dccm_data_ecc_lo_m[0] ^ _T_579; // @[lib.scala 193:206] + wire [6:0] _T_586 = {_T_476,_T_486,_T_503,_T_520,_T_540,_T_560,_T_580}; // @[Cat.scala 29:58] + wire _T_587 = _T_586 != 7'h0; // @[lib.scala 194:44] + wire is_ldst_lo_m = is_ldst_m & _T_1130; // @[lsu_ecc.scala 125:33] + wire _T_588 = is_ldst_lo_m & _T_587; // @[lib.scala 194:32] + wire single_ecc_error_lo_any = _T_588 & _T_586[6]; // @[lib.scala 194:53] + wire _T_593 = ~_T_586[6]; // @[lib.scala 195:55] + wire double_ecc_error_lo_any = _T_588 & _T_593; // @[lib.scala 195:53] + wire _T_596 = _T_586[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_598 = _T_586[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_600 = _T_586[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_602 = _T_586[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_604 = _T_586[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_606 = _T_586[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_608 = _T_586[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_610 = _T_586[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_612 = _T_586[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_614 = _T_586[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_616 = _T_586[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_618 = _T_586[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_620 = _T_586[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_622 = _T_586[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_624 = _T_586[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_626 = _T_586[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_628 = _T_586[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_630 = _T_586[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_632 = _T_586[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_634 = _T_586[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_636 = _T_586[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_638 = _T_586[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_640 = _T_586[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_642 = _T_586[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_644 = _T_586[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_646 = _T_586[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_648 = _T_586[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_650 = _T_586[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_652 = _T_586[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_654 = _T_586[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_656 = _T_586[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_658 = _T_586[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_660 = _T_586[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_662 = _T_586[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_664 = _T_586[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_666 = _T_586[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_668 = _T_586[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_670 = _T_586[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_672 = _T_586[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_687 = {io_dccm_data_ecc_lo_m[3],io_dccm_rdata_lo_m[3:1],io_dccm_data_ecc_lo_m[2],io_dccm_rdata_lo_m[0],io_dccm_data_ecc_lo_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_693 = {io_dccm_data_ecc_lo_m[6],io_dccm_rdata_lo_m[31:26],io_dccm_data_ecc_lo_m[5],io_dccm_rdata_lo_m[25:11],io_dccm_data_ecc_lo_m[4],io_dccm_rdata_lo_m[10:4],_T_687}; // @[Cat.scala 29:58] + wire [9:0] _T_711 = {_T_632,_T_630,_T_628,_T_626,_T_624,_T_622,_T_620,_T_618,_T_616,_T_614}; // @[lib.scala 202:69] + wire [18:0] _T_712 = {_T_711,_T_612,_T_610,_T_608,_T_606,_T_604,_T_602,_T_600,_T_598,_T_596}; // @[lib.scala 202:69] + wire [9:0] _T_721 = {_T_652,_T_650,_T_648,_T_646,_T_644,_T_642,_T_640,_T_638,_T_636,_T_634}; // @[lib.scala 202:69] + wire [9:0] _T_730 = {_T_672,_T_670,_T_668,_T_666,_T_664,_T_662,_T_660,_T_658,_T_656,_T_654}; // @[lib.scala 202:69] + wire [38:0] _T_732 = {_T_730,_T_721,_T_712}; // @[lib.scala 202:69] + wire [38:0] _T_733 = _T_732 ^ _T_693; // @[lib.scala 202:76] + wire [38:0] _T_734 = single_ecc_error_lo_any ? _T_733 : _T_693; // @[lib.scala 202:31] + wire [3:0] _T_740 = {_T_734[6:4],_T_734[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_742 = {_T_734[37:32],_T_734[30:16],_T_734[14:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_1159 = io_dma_dccm_wen ? io_dma_dccm_wdata_lo : io_stbuf_data_any; // @[lsu_ecc.scala 148:87] + wire [31:0] dccm_wdata_lo_any = io_ld_single_ecc_error_r_ff ? io_sec_data_lo_r_ff : _T_1159; // @[lsu_ecc.scala 148:27] + wire _T_774 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[1]; // @[lib.scala 119:74] + wire _T_775 = _T_774 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_776 = _T_775 ^ dccm_wdata_lo_any[4]; // @[lib.scala 119:74] + wire _T_777 = _T_776 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_778 = _T_777 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_779 = _T_778 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_780 = _T_779 ^ dccm_wdata_lo_any[11]; // @[lib.scala 119:74] + wire _T_781 = _T_780 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_782 = _T_781 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_783 = _T_782 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_784 = _T_783 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_785 = _T_784 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_786 = _T_785 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_787 = _T_786 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_788 = _T_787 ^ dccm_wdata_lo_any[26]; // @[lib.scala 119:74] + wire _T_789 = _T_788 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_790 = _T_789 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_809 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_810 = _T_809 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_811 = _T_810 ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_812 = _T_811 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_813 = _T_812 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_814 = _T_813 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_815 = _T_814 ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_816 = _T_815 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_817 = _T_816 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_818 = _T_817 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_819 = _T_818 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_820 = _T_819 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_821 = _T_820 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_822 = _T_821 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_823 = _T_822 ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_824 = _T_823 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_825 = _T_824 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_844 = dccm_wdata_lo_any[1] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_845 = _T_844 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_846 = _T_845 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_847 = _T_846 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_848 = _T_847 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_849 = _T_848 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_850 = _T_849 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_851 = _T_850 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_852 = _T_851 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_853 = _T_852 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_854 = _T_853 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_855 = _T_854 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_856 = _T_855 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_857 = _T_856 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_858 = _T_857 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_859 = _T_858 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_860 = _T_859 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_876 = dccm_wdata_lo_any[4] ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_877 = _T_876 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_878 = _T_877 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_879 = _T_878 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_880 = _T_879 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_881 = _T_880 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_882 = _T_881 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_883 = _T_882 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_884 = _T_883 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_885 = _T_884 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_886 = _T_885 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_887 = _T_886 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_888 = _T_887 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_889 = _T_888 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_905 = dccm_wdata_lo_any[11] ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_906 = _T_905 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_907 = _T_906 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_908 = _T_907 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_909 = _T_908 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_910 = _T_909 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_911 = _T_910 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_912 = _T_911 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_913 = _T_912 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_914 = _T_913 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_915 = _T_914 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_916 = _T_915 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_917 = _T_916 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_918 = _T_917 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_925 = dccm_wdata_lo_any[26] ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_926 = _T_925 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_927 = _T_926 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_928 = _T_927 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_929 = _T_928 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_934 = {_T_929,_T_918,_T_889,_T_860,_T_825,_T_790}; // @[Cat.scala 29:58] + wire _T_935 = ^dccm_wdata_lo_any; // @[lib.scala 127:13] + wire _T_936 = ^_T_934; // @[lib.scala 127:23] + wire _T_937 = _T_935 ^ _T_936; // @[lib.scala 127:18] + wire [31:0] _T_1163 = io_dma_dccm_wen ? io_dma_dccm_wdata_hi : 32'h0; // @[lsu_ecc.scala 149:87] + wire [31:0] dccm_wdata_hi_any = io_ld_single_ecc_error_r_ff ? io_sec_data_hi_r_ff : _T_1163; // @[lsu_ecc.scala 149:27] + wire _T_956 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[1]; // @[lib.scala 119:74] + wire _T_957 = _T_956 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_958 = _T_957 ^ dccm_wdata_hi_any[4]; // @[lib.scala 119:74] + wire _T_959 = _T_958 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_960 = _T_959 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_961 = _T_960 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_962 = _T_961 ^ dccm_wdata_hi_any[11]; // @[lib.scala 119:74] + wire _T_963 = _T_962 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_964 = _T_963 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_965 = _T_964 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_966 = _T_965 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_967 = _T_966 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_968 = _T_967 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_969 = _T_968 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_970 = _T_969 ^ dccm_wdata_hi_any[26]; // @[lib.scala 119:74] + wire _T_971 = _T_970 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_972 = _T_971 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_991 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_992 = _T_991 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_993 = _T_992 ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_994 = _T_993 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_995 = _T_994 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_996 = _T_995 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_997 = _T_996 ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_998 = _T_997 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_999 = _T_998 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1000 = _T_999 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1001 = _T_1000 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1002 = _T_1001 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1003 = _T_1002 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1004 = _T_1003 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1005 = _T_1004 ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1006 = _T_1005 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1007 = _T_1006 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1026 = dccm_wdata_hi_any[1] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_1027 = _T_1026 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_1028 = _T_1027 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1029 = _T_1028 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1030 = _T_1029 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1031 = _T_1030 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1032 = _T_1031 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1033 = _T_1032 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1034 = _T_1033 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1035 = _T_1034 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1036 = _T_1035 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1037 = _T_1036 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1038 = _T_1037 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1039 = _T_1038 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1040 = _T_1039 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1041 = _T_1040 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1042 = _T_1041 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1058 = dccm_wdata_hi_any[4] ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_1059 = _T_1058 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_1060 = _T_1059 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1061 = _T_1060 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1062 = _T_1061 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1063 = _T_1062 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1064 = _T_1063 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1065 = _T_1064 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1066 = _T_1065 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1067 = _T_1066 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1068 = _T_1067 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1069 = _T_1068 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1070 = _T_1069 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1071 = _T_1070 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1087 = dccm_wdata_hi_any[11] ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_1088 = _T_1087 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_1089 = _T_1088 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1090 = _T_1089 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1091 = _T_1090 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1092 = _T_1091 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1093 = _T_1092 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1094 = _T_1093 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1095 = _T_1094 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1096 = _T_1095 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1097 = _T_1096 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1098 = _T_1097 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1099 = _T_1098 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1100 = _T_1099 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1107 = dccm_wdata_hi_any[26] ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1108 = _T_1107 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1109 = _T_1108 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1110 = _T_1109 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1111 = _T_1110 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_1116 = {_T_1111,_T_1100,_T_1071,_T_1042,_T_1007,_T_972}; // @[Cat.scala 29:58] + wire _T_1117 = ^dccm_wdata_hi_any; // @[lib.scala 127:13] + wire _T_1118 = ^_T_1116; // @[lib.scala 127:23] + wire _T_1119 = _T_1117 ^ _T_1118; // @[lib.scala 127:18] + reg _T_1149; // @[lsu_ecc.scala 140:72] + reg _T_1150; // @[lsu_ecc.scala 141:72] + reg _T_1151; // @[lsu_ecc.scala 142:72] + reg _T_1152; // @[lsu_ecc.scala 143:72] + reg [31:0] _T_1154; // @[lib.scala 374:16] + reg [31:0] _T_1156; // @[lib.scala 374:16] + reg [31:0] _T_1166; // @[lib.scala 374:16] + reg [31:0] _T_1168; // @[lib.scala 374:16] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_sec_data_hi_r = _T_1154; // @[lsu_ecc.scala 113:22 lsu_ecc.scala 144:34] + assign io_sec_data_lo_r = _T_1156; // @[lsu_ecc.scala 116:25 lsu_ecc.scala 145:34] + assign io_sec_data_hi_m = {_T_364,_T_362}; // @[lsu_ecc.scala 89:32 lsu_ecc.scala 133:27] + assign io_sec_data_lo_m = {_T_742,_T_740}; // @[lsu_ecc.scala 90:32 lsu_ecc.scala 135:27] + assign io_sec_data_hi_r_ff = _T_1166; // @[lsu_ecc.scala 156:23] + assign io_sec_data_lo_r_ff = _T_1168; // @[lsu_ecc.scala 157:23] + assign io_dma_dccm_wdata_ecc_hi = {_T_1119,_T_1116}; // @[lsu_ecc.scala 153:28] + assign io_dma_dccm_wdata_ecc_lo = {_T_937,_T_934}; // @[lsu_ecc.scala 154:28] + assign io_stbuf_ecc_any = {_T_937,_T_934}; // @[lsu_ecc.scala 152:28] + assign io_sec_data_ecc_hi_r_ff = {_T_1119,_T_1116}; // @[lsu_ecc.scala 150:28] + assign io_sec_data_ecc_lo_r_ff = {_T_937,_T_934}; // @[lsu_ecc.scala 151:28] + assign io_single_ecc_error_hi_r = _T_1152; // @[lsu_ecc.scala 114:31 lsu_ecc.scala 143:62] + assign io_single_ecc_error_lo_r = _T_1151; // @[lsu_ecc.scala 117:31 lsu_ecc.scala 142:62] + assign io_lsu_single_ecc_error_r = _T_1149; // @[lsu_ecc.scala 119:31 lsu_ecc.scala 140:62] + assign io_lsu_double_ecc_error_r = _T_1150; // @[lsu_ecc.scala 120:31 lsu_ecc.scala 141:62] + assign io_lsu_single_ecc_error_m = single_ecc_error_hi_any | single_ecc_error_lo_any; // @[lsu_ecc.scala 91:30 lsu_ecc.scala 137:33] + assign io_lsu_double_ecc_error_m = double_ecc_error_hi_any | double_ecc_error_lo_any; // @[lsu_ecc.scala 92:30 lsu_ecc.scala 138:33] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_lsu_single_ecc_error_m | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_lsu_single_ecc_error_m | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_ld_single_ecc_error_r | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_1149 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_1150 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_1151 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_1152 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_1154 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + _T_1156 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1166 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1168 = _RAND_7[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_1149 = 1'h0; + end + if (reset) begin + _T_1150 = 1'h0; + end + if (reset) begin + _T_1151 = 1'h0; + end + if (reset) begin + _T_1152 = 1'h0; + end + if (reset) begin + _T_1154 = 32'h0; + end + if (reset) begin + _T_1156 = 32'h0; + end + if (reset) begin + _T_1166 = 32'h0; + end + if (reset) begin + _T_1168 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1149 <= 1'h0; + end else begin + _T_1149 <= io_lsu_single_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1150 <= 1'h0; + end else begin + _T_1150 <= io_lsu_double_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1151 <= 1'h0; + end else begin + _T_1151 <= _T_588 & _T_586[6]; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1152 <= 1'h0; + end else begin + _T_1152 <= _T_210 & _T_208[6]; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_1154 <= 32'h0; + end else begin + _T_1154 <= io_sec_data_hi_m; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_1156 <= 32'h0; + end else begin + _T_1156 <= io_sec_data_lo_m; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + _T_1166 <= 32'h0; + end else begin + _T_1166 <= io_sec_data_hi_r; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + _T_1168 <= 32'h0; + end else begin + _T_1168 <= io_sec_data_lo_r; + end + end +endmodule diff --git a/lsu_lsc_ctl.anno.json b/lsu_lsc_ctl.anno.json new file mode 100644 index 00000000..5a8d764d --- /dev/null +++ b/lsu_lsc_ctl.anno.json @@ -0,0 +1,309 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_word", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_load_ldst_bypass_d", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_fast_int", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_fast_int", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_store", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_store", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_in_dccm_d", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_exu_exu_lsu_rs1_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_addr", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_offset_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_bus_read_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_external_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_unsign", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_half", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_store_data_m", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_picm_mask_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_store_data_bypass_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_in_pic_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_bus_read_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_external_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_unsign" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_fir_addr", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_corr_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_store_data_bypass_d", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_store_data_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_dma", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_dma", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_in_pic_d", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_exu_exu_lsu_rs1_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_addr", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_offset_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_bus_read_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_external_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_unsign", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_valid", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_dccm_req", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_valid", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_flush_m_up", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_fast_int" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_commit_r", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_dma", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_valid", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_flush_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_store", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_load" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_unsign", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_unsign", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_d", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_exu_exu_lsu_rs1_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_addr", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_bus_read_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_offset_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_external_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_unsign", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_load", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_load", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_single_ecc_error_incr", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_valid", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_single_ecc_error_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_commit_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_dma", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_double_ecc_error_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_flush_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_store", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_load" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_dword", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_dword", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_by", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_sz" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_m", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_bus_read_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_external_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_unsign" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_store_data_bypass_m", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_store_data_bypass_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_d", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_exu_exu_lsu_rs1_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dma_lsc_ctl_dma_mem_addr", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_offset_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_bus_read_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_addr_external_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_m_bits_unsign" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_result_corr_r", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_word", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_corr_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_half", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_by", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_r_bits_unsign" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_lsc_ctl.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_lsc_ctl" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_lsc_ctl.fir b/lsu_lsc_ctl.fir new file mode 100644 index 00000000..46ecf3e2 --- /dev/null +++ b/lsu_lsc_ctl.fir @@ -0,0 +1,953 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_lsc_ctl : + module lsu_addrcheck : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>} + + node _T = bits(io.start_addr_d, 31, 28) @[lib.scala 356:27] + node start_addr_in_dccm_region_d = eq(_T, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_1 = bits(io.start_addr_d, 31, 16) @[lib.scala 361:24] + node _T_2 = eq(_T_1, UInt<16>("h0f004")) @[lib.scala 361:39] + start_addr_in_dccm_d <= _T_2 @[lib.scala 361:16] + node _T_3 = bits(io.end_addr_d, 31, 28) @[lib.scala 356:27] + node end_addr_in_dccm_region_d = eq(_T_3, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_4 = bits(io.end_addr_d, 31, 16) @[lib.scala 361:24] + node _T_5 = eq(_T_4, UInt<16>("h0f004")) @[lib.scala 361:39] + end_addr_in_dccm_d <= _T_5 @[lib.scala 361:16] + wire addr_in_iccm : UInt<1> + addr_in_iccm <= UInt<1>("h00") + node _T_6 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 42:37] + node _T_7 = eq(_T_6, UInt<4>("h0e")) @[lsu_addrcheck.scala 42:45] + addr_in_iccm <= _T_7 @[lsu_addrcheck.scala 42:18] + node _T_8 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 50:89] + node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] + node start_addr_in_pic_region_d = eq(_T_9, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_10 = bits(_T_8, 31, 15) @[lib.scala 361:24] + node _T_11 = eq(_T_10, UInt<17>("h01e018")) @[lib.scala 361:39] + start_addr_in_pic_d <= _T_11 @[lib.scala 361:16] + node _T_12 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 52:83] + node _T_13 = bits(_T_12, 31, 28) @[lib.scala 356:27] + node end_addr_in_pic_region_d = eq(_T_13, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_14 = bits(_T_12, 31, 15) @[lib.scala 361:24] + node _T_15 = eq(_T_14, UInt<17>("h01e018")) @[lib.scala 361:39] + end_addr_in_pic_d <= _T_15 @[lib.scala 361:16] + node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 54:60] + node _T_16 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:49] + node _T_17 = eq(_T_16, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:55] + node _T_18 = and(_T_17, UInt<1>("h01")) @[lsu_addrcheck.scala 55:74] + node _T_19 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:109] + node _T_20 = eq(_T_19, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:115] + node base_reg_dccm_or_pic = or(_T_18, _T_20) @[lsu_addrcheck.scala 55:91] + node _T_21 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 56:57] + io.addr_in_dccm_d <= _T_21 @[lsu_addrcheck.scala 56:32] + node _T_22 = and(start_addr_in_pic_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 57:56] + io.addr_in_pic_d <= _T_22 @[lsu_addrcheck.scala 57:32] + node _T_23 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 59:63] + node _T_24 = not(_T_23) @[lsu_addrcheck.scala 59:33] + io.addr_external_d <= _T_24 @[lsu_addrcheck.scala 59:30] + node _T_25 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 60:51] + node csr_idx = cat(_T_25, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_26 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[lsu_addrcheck.scala 61:50] + node _T_27 = bits(_T_26, 0, 0) @[lsu_addrcheck.scala 61:50] + node _T_28 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 61:92] + node _T_29 = or(_T_28, addr_in_iccm) @[lsu_addrcheck.scala 61:121] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[lsu_addrcheck.scala 61:62] + node _T_31 = and(_T_27, _T_30) @[lsu_addrcheck.scala 61:60] + node _T_32 = and(_T_31, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 61:137] + node _T_33 = or(io.lsu_pkt_d.bits.store, io.lsu_pkt_d.bits.load) @[lsu_addrcheck.scala 61:185] + node is_sideeffects_d = and(_T_32, _T_33) @[lsu_addrcheck.scala 61:158] + node _T_34 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 62:74] + node _T_35 = eq(_T_34, UInt<1>("h00")) @[lsu_addrcheck.scala 62:80] + node _T_36 = and(io.lsu_pkt_d.bits.word, _T_35) @[lsu_addrcheck.scala 62:56] + node _T_37 = bits(io.start_addr_d, 0, 0) @[lsu_addrcheck.scala 62:134] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[lsu_addrcheck.scala 62:138] + node _T_39 = and(io.lsu_pkt_d.bits.half, _T_38) @[lsu_addrcheck.scala 62:116] + node _T_40 = or(_T_36, _T_39) @[lsu_addrcheck.scala 62:90] + node is_aligned_d = or(_T_40, io.lsu_pkt_d.bits.by) @[lsu_addrcheck.scala 62:148] + node _T_41 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_42 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_41) @[Cat.scala 29:58] + node _T_44 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_45 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_44) @[Cat.scala 29:58] + node _T_47 = cat(_T_46, _T_43) @[Cat.scala 29:58] + node _T_48 = orr(_T_47) @[lsu_addrcheck.scala 66:99] + node _T_49 = eq(_T_48, UInt<1>("h00")) @[lsu_addrcheck.scala 65:33] + node _T_50 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 67:49] + node _T_51 = or(_T_50, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:56] + node _T_52 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:121] + node _T_53 = eq(_T_51, _T_52) @[lsu_addrcheck.scala 67:88] + node _T_54 = and(UInt<1>("h01"), _T_53) @[lsu_addrcheck.scala 67:30] + node _T_55 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 68:49] + node _T_56 = or(_T_55, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:56] + node _T_57 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:121] + node _T_58 = eq(_T_56, _T_57) @[lsu_addrcheck.scala 68:88] + node _T_59 = and(UInt<1>("h01"), _T_58) @[lsu_addrcheck.scala 68:30] + node _T_60 = or(_T_54, _T_59) @[lsu_addrcheck.scala 67:153] + node _T_61 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 69:49] + node _T_62 = or(_T_61, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:56] + node _T_63 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:121] + node _T_64 = eq(_T_62, _T_63) @[lsu_addrcheck.scala 69:88] + node _T_65 = and(UInt<1>("h01"), _T_64) @[lsu_addrcheck.scala 69:30] + node _T_66 = or(_T_60, _T_65) @[lsu_addrcheck.scala 68:153] + node _T_67 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 70:49] + node _T_68 = or(_T_67, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:56] + node _T_69 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:121] + node _T_70 = eq(_T_68, _T_69) @[lsu_addrcheck.scala 70:88] + node _T_71 = and(UInt<1>("h01"), _T_70) @[lsu_addrcheck.scala 70:30] + node _T_72 = or(_T_66, _T_71) @[lsu_addrcheck.scala 69:153] + node _T_73 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 71:49] + node _T_74 = or(_T_73, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:56] + node _T_75 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:121] + node _T_76 = eq(_T_74, _T_75) @[lsu_addrcheck.scala 71:88] + node _T_77 = and(UInt<1>("h00"), _T_76) @[lsu_addrcheck.scala 71:30] + node _T_78 = or(_T_72, _T_77) @[lsu_addrcheck.scala 70:153] + node _T_79 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 72:49] + node _T_80 = or(_T_79, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:56] + node _T_81 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:121] + node _T_82 = eq(_T_80, _T_81) @[lsu_addrcheck.scala 72:88] + node _T_83 = and(UInt<1>("h00"), _T_82) @[lsu_addrcheck.scala 72:30] + node _T_84 = or(_T_78, _T_83) @[lsu_addrcheck.scala 71:153] + node _T_85 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 73:49] + node _T_86 = or(_T_85, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:56] + node _T_87 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:121] + node _T_88 = eq(_T_86, _T_87) @[lsu_addrcheck.scala 73:88] + node _T_89 = and(UInt<1>("h00"), _T_88) @[lsu_addrcheck.scala 73:30] + node _T_90 = or(_T_84, _T_89) @[lsu_addrcheck.scala 72:153] + node _T_91 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 74:49] + node _T_92 = or(_T_91, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:56] + node _T_93 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:121] + node _T_94 = eq(_T_92, _T_93) @[lsu_addrcheck.scala 74:88] + node _T_95 = and(UInt<1>("h00"), _T_94) @[lsu_addrcheck.scala 74:30] + node _T_96 = or(_T_90, _T_95) @[lsu_addrcheck.scala 73:153] + node _T_97 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 76:48] + node _T_98 = or(_T_97, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:57] + node _T_99 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:122] + node _T_100 = eq(_T_98, _T_99) @[lsu_addrcheck.scala 76:89] + node _T_101 = and(UInt<1>("h01"), _T_100) @[lsu_addrcheck.scala 76:31] + node _T_102 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 77:49] + node _T_103 = or(_T_102, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:58] + node _T_104 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:123] + node _T_105 = eq(_T_103, _T_104) @[lsu_addrcheck.scala 77:90] + node _T_106 = and(UInt<1>("h01"), _T_105) @[lsu_addrcheck.scala 77:32] + node _T_107 = or(_T_101, _T_106) @[lsu_addrcheck.scala 76:154] + node _T_108 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 78:49] + node _T_109 = or(_T_108, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:58] + node _T_110 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:123] + node _T_111 = eq(_T_109, _T_110) @[lsu_addrcheck.scala 78:90] + node _T_112 = and(UInt<1>("h01"), _T_111) @[lsu_addrcheck.scala 78:32] + node _T_113 = or(_T_107, _T_112) @[lsu_addrcheck.scala 77:155] + node _T_114 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 79:49] + node _T_115 = or(_T_114, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:58] + node _T_116 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:123] + node _T_117 = eq(_T_115, _T_116) @[lsu_addrcheck.scala 79:90] + node _T_118 = and(UInt<1>("h01"), _T_117) @[lsu_addrcheck.scala 79:32] + node _T_119 = or(_T_113, _T_118) @[lsu_addrcheck.scala 78:155] + node _T_120 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 80:49] + node _T_121 = or(_T_120, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:58] + node _T_122 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:123] + node _T_123 = eq(_T_121, _T_122) @[lsu_addrcheck.scala 80:90] + node _T_124 = and(UInt<1>("h00"), _T_123) @[lsu_addrcheck.scala 80:32] + node _T_125 = or(_T_119, _T_124) @[lsu_addrcheck.scala 79:155] + node _T_126 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 81:49] + node _T_127 = or(_T_126, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:58] + node _T_128 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:123] + node _T_129 = eq(_T_127, _T_128) @[lsu_addrcheck.scala 81:90] + node _T_130 = and(UInt<1>("h00"), _T_129) @[lsu_addrcheck.scala 81:32] + node _T_131 = or(_T_125, _T_130) @[lsu_addrcheck.scala 80:155] + node _T_132 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 82:49] + node _T_133 = or(_T_132, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:58] + node _T_134 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:123] + node _T_135 = eq(_T_133, _T_134) @[lsu_addrcheck.scala 82:90] + node _T_136 = and(UInt<1>("h00"), _T_135) @[lsu_addrcheck.scala 82:32] + node _T_137 = or(_T_131, _T_136) @[lsu_addrcheck.scala 81:155] + node _T_138 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 83:49] + node _T_139 = or(_T_138, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:58] + node _T_140 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:123] + node _T_141 = eq(_T_139, _T_140) @[lsu_addrcheck.scala 83:90] + node _T_142 = and(UInt<1>("h00"), _T_141) @[lsu_addrcheck.scala 83:32] + node _T_143 = or(_T_137, _T_142) @[lsu_addrcheck.scala 82:155] + node _T_144 = and(_T_96, _T_143) @[lsu_addrcheck.scala 75:7] + node non_dccm_access_ok = or(_T_49, _T_144) @[lsu_addrcheck.scala 66:104] + node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[lsu_addrcheck.scala 85:57] + node _T_145 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 86:70] + node _T_146 = neq(_T_145, UInt<2>("h00")) @[lsu_addrcheck.scala 86:76] + node _T_147 = eq(io.lsu_pkt_d.bits.word, UInt<1>("h00")) @[lsu_addrcheck.scala 86:92] + node _T_148 = or(_T_146, _T_147) @[lsu_addrcheck.scala 86:90] + node picm_access_fault_d = and(io.addr_in_pic_d, _T_148) @[lsu_addrcheck.scala 86:51] + wire unmapped_access_fault_d : UInt<1> + unmapped_access_fault_d <= UInt<1>("h01") + wire mpu_access_fault_d : UInt<1> + mpu_access_fault_d <= UInt<1>("h01") + node _T_149 = or(start_addr_in_dccm_d, start_addr_in_pic_d) @[lsu_addrcheck.scala 91:87] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[lsu_addrcheck.scala 91:64] + node _T_151 = and(start_addr_in_dccm_region_d, _T_150) @[lsu_addrcheck.scala 91:62] + node _T_152 = or(end_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 93:57] + node _T_153 = eq(_T_152, UInt<1>("h00")) @[lsu_addrcheck.scala 93:36] + node _T_154 = and(end_addr_in_dccm_region_d, _T_153) @[lsu_addrcheck.scala 93:34] + node _T_155 = or(_T_151, _T_154) @[lsu_addrcheck.scala 91:112] + node _T_156 = and(start_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 95:29] + node _T_157 = or(_T_155, _T_156) @[lsu_addrcheck.scala 93:85] + node _T_158 = and(start_addr_in_pic_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 97:29] + node _T_159 = or(_T_157, _T_158) @[lsu_addrcheck.scala 95:85] + unmapped_access_fault_d <= _T_159 @[lsu_addrcheck.scala 91:29] + node _T_160 = eq(start_addr_in_dccm_region_d, UInt<1>("h00")) @[lsu_addrcheck.scala 99:33] + node _T_161 = eq(non_dccm_access_ok, UInt<1>("h00")) @[lsu_addrcheck.scala 99:64] + node _T_162 = and(_T_160, _T_161) @[lsu_addrcheck.scala 99:62] + mpu_access_fault_d <= _T_162 @[lsu_addrcheck.scala 99:29] + node _T_163 = or(unmapped_access_fault_d, mpu_access_fault_d) @[lsu_addrcheck.scala 111:49] + node _T_164 = or(_T_163, picm_access_fault_d) @[lsu_addrcheck.scala 111:70] + node _T_165 = or(_T_164, regpred_access_fault_d) @[lsu_addrcheck.scala 111:92] + node _T_166 = and(_T_165, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 111:118] + node _T_167 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 111:141] + node _T_168 = and(_T_166, _T_167) @[lsu_addrcheck.scala 111:139] + io.access_fault_d <= _T_168 @[lsu_addrcheck.scala 111:21] + node _T_169 = bits(unmapped_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:60] + node _T_170 = bits(mpu_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:100] + node _T_171 = bits(regpred_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:144] + node _T_172 = bits(picm_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:185] + node _T_173 = mux(_T_172, UInt<4>("h06"), UInt<4>("h00")) @[lsu_addrcheck.scala 112:164] + node _T_174 = mux(_T_171, UInt<4>("h05"), _T_173) @[lsu_addrcheck.scala 112:120] + node _T_175 = mux(_T_170, UInt<4>("h03"), _T_174) @[lsu_addrcheck.scala 112:80] + node access_fault_mscause_d = mux(_T_169, UInt<4>("h02"), _T_175) @[lsu_addrcheck.scala 112:35] + node _T_176 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 113:53] + node _T_177 = bits(io.end_addr_d, 31, 28) @[lsu_addrcheck.scala 113:78] + node regcross_misaligned_fault_d = neq(_T_176, _T_177) @[lsu_addrcheck.scala 113:61] + node _T_178 = eq(is_aligned_d, UInt<1>("h00")) @[lsu_addrcheck.scala 114:59] + node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_178) @[lsu_addrcheck.scala 114:57] + node _T_179 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[lsu_addrcheck.scala 115:90] + node _T_180 = or(regcross_misaligned_fault_d, _T_179) @[lsu_addrcheck.scala 115:57] + node _T_181 = and(_T_180, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 115:113] + node _T_182 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 115:136] + node _T_183 = and(_T_181, _T_182) @[lsu_addrcheck.scala 115:134] + io.misaligned_fault_d <= _T_183 @[lsu_addrcheck.scala 115:25] + node _T_184 = bits(sideeffect_misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 116:111] + node _T_185 = mux(_T_184, UInt<4>("h01"), UInt<4>("h00")) @[lsu_addrcheck.scala 116:80] + node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_185) @[lsu_addrcheck.scala 116:39] + node _T_186 = bits(io.misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 117:50] + node _T_187 = bits(misaligned_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:84] + node _T_188 = bits(access_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:113] + node _T_189 = mux(_T_186, _T_187, _T_188) @[lsu_addrcheck.scala 117:27] + io.exc_mscause_d <= _T_189 @[lsu_addrcheck.scala 117:21] + node _T_190 = eq(start_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:66] + node _T_191 = and(start_addr_in_dccm_region_d, _T_190) @[lsu_addrcheck.scala 118:64] + node _T_192 = eq(end_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:120] + node _T_193 = and(end_addr_in_dccm_region_d, _T_192) @[lsu_addrcheck.scala 118:118] + node _T_194 = or(_T_191, _T_193) @[lsu_addrcheck.scala 118:88] + node _T_195 = and(_T_194, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 118:142] + node _T_196 = and(_T_195, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 118:163] + io.fir_dccm_access_error_d <= _T_196 @[lsu_addrcheck.scala 118:31] + node _T_197 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[lsu_addrcheck.scala 119:66] + node _T_198 = eq(_T_197, UInt<1>("h00")) @[lsu_addrcheck.scala 119:36] + node _T_199 = and(_T_198, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 119:95] + node _T_200 = and(_T_199, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 119:116] + io.fir_nondccm_access_error_d <= _T_200 @[lsu_addrcheck.scala 119:33] + reg _T_201 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_addrcheck.scala 121:60] + _T_201 <= is_sideeffects_d @[lsu_addrcheck.scala 121:60] + io.is_sideeffects_m <= _T_201 @[lsu_addrcheck.scala 121:50] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_lsc_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_store_c1_m_clk : Clock, flip lsu_ld_data_r : UInt<32>, flip lsu_ld_data_corr_r : UInt<32>, flip lsu_single_ecc_error_r : UInt<1>, flip lsu_double_ecc_error_r : UInt<1>, flip lsu_ld_data_m : UInt<32>, flip lsu_single_ecc_error_m : UInt<1>, flip lsu_double_ecc_error_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_lsu_valid_raw_d : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip picm_mask_data_m : UInt<32>, flip bus_read_data_m : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_addr_d : UInt<32>, lsu_addr_m : UInt<32>, lsu_addr_r : UInt<32>, end_addr_d : UInt<32>, end_addr_m : UInt<32>, end_addr_r : UInt<32>, store_data_m : UInt<32>, flip dec_tlu_mrac_ff : UInt<32>, lsu_exc_m : UInt<1>, is_sideeffects_m : UInt<1>, lsu_commit_r : UInt<1>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, addr_in_dccm_d : UInt<1>, addr_in_dccm_m : UInt<1>, addr_in_dccm_r : UInt<1>, addr_in_pic_d : UInt<1>, addr_in_pic_m : UInt<1>, addr_in_pic_r : UInt<1>, addr_external_m : UInt<1>, dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip scan_mode : UInt<1>} + + wire end_addr_pre_m : UInt<29> + end_addr_pre_m <= UInt<29>("h00") + wire end_addr_pre_r : UInt<29> + end_addr_pre_r <= UInt<29>("h00") + wire dma_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 95:29] + wire lsu_pkt_m_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 96:29] + wire lsu_pkt_r_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 97:29] + wire lsu_error_pkt_m : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 98:29] + node _T = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 100:52] + node lsu_rs1_d = mux(_T, io.lsu_exu.exu_lsu_rs1_d, io.dma_lsc_ctl.dma_mem_addr) @[lsu_lsc_ctl.scala 100:28] + node _T_1 = bits(io.dec_lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 101:44] + node _T_2 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[Bitwise.scala 72:15] + node _T_3 = mux(_T_2, UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node lsu_offset_d = and(_T_1, _T_3) @[lsu_lsc_ctl.scala 101:51] + node _T_4 = bits(io.lsu_pkt_d.bits.load_ldst_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 104:66] + node rs1_d = mux(_T_4, io.lsu_result_m, lsu_rs1_d) @[lsu_lsc_ctl.scala 104:28] + node _T_5 = bits(rs1_d, 11, 0) @[lib.scala 92:31] + node _T_6 = cat(UInt<1>("h00"), _T_5) @[Cat.scala 29:58] + node _T_7 = bits(lsu_offset_d, 11, 0) @[lib.scala 92:60] + node _T_8 = cat(UInt<1>("h00"), _T_7) @[Cat.scala 29:58] + node _T_9 = add(_T_6, _T_8) @[lib.scala 92:39] + node _T_10 = tail(_T_9, 1) @[lib.scala 92:39] + node _T_11 = bits(lsu_offset_d, 11, 11) @[lib.scala 93:41] + node _T_12 = bits(_T_10, 12, 12) @[lib.scala 93:50] + node _T_13 = xor(_T_11, _T_12) @[lib.scala 93:46] + node _T_14 = not(_T_13) @[lib.scala 93:33] + node _T_15 = bits(_T_14, 0, 0) @[Bitwise.scala 72:15] + node _T_16 = mux(_T_15, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_17 = bits(rs1_d, 31, 12) @[lib.scala 93:63] + node _T_18 = and(_T_16, _T_17) @[lib.scala 93:58] + node _T_19 = bits(lsu_offset_d, 11, 11) @[lib.scala 94:25] + node _T_20 = not(_T_19) @[lib.scala 94:18] + node _T_21 = bits(_T_10, 12, 12) @[lib.scala 94:34] + node _T_22 = and(_T_20, _T_21) @[lib.scala 94:30] + node _T_23 = bits(_T_22, 0, 0) @[Bitwise.scala 72:15] + node _T_24 = mux(_T_23, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_25 = bits(rs1_d, 31, 12) @[lib.scala 94:47] + node _T_26 = add(_T_25, UInt<1>("h01")) @[lib.scala 94:54] + node _T_27 = tail(_T_26, 1) @[lib.scala 94:54] + node _T_28 = and(_T_24, _T_27) @[lib.scala 94:41] + node _T_29 = or(_T_18, _T_28) @[lib.scala 93:72] + node _T_30 = bits(lsu_offset_d, 11, 11) @[lib.scala 95:24] + node _T_31 = bits(_T_10, 12, 12) @[lib.scala 95:34] + node _T_32 = not(_T_31) @[lib.scala 95:31] + node _T_33 = and(_T_30, _T_32) @[lib.scala 95:29] + node _T_34 = bits(_T_33, 0, 0) @[Bitwise.scala 72:15] + node _T_35 = mux(_T_34, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_36 = bits(rs1_d, 31, 12) @[lib.scala 95:47] + node _T_37 = sub(_T_36, UInt<1>("h01")) @[lib.scala 95:54] + node _T_38 = tail(_T_37, 1) @[lib.scala 95:54] + node _T_39 = and(_T_35, _T_38) @[lib.scala 95:41] + node _T_40 = or(_T_29, _T_39) @[lib.scala 94:61] + node _T_41 = bits(_T_10, 11, 0) @[lib.scala 96:22] + node full_addr_d = cat(_T_40, _T_41) @[Cat.scala 29:58] + node _T_42 = bits(io.lsu_pkt_d.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_43 = mux(_T_42, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_44 = and(_T_43, UInt<3>("h01")) @[lsu_lsc_ctl.scala 109:58] + node _T_45 = bits(io.lsu_pkt_d.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_46 = mux(_T_45, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_47 = and(_T_46, UInt<3>("h03")) @[lsu_lsc_ctl.scala 110:40] + node _T_48 = or(_T_44, _T_47) @[lsu_lsc_ctl.scala 109:70] + node _T_49 = bits(io.lsu_pkt_d.bits.dword, 0, 0) @[Bitwise.scala 72:15] + node _T_50 = mux(_T_49, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_51 = and(_T_50, UInt<3>("h07")) @[lsu_lsc_ctl.scala 111:40] + node addr_offset_d = or(_T_48, _T_51) @[lsu_lsc_ctl.scala 110:52] + node _T_52 = bits(lsu_offset_d, 11, 11) @[lsu_lsc_ctl.scala 113:39] + node _T_53 = bits(lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 113:52] + node _T_54 = cat(_T_52, _T_53) @[Cat.scala 29:58] + node _T_55 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(addr_offset_d, 2, 0) @[lsu_lsc_ctl.scala 113:91] + node _T_57 = cat(_T_55, _T_56) @[Cat.scala 29:58] + node _T_58 = add(_T_54, _T_57) @[lsu_lsc_ctl.scala 113:60] + node end_addr_offset_d = tail(_T_58, 1) @[lsu_lsc_ctl.scala 113:60] + node _T_59 = bits(rs1_d, 31, 0) @[lsu_lsc_ctl.scala 114:32] + node _T_60 = bits(end_addr_offset_d, 12, 12) @[lsu_lsc_ctl.scala 114:70] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_63 = bits(end_addr_offset_d, 12, 0) @[lsu_lsc_ctl.scala 114:93] + node _T_64 = cat(_T_62, _T_63) @[Cat.scala 29:58] + node _T_65 = add(_T_59, _T_64) @[lsu_lsc_ctl.scala 114:39] + node full_end_addr_d = tail(_T_65, 1) @[lsu_lsc_ctl.scala 114:39] + io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 115:24] + inst addrcheck of lsu_addrcheck @[lsu_lsc_ctl.scala 118:25] + addrcheck.clock <= clock + addrcheck.reset <= reset + addrcheck.io.lsu_c2_m_clk <= io.lsu_c2_m_clk @[lsu_lsc_ctl.scala 120:42] + addrcheck.io.start_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 122:42] + addrcheck.io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 123:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.lsu_pkt_d.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 124:42] + addrcheck.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu_lsc_ctl.scala 125:42] + node _T_66 = bits(rs1_d, 31, 28) @[lsu_lsc_ctl.scala 126:50] + addrcheck.io.rs1_region_d <= _T_66 @[lsu_lsc_ctl.scala 126:42] + addrcheck.io.rs1_d <= rs1_d @[lsu_lsc_ctl.scala 127:42] + io.is_sideeffects_m <= addrcheck.io.is_sideeffects_m @[lsu_lsc_ctl.scala 128:42] + io.addr_in_dccm_d <= addrcheck.io.addr_in_dccm_d @[lsu_lsc_ctl.scala 129:42] + io.addr_in_pic_d <= addrcheck.io.addr_in_pic_d @[lsu_lsc_ctl.scala 130:42] + addrcheck.io.scan_mode <= io.scan_mode @[lsu_lsc_ctl.scala 137:42] + wire exc_mscause_r : UInt<4> + exc_mscause_r <= UInt<4>("h00") + wire fir_dccm_access_error_r : UInt<1> + fir_dccm_access_error_r <= UInt<1>("h00") + wire fir_nondccm_access_error_r : UInt<1> + fir_nondccm_access_error_r <= UInt<1>("h00") + wire access_fault_r : UInt<1> + access_fault_r <= UInt<1>("h00") + wire misaligned_fault_r : UInt<1> + misaligned_fault_r <= UInt<1>("h00") + wire lsu_fir_error_m : UInt<2> + lsu_fir_error_m <= UInt<2>("h00") + wire fir_dccm_access_error_m : UInt<1> + fir_dccm_access_error_m <= UInt<1>("h00") + wire fir_nondccm_access_error_m : UInt<1> + fir_nondccm_access_error_m <= UInt<1>("h00") + reg access_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 149:75] + access_fault_m <= addrcheck.io.access_fault_d @[lsu_lsc_ctl.scala 149:75] + reg misaligned_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 150:75] + misaligned_fault_m <= addrcheck.io.misaligned_fault_d @[lsu_lsc_ctl.scala 150:75] + reg exc_mscause_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 151:75] + exc_mscause_m <= addrcheck.io.exc_mscause_d @[lsu_lsc_ctl.scala 151:75] + reg _T_67 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 152:75] + _T_67 <= addrcheck.io.fir_dccm_access_error_d @[lsu_lsc_ctl.scala 152:75] + fir_dccm_access_error_m <= _T_67 @[lsu_lsc_ctl.scala 152:38] + reg _T_68 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 153:75] + _T_68 <= addrcheck.io.fir_nondccm_access_error_d @[lsu_lsc_ctl.scala 153:75] + fir_nondccm_access_error_m <= _T_68 @[lsu_lsc_ctl.scala 153:38] + node _T_69 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 155:34] + io.lsu_exc_m <= _T_69 @[lsu_lsc_ctl.scala 155:16] + node _T_70 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 156:64] + node _T_71 = and(io.lsu_single_ecc_error_r, _T_70) @[lsu_lsc_ctl.scala 156:62] + node _T_72 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_lsc_ctl.scala 156:111] + node _T_73 = and(_T_71, _T_72) @[lsu_lsc_ctl.scala 156:92] + node _T_74 = and(_T_73, io.lsu_pkt_r.valid) @[lsu_lsc_ctl.scala 156:136] + io.lsu_single_ecc_error_incr <= _T_74 @[lsu_lsc_ctl.scala 156:32] + node _T_75 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 178:46] + node _T_76 = or(_T_75, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 178:67] + node _T_77 = and(_T_76, io.lsu_pkt_m.valid) @[lsu_lsc_ctl.scala 178:96] + node _T_78 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 178:119] + node _T_79 = and(_T_77, _T_78) @[lsu_lsc_ctl.scala 178:117] + node _T_80 = eq(io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 178:144] + node _T_81 = and(_T_79, _T_80) @[lsu_lsc_ctl.scala 178:142] + node _T_82 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_lsc_ctl.scala 178:174] + node _T_83 = and(_T_81, _T_82) @[lsu_lsc_ctl.scala 178:172] + lsu_error_pkt_m.valid <= _T_83 @[lsu_lsc_ctl.scala 178:27] + node _T_84 = eq(lsu_error_pkt_m.valid, UInt<1>("h00")) @[lsu_lsc_ctl.scala 179:75] + node _T_85 = and(io.lsu_single_ecc_error_m, _T_84) @[lsu_lsc_ctl.scala 179:73] + node _T_86 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 179:101] + node _T_87 = and(_T_85, _T_86) @[lsu_lsc_ctl.scala 179:99] + lsu_error_pkt_m.bits.single_ecc_error <= _T_87 @[lsu_lsc_ctl.scala 179:43] + lsu_error_pkt_m.bits.inst_type <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 180:43] + node _T_88 = not(misaligned_fault_m) @[lsu_lsc_ctl.scala 181:46] + lsu_error_pkt_m.bits.exc_type <= _T_88 @[lsu_lsc_ctl.scala 181:43] + node _T_89 = eq(misaligned_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 182:80] + node _T_90 = and(io.lsu_double_ecc_error_m, _T_89) @[lsu_lsc_ctl.scala 182:78] + node _T_91 = eq(access_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 182:102] + node _T_92 = and(_T_90, _T_91) @[lsu_lsc_ctl.scala 182:100] + node _T_93 = eq(_T_92, UInt<1>("h01")) @[lsu_lsc_ctl.scala 182:118] + node _T_94 = bits(exc_mscause_m, 3, 0) @[lsu_lsc_ctl.scala 182:149] + node _T_95 = mux(_T_93, UInt<4>("h01"), _T_94) @[lsu_lsc_ctl.scala 182:49] + lsu_error_pkt_m.bits.mscause <= _T_95 @[lsu_lsc_ctl.scala 182:43] + node _T_96 = bits(io.lsu_addr_m, 31, 0) @[lsu_lsc_ctl.scala 183:59] + lsu_error_pkt_m.bits.addr <= _T_96 @[lsu_lsc_ctl.scala 183:43] + node _T_97 = bits(fir_nondccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 184:72] + node _T_98 = bits(fir_dccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 184:117] + node _T_99 = and(io.lsu_pkt_m.bits.fast_int, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 184:166] + node _T_100 = bits(_T_99, 0, 0) @[lsu_lsc_ctl.scala 184:195] + node _T_101 = mux(_T_100, UInt<2>("h01"), UInt<2>("h00")) @[lsu_lsc_ctl.scala 184:137] + node _T_102 = mux(_T_98, UInt<2>("h02"), _T_101) @[lsu_lsc_ctl.scala 184:92] + node _T_103 = mux(_T_97, UInt<2>("h03"), _T_102) @[lsu_lsc_ctl.scala 184:44] + lsu_fir_error_m <= _T_103 @[lsu_lsc_ctl.scala 184:38] + node _T_104 = or(lsu_error_pkt_m.valid, lsu_error_pkt_m.bits.single_ecc_error) @[lsu_lsc_ctl.scala 185:73] + node _T_105 = or(_T_104, io.clk_override) @[lsu_lsc_ctl.scala 185:113] + node _T_106 = bits(_T_105, 0, 0) @[lib.scala 8:44] + node _T_107 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr of rvclkhdr @[lib.scala 378:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 380:18] + rvclkhdr.io.en <= _T_106 @[lib.scala 381:17] + rvclkhdr.io.scan_mode <= _T_107 @[lib.scala 382:24] + wire _T_108 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lib.scala 384:33] + _T_108.bits.addr <= UInt<32>("h00") @[lib.scala 384:33] + _T_108.bits.mscause <= UInt<4>("h00") @[lib.scala 384:33] + _T_108.bits.exc_type <= UInt<1>("h00") @[lib.scala 384:33] + _T_108.bits.inst_type <= UInt<1>("h00") @[lib.scala 384:33] + _T_108.bits.single_ecc_error <= UInt<1>("h00") @[lib.scala 384:33] + _T_108.valid <= UInt<1>("h00") @[lib.scala 384:33] + reg _T_109 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, rvclkhdr.io.l1clk with : (reset => (reset, _T_108)) @[lib.scala 384:16] + _T_109.bits.addr <= lsu_error_pkt_m.bits.addr @[lib.scala 384:16] + _T_109.bits.mscause <= lsu_error_pkt_m.bits.mscause @[lib.scala 384:16] + _T_109.bits.exc_type <= lsu_error_pkt_m.bits.exc_type @[lib.scala 384:16] + _T_109.bits.inst_type <= lsu_error_pkt_m.bits.inst_type @[lib.scala 384:16] + _T_109.bits.single_ecc_error <= lsu_error_pkt_m.bits.single_ecc_error @[lib.scala 384:16] + _T_109.valid <= lsu_error_pkt_m.valid @[lib.scala 384:16] + io.lsu_error_pkt_r.bits.addr <= _T_109.bits.addr @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.mscause <= _T_109.bits.mscause @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.exc_type <= _T_109.bits.exc_type @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.inst_type <= _T_109.bits.inst_type @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.bits.single_ecc_error <= _T_109.bits.single_ecc_error @[lsu_lsc_ctl.scala 185:24] + io.lsu_error_pkt_r.valid <= _T_109.valid @[lsu_lsc_ctl.scala 185:24] + reg _T_110 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 186:83] + _T_110 <= lsu_error_pkt_m.bits.single_ecc_error @[lsu_lsc_ctl.scala 186:83] + io.lsu_error_pkt_r.bits.single_ecc_error <= _T_110 @[lsu_lsc_ctl.scala 186:46] + reg _T_111 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 187:67] + _T_111 <= lsu_error_pkt_m.valid @[lsu_lsc_ctl.scala 187:67] + io.lsu_error_pkt_r.valid <= _T_111 @[lsu_lsc_ctl.scala 187:30] + reg _T_112 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 193:48] + _T_112 <= lsu_fir_error_m @[lsu_lsc_ctl.scala 193:48] + io.lsu_fir_error <= _T_112 @[lsu_lsc_ctl.scala 193:38] + dma_pkt_d.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 195:27] + dma_pkt_d.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 196:27] + dma_pkt_d.valid <= io.dma_lsc_ctl.dma_dccm_req @[lsu_lsc_ctl.scala 197:22] + dma_pkt_d.bits.dma <= UInt<1>("h01") @[lsu_lsc_ctl.scala 198:27] + dma_pkt_d.bits.store <= io.dma_lsc_ctl.dma_mem_write @[lsu_lsc_ctl.scala 199:27] + node _T_113 = not(io.dma_lsc_ctl.dma_mem_write) @[lsu_lsc_ctl.scala 200:30] + dma_pkt_d.bits.load <= _T_113 @[lsu_lsc_ctl.scala 200:27] + node _T_114 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 201:56] + node _T_115 = eq(_T_114, UInt<3>("h00")) @[lsu_lsc_ctl.scala 201:62] + dma_pkt_d.bits.by <= _T_115 @[lsu_lsc_ctl.scala 201:27] + node _T_116 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 202:56] + node _T_117 = eq(_T_116, UInt<3>("h01")) @[lsu_lsc_ctl.scala 202:62] + dma_pkt_d.bits.half <= _T_117 @[lsu_lsc_ctl.scala 202:27] + node _T_118 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 203:56] + node _T_119 = eq(_T_118, UInt<3>("h02")) @[lsu_lsc_ctl.scala 203:62] + dma_pkt_d.bits.word <= _T_119 @[lsu_lsc_ctl.scala 203:27] + node _T_120 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 204:56] + node _T_121 = eq(_T_120, UInt<3>("h03")) @[lsu_lsc_ctl.scala 204:62] + dma_pkt_d.bits.dword <= _T_121 @[lsu_lsc_ctl.scala 204:27] + dma_pkt_d.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 205:39] + dma_pkt_d.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 206:39] + dma_pkt_d.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 207:39] + wire lsu_ld_datafn_r : UInt<32> + lsu_ld_datafn_r <= UInt<32>("h00") + wire lsu_ld_datafn_corr_r : UInt<32> + lsu_ld_datafn_corr_r <= UInt<32>("h00") + wire lsu_ld_datafn_m : UInt<32> + lsu_ld_datafn_m <= UInt<32>("h00") + node _T_122 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 213:50] + node _T_123 = mux(_T_122, io.lsu_p, dma_pkt_d) @[lsu_lsc_ctl.scala 213:26] + io.lsu_pkt_d.bits.store_data_bypass_m <= _T_123.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.load_ldst_bypass_d <= _T_123.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.store_data_bypass_d <= _T_123.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.dma <= _T_123.bits.dma @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.unsign <= _T_123.bits.unsign @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.store <= _T_123.bits.store @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.load <= _T_123.bits.load @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.dword <= _T_123.bits.dword @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.word <= _T_123.bits.word @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.half <= _T_123.bits.half @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.by <= _T_123.bits.by @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.bits.fast_int <= _T_123.bits.fast_int @[lsu_lsc_ctl.scala 213:20] + io.lsu_pkt_d.valid <= _T_123.valid @[lsu_lsc_ctl.scala 213:20] + lsu_pkt_m_in.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_m_in.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 214:20] + lsu_pkt_r_in.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.store <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.load <= io.lsu_pkt_m.bits.load @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.word <= io.lsu_pkt_m.bits.word @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.half <= io.lsu_pkt_m.bits.half @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.by <= io.lsu_pkt_m.bits.by @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_lsc_ctl.scala 215:20] + lsu_pkt_r_in.valid <= io.lsu_pkt_m.valid @[lsu_lsc_ctl.scala 215:20] + node _T_124 = eq(io.lsu_p.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 217:64] + node _T_125 = and(io.flush_m_up, _T_124) @[lsu_lsc_ctl.scala 217:61] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[lsu_lsc_ctl.scala 217:45] + node _T_127 = and(io.lsu_p.valid, _T_126) @[lsu_lsc_ctl.scala 217:43] + node _T_128 = or(_T_127, io.dma_lsc_ctl.dma_dccm_req) @[lsu_lsc_ctl.scala 217:90] + io.lsu_pkt_d.valid <= _T_128 @[lsu_lsc_ctl.scala 217:24] + node _T_129 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 218:68] + node _T_130 = and(io.flush_m_up, _T_129) @[lsu_lsc_ctl.scala 218:65] + node _T_131 = eq(_T_130, UInt<1>("h00")) @[lsu_lsc_ctl.scala 218:49] + node _T_132 = and(io.lsu_pkt_d.valid, _T_131) @[lsu_lsc_ctl.scala 218:47] + lsu_pkt_m_in.valid <= _T_132 @[lsu_lsc_ctl.scala 218:24] + node _T_133 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 219:68] + node _T_134 = and(io.flush_m_up, _T_133) @[lsu_lsc_ctl.scala 219:65] + node _T_135 = eq(_T_134, UInt<1>("h00")) @[lsu_lsc_ctl.scala 219:49] + node _T_136 = and(io.lsu_pkt_m.valid, _T_135) @[lsu_lsc_ctl.scala 219:47] + lsu_pkt_r_in.valid <= _T_136 @[lsu_lsc_ctl.scala 219:24] + wire _T_137 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + _T_137.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 221:91] + reg _T_138 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_m_clk with : (reset => (reset, _T_137)) @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.store_data_bypass_m <= lsu_pkt_m_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.load_ldst_bypass_d <= lsu_pkt_m_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.store_data_bypass_d <= lsu_pkt_m_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.dma <= lsu_pkt_m_in.bits.dma @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.unsign <= lsu_pkt_m_in.bits.unsign @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.store <= lsu_pkt_m_in.bits.store @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.load <= lsu_pkt_m_in.bits.load @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.dword <= lsu_pkt_m_in.bits.dword @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.word <= lsu_pkt_m_in.bits.word @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.half <= lsu_pkt_m_in.bits.half @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.by <= lsu_pkt_m_in.bits.by @[lsu_lsc_ctl.scala 221:65] + _T_138.bits.fast_int <= lsu_pkt_m_in.bits.fast_int @[lsu_lsc_ctl.scala 221:65] + _T_138.valid <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 221:65] + io.lsu_pkt_m.bits.store_data_bypass_m <= _T_138.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.load_ldst_bypass_d <= _T_138.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.store_data_bypass_d <= _T_138.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.dma <= _T_138.bits.dma @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.unsign <= _T_138.bits.unsign @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.store <= _T_138.bits.store @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.load <= _T_138.bits.load @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.dword <= _T_138.bits.dword @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.word <= _T_138.bits.word @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.half <= _T_138.bits.half @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.by <= _T_138.bits.by @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.bits.fast_int <= _T_138.bits.fast_int @[lsu_lsc_ctl.scala 221:28] + io.lsu_pkt_m.valid <= _T_138.valid @[lsu_lsc_ctl.scala 221:28] + wire _T_139 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + _T_139.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 222:91] + reg _T_140 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_r_clk with : (reset => (reset, _T_139)) @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.store_data_bypass_m <= lsu_pkt_r_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.load_ldst_bypass_d <= lsu_pkt_r_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.store_data_bypass_d <= lsu_pkt_r_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.dma <= lsu_pkt_r_in.bits.dma @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.unsign <= lsu_pkt_r_in.bits.unsign @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.store <= lsu_pkt_r_in.bits.store @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.load <= lsu_pkt_r_in.bits.load @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.dword <= lsu_pkt_r_in.bits.dword @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.word <= lsu_pkt_r_in.bits.word @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.half <= lsu_pkt_r_in.bits.half @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.by <= lsu_pkt_r_in.bits.by @[lsu_lsc_ctl.scala 222:65] + _T_140.bits.fast_int <= lsu_pkt_r_in.bits.fast_int @[lsu_lsc_ctl.scala 222:65] + _T_140.valid <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 222:65] + io.lsu_pkt_r.bits.store_data_bypass_m <= _T_140.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.load_ldst_bypass_d <= _T_140.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.store_data_bypass_d <= _T_140.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.dma <= _T_140.bits.dma @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.unsign <= _T_140.bits.unsign @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.store <= _T_140.bits.store @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.load <= _T_140.bits.load @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.dword <= _T_140.bits.dword @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.word <= _T_140.bits.word @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.half <= _T_140.bits.half @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.by <= _T_140.bits.by @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.bits.fast_int <= _T_140.bits.fast_int @[lsu_lsc_ctl.scala 222:28] + io.lsu_pkt_r.valid <= _T_140.valid @[lsu_lsc_ctl.scala 222:28] + reg _T_141 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 223:65] + _T_141 <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 223:65] + io.lsu_pkt_m.valid <= _T_141 @[lsu_lsc_ctl.scala 223:28] + reg _T_142 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:65] + _T_142 <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 224:65] + io.lsu_pkt_r.valid <= _T_142 @[lsu_lsc_ctl.scala 224:28] + node _T_143 = bits(io.dma_lsc_ctl.dma_mem_wdata, 63, 0) @[lsu_lsc_ctl.scala 226:59] + node _T_144 = bits(io.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu_lsc_ctl.scala 226:100] + node _T_145 = cat(_T_144, UInt<3>("h00")) @[Cat.scala 29:58] + node dma_mem_wdata_shifted = dshr(_T_143, _T_145) @[lsu_lsc_ctl.scala 226:66] + node _T_146 = bits(io.dma_lsc_ctl.dma_dccm_req, 0, 0) @[lsu_lsc_ctl.scala 227:63] + node _T_147 = bits(dma_mem_wdata_shifted, 31, 0) @[lsu_lsc_ctl.scala 227:91] + node _T_148 = bits(io.lsu_exu.exu_lsu_rs2_d, 31, 0) @[lsu_lsc_ctl.scala 227:122] + node store_data_d = mux(_T_146, _T_147, _T_148) @[lsu_lsc_ctl.scala 227:34] + node _T_149 = bits(io.lsu_pkt_d.bits.store_data_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 228:73] + node _T_150 = bits(io.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 228:95] + node _T_151 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 228:114] + node store_data_m_in = mux(_T_149, _T_150, _T_151) @[lsu_lsc_ctl.scala 228:34] + reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 230:72] + store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 230:72] + reg _T_152 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 231:62] + _T_152 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 231:62] + io.lsu_addr_m <= _T_152 @[lsu_lsc_ctl.scala 231:24] + reg _T_153 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 232:62] + _T_153 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 232:62] + io.lsu_addr_r <= _T_153 @[lsu_lsc_ctl.scala 232:24] + node _T_154 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] + node _T_155 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 234:71] + node _T_156 = mux(_T_154, end_addr_pre_m, _T_155) @[lsu_lsc_ctl.scala 234:27] + node _T_157 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 234:128] + reg _T_158 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 234:114] + _T_158 <= _T_157 @[lsu_lsc_ctl.scala 234:114] + node _T_159 = cat(_T_156, _T_158) @[Cat.scala 29:58] + io.end_addr_m <= _T_159 @[lsu_lsc_ctl.scala 234:17] + node _T_160 = bits(io.ldst_dual_r, 0, 0) @[lib.scala 8:44] + node _T_161 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 235:71] + node _T_162 = mux(_T_160, end_addr_pre_r, _T_161) @[lsu_lsc_ctl.scala 235:27] + node _T_163 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 235:128] + reg _T_164 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 235:114] + _T_164 <= _T_163 @[lsu_lsc_ctl.scala 235:114] + node _T_165 = cat(_T_162, _T_164) @[Cat.scala 29:58] + io.end_addr_r <= _T_165 @[lsu_lsc_ctl.scala 235:17] + node _T_166 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 237:41] + node _T_167 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 237:69] + node _T_168 = or(_T_167, io.clk_override) @[lsu_lsc_ctl.scala 237:87] + node _T_169 = bits(_T_168, 0, 0) @[lib.scala 8:44] + node _T_170 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_169 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_170 @[lib.scala 372:24] + reg _T_171 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_171 <= _T_166 @[lib.scala 374:16] + end_addr_pre_m <= _T_171 @[lsu_lsc_ctl.scala 237:18] + node _T_172 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 238:41] + node _T_173 = and(io.lsu_pkt_m.valid, io.ldst_dual_m) @[lsu_lsc_ctl.scala 238:69] + node _T_174 = or(_T_173, io.clk_override) @[lsu_lsc_ctl.scala 238:87] + node _T_175 = bits(_T_174, 0, 0) @[lib.scala 8:44] + node _T_176 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_175 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= _T_176 @[lib.scala 372:24] + reg _T_177 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_177 <= _T_172 @[lib.scala 374:16] + end_addr_pre_r <= _T_177 @[lsu_lsc_ctl.scala 238:18] + reg _T_178 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 241:62] + _T_178 <= io.end_addr_d @[lsu_lsc_ctl.scala 241:62] + io.end_addr_m <= _T_178 @[lsu_lsc_ctl.scala 241:24] + reg _T_179 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 242:62] + _T_179 <= io.end_addr_m @[lsu_lsc_ctl.scala 242:62] + io.end_addr_r <= _T_179 @[lsu_lsc_ctl.scala 242:24] + reg _T_180 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 243:62] + _T_180 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 243:62] + io.addr_in_dccm_m <= _T_180 @[lsu_lsc_ctl.scala 243:24] + reg _T_181 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 244:62] + _T_181 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 244:62] + io.addr_in_dccm_r <= _T_181 @[lsu_lsc_ctl.scala 244:24] + reg _T_182 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 245:62] + _T_182 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 245:62] + io.addr_in_pic_m <= _T_182 @[lsu_lsc_ctl.scala 245:24] + reg _T_183 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 246:62] + _T_183 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 246:62] + io.addr_in_pic_r <= _T_183 @[lsu_lsc_ctl.scala 246:24] + reg _T_184 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 247:62] + _T_184 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 247:62] + io.addr_external_m <= _T_184 @[lsu_lsc_ctl.scala 247:24] + reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 248:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 248:66] + node _T_185 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 249:77] + node _T_186 = bits(_T_185, 0, 0) @[lib.scala 8:44] + node _T_187 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_186 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= _T_187 @[lib.scala 372:24] + reg bus_read_data_r : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + bus_read_data_r <= io.bus_read_data_m @[lib.scala 374:16] + node _T_188 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 252:52] + io.lsu_fir_addr <= _T_188 @[lsu_lsc_ctl.scala 252:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 254:28] + node _T_189 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 256:68] + node _T_190 = and(io.lsu_pkt_r.valid, _T_189) @[lsu_lsc_ctl.scala 256:41] + node _T_191 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 256:96] + node _T_192 = and(_T_190, _T_191) @[lsu_lsc_ctl.scala 256:94] + node _T_193 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 256:110] + node _T_194 = and(_T_192, _T_193) @[lsu_lsc_ctl.scala 256:108] + io.lsu_commit_r <= _T_194 @[lsu_lsc_ctl.scala 256:19] + node _T_195 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 257:52] + node _T_196 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 257:69] + node _T_197 = bits(_T_196, 0, 0) @[Bitwise.scala 72:15] + node _T_198 = mux(_T_197, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_199 = or(_T_195, _T_198) @[lsu_lsc_ctl.scala 257:59] + node _T_200 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 257:133] + node _T_201 = mux(_T_200, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 257:94] + node _T_202 = and(_T_199, _T_201) @[lsu_lsc_ctl.scala 257:89] + io.store_data_m <= _T_202 @[lsu_lsc_ctl.scala 257:29] + node _T_203 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 278:53] + node _T_204 = mux(_T_203, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 278:33] + lsu_ld_datafn_m <= _T_204 @[lsu_lsc_ctl.scala 278:27] + node _T_205 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 279:49] + node _T_206 = mux(_T_205, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 279:33] + lsu_ld_datafn_corr_r <= _T_206 @[lsu_lsc_ctl.scala 279:27] + node _T_207 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 280:66] + node _T_208 = bits(_T_207, 0, 0) @[Bitwise.scala 72:15] + node _T_209 = mux(_T_208, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_210 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 280:125] + node _T_211 = cat(UInt<24>("h00"), _T_210) @[Cat.scala 29:58] + node _T_212 = and(_T_209, _T_211) @[lsu_lsc_ctl.scala 280:94] + node _T_213 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 281:43] + node _T_214 = bits(_T_213, 0, 0) @[Bitwise.scala 72:15] + node _T_215 = mux(_T_214, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_216 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 281:102] + node _T_217 = cat(UInt<16>("h00"), _T_216) @[Cat.scala 29:58] + node _T_218 = and(_T_215, _T_217) @[lsu_lsc_ctl.scala 281:71] + node _T_219 = or(_T_212, _T_218) @[lsu_lsc_ctl.scala 280:133] + node _T_220 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 282:17] + node _T_221 = and(_T_220, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 282:43] + node _T_222 = bits(_T_221, 0, 0) @[Bitwise.scala 72:15] + node _T_223 = mux(_T_222, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_224 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 282:102] + node _T_225 = bits(_T_224, 0, 0) @[Bitwise.scala 72:15] + node _T_226 = mux(_T_225, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_227 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 282:125] + node _T_228 = cat(_T_226, _T_227) @[Cat.scala 29:58] + node _T_229 = and(_T_223, _T_228) @[lsu_lsc_ctl.scala 282:71] + node _T_230 = or(_T_219, _T_229) @[lsu_lsc_ctl.scala 281:114] + node _T_231 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 283:17] + node _T_232 = and(_T_231, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 283:43] + node _T_233 = bits(_T_232, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_235 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 283:101] + node _T_236 = bits(_T_235, 0, 0) @[Bitwise.scala 72:15] + node _T_237 = mux(_T_236, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_238 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 283:125] + node _T_239 = cat(_T_237, _T_238) @[Cat.scala 29:58] + node _T_240 = and(_T_234, _T_239) @[lsu_lsc_ctl.scala 283:71] + node _T_241 = or(_T_230, _T_240) @[lsu_lsc_ctl.scala 282:134] + node _T_242 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_243 = mux(_T_242, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_244 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 284:60] + node _T_245 = and(_T_243, _T_244) @[lsu_lsc_ctl.scala 284:43] + node _T_246 = or(_T_241, _T_245) @[lsu_lsc_ctl.scala 283:134] + io.lsu_result_m <= _T_246 @[lsu_lsc_ctl.scala 280:27] + node _T_247 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 285:66] + node _T_248 = bits(_T_247, 0, 0) @[Bitwise.scala 72:15] + node _T_249 = mux(_T_248, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_250 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 285:130] + node _T_251 = cat(UInt<24>("h00"), _T_250) @[Cat.scala 29:58] + node _T_252 = and(_T_249, _T_251) @[lsu_lsc_ctl.scala 285:94] + node _T_253 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 286:43] + node _T_254 = bits(_T_253, 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_256 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 286:107] + node _T_257 = cat(UInt<16>("h00"), _T_256) @[Cat.scala 29:58] + node _T_258 = and(_T_255, _T_257) @[lsu_lsc_ctl.scala 286:71] + node _T_259 = or(_T_252, _T_258) @[lsu_lsc_ctl.scala 285:138] + node _T_260 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 287:17] + node _T_261 = and(_T_260, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 287:43] + node _T_262 = bits(_T_261, 0, 0) @[Bitwise.scala 72:15] + node _T_263 = mux(_T_262, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_264 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 287:107] + node _T_265 = bits(_T_264, 0, 0) @[Bitwise.scala 72:15] + node _T_266 = mux(_T_265, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_267 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 287:135] + node _T_268 = cat(_T_266, _T_267) @[Cat.scala 29:58] + node _T_269 = and(_T_263, _T_268) @[lsu_lsc_ctl.scala 287:71] + node _T_270 = or(_T_259, _T_269) @[lsu_lsc_ctl.scala 286:119] + node _T_271 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 288:17] + node _T_272 = and(_T_271, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 288:43] + node _T_273 = bits(_T_272, 0, 0) @[Bitwise.scala 72:15] + node _T_274 = mux(_T_273, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_275 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 288:106] + node _T_276 = bits(_T_275, 0, 0) @[Bitwise.scala 72:15] + node _T_277 = mux(_T_276, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_278 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 288:135] + node _T_279 = cat(_T_277, _T_278) @[Cat.scala 29:58] + node _T_280 = and(_T_274, _T_279) @[lsu_lsc_ctl.scala 288:71] + node _T_281 = or(_T_270, _T_280) @[lsu_lsc_ctl.scala 287:144] + node _T_282 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_283 = mux(_T_282, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_284 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 289:65] + node _T_285 = and(_T_283, _T_284) @[lsu_lsc_ctl.scala 289:43] + node _T_286 = or(_T_281, _T_285) @[lsu_lsc_ctl.scala 288:144] + io.lsu_result_corr_r <= _T_286 @[lsu_lsc_ctl.scala 285:27] + diff --git a/lsu_lsc_ctl.v b/lsu_lsc_ctl.v new file mode 100644 index 00000000..60e9652c --- /dev/null +++ b/lsu_lsc_ctl.v @@ -0,0 +1,1394 @@ +module lsu_addrcheck( + input reset, + input io_lsu_c2_m_clk, + input [31:0] io_start_addr_d, + input [31:0] io_end_addr_d, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input [31:0] io_dec_tlu_mrac_ff, + input [3:0] io_rs1_region_d, + output io_is_sideeffects_m, + output io_addr_in_dccm_d, + output io_addr_in_pic_d, + output io_addr_external_d, + output io_access_fault_d, + output io_misaligned_fault_d, + output [3:0] io_exc_mscause_d, + output io_fir_dccm_access_error_d, + output io_fir_nondccm_access_error_d +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; +`endif // RANDOMIZE_REG_INIT + wire start_addr_in_dccm_region_d = io_start_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire start_addr_in_dccm_d = io_start_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire end_addr_in_dccm_region_d = io_end_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire end_addr_in_dccm_d = io_end_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire addr_in_iccm = io_start_addr_d[31:28] == 4'he; // @[lsu_addrcheck.scala 42:45] + wire start_addr_in_pic_d = io_start_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire end_addr_in_pic_d = io_end_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 54:60] + wire _T_17 = io_rs1_region_d == 4'hf; // @[lsu_addrcheck.scala 55:55] + wire base_reg_dccm_or_pic = _T_17 | _T_17; // @[lsu_addrcheck.scala 55:91] + wire [4:0] csr_idx = {io_start_addr_d[31:28],1'h1}; // @[Cat.scala 29:58] + wire [31:0] _T_26 = io_dec_tlu_mrac_ff >> csr_idx; // @[lsu_addrcheck.scala 61:50] + wire _T_29 = start_addr_dccm_or_pic | addr_in_iccm; // @[lsu_addrcheck.scala 61:121] + wire _T_30 = ~_T_29; // @[lsu_addrcheck.scala 61:62] + wire _T_31 = _T_26[0] & _T_30; // @[lsu_addrcheck.scala 61:60] + wire _T_32 = _T_31 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 61:137] + wire _T_33 = io_lsu_pkt_d_bits_store | io_lsu_pkt_d_bits_load; // @[lsu_addrcheck.scala 61:185] + wire is_sideeffects_d = _T_32 & _T_33; // @[lsu_addrcheck.scala 61:158] + wire _T_35 = io_start_addr_d[1:0] == 2'h0; // @[lsu_addrcheck.scala 62:80] + wire _T_36 = io_lsu_pkt_d_bits_word & _T_35; // @[lsu_addrcheck.scala 62:56] + wire _T_38 = ~io_start_addr_d[0]; // @[lsu_addrcheck.scala 62:138] + wire _T_39 = io_lsu_pkt_d_bits_half & _T_38; // @[lsu_addrcheck.scala 62:116] + wire _T_40 = _T_36 | _T_39; // @[lsu_addrcheck.scala 62:90] + wire is_aligned_d = _T_40 | io_lsu_pkt_d_bits_by; // @[lsu_addrcheck.scala 62:148] + wire [31:0] _T_51 = io_start_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 67:56] + wire _T_53 = _T_51 == 32'h7fffffff; // @[lsu_addrcheck.scala 67:88] + wire [31:0] _T_56 = io_start_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 68:56] + wire _T_58 = _T_56 == 32'hffffffff; // @[lsu_addrcheck.scala 68:88] + wire _T_60 = _T_53 | _T_58; // @[lsu_addrcheck.scala 67:153] + wire [31:0] _T_62 = io_start_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 69:56] + wire _T_64 = _T_62 == 32'hbfffffff; // @[lsu_addrcheck.scala 69:88] + wire _T_66 = _T_60 | _T_64; // @[lsu_addrcheck.scala 68:153] + wire [31:0] _T_68 = io_start_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 70:56] + wire _T_70 = _T_68 == 32'h8fffffff; // @[lsu_addrcheck.scala 70:88] + wire _T_72 = _T_66 | _T_70; // @[lsu_addrcheck.scala 69:153] + wire [31:0] _T_98 = io_end_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 76:57] + wire _T_100 = _T_98 == 32'h7fffffff; // @[lsu_addrcheck.scala 76:89] + wire [31:0] _T_103 = io_end_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 77:58] + wire _T_105 = _T_103 == 32'hffffffff; // @[lsu_addrcheck.scala 77:90] + wire _T_107 = _T_100 | _T_105; // @[lsu_addrcheck.scala 76:154] + wire [31:0] _T_109 = io_end_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 78:58] + wire _T_111 = _T_109 == 32'hbfffffff; // @[lsu_addrcheck.scala 78:90] + wire _T_113 = _T_107 | _T_111; // @[lsu_addrcheck.scala 77:155] + wire [31:0] _T_115 = io_end_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 79:58] + wire _T_117 = _T_115 == 32'h8fffffff; // @[lsu_addrcheck.scala 79:90] + wire _T_119 = _T_113 | _T_117; // @[lsu_addrcheck.scala 78:155] + wire non_dccm_access_ok = _T_72 & _T_119; // @[lsu_addrcheck.scala 75:7] + wire regpred_access_fault_d = start_addr_dccm_or_pic ^ base_reg_dccm_or_pic; // @[lsu_addrcheck.scala 85:57] + wire _T_146 = io_start_addr_d[1:0] != 2'h0; // @[lsu_addrcheck.scala 86:76] + wire _T_147 = ~io_lsu_pkt_d_bits_word; // @[lsu_addrcheck.scala 86:92] + wire _T_148 = _T_146 | _T_147; // @[lsu_addrcheck.scala 86:90] + wire picm_access_fault_d = io_addr_in_pic_d & _T_148; // @[lsu_addrcheck.scala 86:51] + wire _T_149 = start_addr_in_dccm_d | start_addr_in_pic_d; // @[lsu_addrcheck.scala 91:87] + wire _T_150 = ~_T_149; // @[lsu_addrcheck.scala 91:64] + wire _T_151 = start_addr_in_dccm_region_d & _T_150; // @[lsu_addrcheck.scala 91:62] + wire _T_152 = end_addr_in_dccm_d | end_addr_in_pic_d; // @[lsu_addrcheck.scala 93:57] + wire _T_153 = ~_T_152; // @[lsu_addrcheck.scala 93:36] + wire _T_154 = end_addr_in_dccm_region_d & _T_153; // @[lsu_addrcheck.scala 93:34] + wire _T_155 = _T_151 | _T_154; // @[lsu_addrcheck.scala 91:112] + wire _T_156 = start_addr_in_dccm_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 95:29] + wire _T_157 = _T_155 | _T_156; // @[lsu_addrcheck.scala 93:85] + wire _T_158 = start_addr_in_pic_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 97:29] + wire unmapped_access_fault_d = _T_157 | _T_158; // @[lsu_addrcheck.scala 95:85] + wire _T_160 = ~start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 99:33] + wire _T_161 = ~non_dccm_access_ok; // @[lsu_addrcheck.scala 99:64] + wire mpu_access_fault_d = _T_160 & _T_161; // @[lsu_addrcheck.scala 99:62] + wire _T_163 = unmapped_access_fault_d | mpu_access_fault_d; // @[lsu_addrcheck.scala 111:49] + wire _T_164 = _T_163 | picm_access_fault_d; // @[lsu_addrcheck.scala 111:70] + wire _T_165 = _T_164 | regpred_access_fault_d; // @[lsu_addrcheck.scala 111:92] + wire _T_166 = _T_165 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 111:118] + wire _T_167 = ~io_lsu_pkt_d_bits_dma; // @[lsu_addrcheck.scala 111:141] + wire [3:0] _T_173 = picm_access_fault_d ? 4'h6 : 4'h0; // @[lsu_addrcheck.scala 112:164] + wire [3:0] _T_174 = regpred_access_fault_d ? 4'h5 : _T_173; // @[lsu_addrcheck.scala 112:120] + wire [3:0] _T_175 = mpu_access_fault_d ? 4'h3 : _T_174; // @[lsu_addrcheck.scala 112:80] + wire [3:0] access_fault_mscause_d = unmapped_access_fault_d ? 4'h2 : _T_175; // @[lsu_addrcheck.scala 112:35] + wire regcross_misaligned_fault_d = io_start_addr_d[31:28] != io_end_addr_d[31:28]; // @[lsu_addrcheck.scala 113:61] + wire _T_178 = ~is_aligned_d; // @[lsu_addrcheck.scala 114:59] + wire sideeffect_misaligned_fault_d = is_sideeffects_d & _T_178; // @[lsu_addrcheck.scala 114:57] + wire _T_179 = sideeffect_misaligned_fault_d & io_addr_external_d; // @[lsu_addrcheck.scala 115:90] + wire _T_180 = regcross_misaligned_fault_d | _T_179; // @[lsu_addrcheck.scala 115:57] + wire _T_181 = _T_180 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 115:113] + wire [3:0] _T_185 = sideeffect_misaligned_fault_d ? 4'h1 : 4'h0; // @[lsu_addrcheck.scala 116:80] + wire [3:0] misaligned_fault_mscause_d = regcross_misaligned_fault_d ? 4'h2 : _T_185; // @[lsu_addrcheck.scala 116:39] + wire _T_190 = ~start_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:66] + wire _T_191 = start_addr_in_dccm_region_d & _T_190; // @[lsu_addrcheck.scala 118:64] + wire _T_192 = ~end_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:120] + wire _T_193 = end_addr_in_dccm_region_d & _T_192; // @[lsu_addrcheck.scala 118:118] + wire _T_194 = _T_191 | _T_193; // @[lsu_addrcheck.scala 118:88] + wire _T_195 = _T_194 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 118:142] + wire _T_197 = start_addr_in_dccm_region_d & end_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 119:66] + wire _T_198 = ~_T_197; // @[lsu_addrcheck.scala 119:36] + wire _T_199 = _T_198 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 119:95] + reg _T_201; // @[lsu_addrcheck.scala 121:60] + assign io_is_sideeffects_m = _T_201; // @[lsu_addrcheck.scala 121:50] + assign io_addr_in_dccm_d = start_addr_in_dccm_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 56:32] + assign io_addr_in_pic_d = start_addr_in_pic_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 57:32] + assign io_addr_external_d = ~start_addr_dccm_or_pic; // @[lsu_addrcheck.scala 59:30] + assign io_access_fault_d = _T_166 & _T_167; // @[lsu_addrcheck.scala 111:21] + assign io_misaligned_fault_d = _T_181 & _T_167; // @[lsu_addrcheck.scala 115:25] + assign io_exc_mscause_d = io_misaligned_fault_d ? misaligned_fault_mscause_d : access_fault_mscause_d; // @[lsu_addrcheck.scala 117:21] + assign io_fir_dccm_access_error_d = _T_195 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 118:31] + assign io_fir_nondccm_access_error_d = _T_199 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 119:33] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_201 = _RAND_0[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_201 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_201 <= 1'h0; + end else begin + _T_201 <= _T_32 & _T_33; + end + end +endmodule +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_lsc_ctl( + input clock, + input reset, + input io_clk_override, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_store_c1_m_clk, + input [31:0] io_lsu_ld_data_r, + input [31:0] io_lsu_ld_data_corr_r, + input io_lsu_single_ecc_error_r, + input io_lsu_double_ecc_error_r, + input [31:0] io_lsu_ld_data_m, + input io_lsu_single_ecc_error_m, + input io_lsu_double_ecc_error_m, + input io_flush_m_up, + input io_flush_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_dec_lsu_valid_raw_d, + input [11:0] io_dec_lsu_offset_d, + input [31:0] io_picm_mask_data_m, + input [31:0] io_bus_read_data_m, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output [31:0] io_lsu_addr_d, + output [31:0] io_lsu_addr_m, + output [31:0] io_lsu_addr_r, + output [31:0] io_end_addr_d, + output [31:0] io_end_addr_m, + output [31:0] io_end_addr_r, + output [31:0] io_store_data_m, + input [31:0] io_dec_tlu_mrac_ff, + output io_lsu_exc_m, + output io_is_sideeffects_m, + output io_lsu_commit_r, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_addr_in_dccm_d, + output io_addr_in_dccm_m, + output io_addr_in_dccm_r, + output io_addr_in_pic_d, + output io_addr_in_pic_m, + output io_addr_in_pic_r, + output io_addr_external_m, + input io_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_dma_lsc_ctl_dma_mem_sz, + input io_dma_lsc_ctl_dma_mem_write, + input [63:0] io_dma_lsc_ctl_dma_mem_wdata, + output io_lsu_pkt_d_valid, + output io_lsu_pkt_d_bits_fast_int, + output io_lsu_pkt_d_bits_by, + output io_lsu_pkt_d_bits_half, + output io_lsu_pkt_d_bits_word, + output io_lsu_pkt_d_bits_dword, + output io_lsu_pkt_d_bits_load, + output io_lsu_pkt_d_bits_store, + output io_lsu_pkt_d_bits_unsign, + output io_lsu_pkt_d_bits_dma, + output io_lsu_pkt_d_bits_store_data_bypass_d, + output io_lsu_pkt_d_bits_load_ldst_bypass_d, + output io_lsu_pkt_d_bits_store_data_bypass_m, + output io_lsu_pkt_m_valid, + output io_lsu_pkt_m_bits_fast_int, + output io_lsu_pkt_m_bits_by, + output io_lsu_pkt_m_bits_half, + output io_lsu_pkt_m_bits_word, + output io_lsu_pkt_m_bits_dword, + output io_lsu_pkt_m_bits_load, + output io_lsu_pkt_m_bits_store, + output io_lsu_pkt_m_bits_unsign, + output io_lsu_pkt_m_bits_dma, + output io_lsu_pkt_m_bits_store_data_bypass_d, + output io_lsu_pkt_m_bits_load_ldst_bypass_d, + output io_lsu_pkt_m_bits_store_data_bypass_m, + output io_lsu_pkt_r_valid, + output io_lsu_pkt_r_bits_fast_int, + output io_lsu_pkt_r_bits_by, + output io_lsu_pkt_r_bits_half, + output io_lsu_pkt_r_bits_word, + output io_lsu_pkt_r_bits_dword, + output io_lsu_pkt_r_bits_load, + output io_lsu_pkt_r_bits_store, + output io_lsu_pkt_r_bits_unsign, + output io_lsu_pkt_r_bits_dma, + output io_lsu_pkt_r_bits_store_data_bypass_d, + output io_lsu_pkt_r_bits_load_ldst_bypass_d, + output io_lsu_pkt_r_bits_store_data_bypass_m, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; +`endif // RANDOMIZE_REG_INIT + wire addrcheck_reset; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 118:25] + wire [31:0] addrcheck_io_start_addr_d; // @[lsu_lsc_ctl.scala 118:25] + wire [31:0] addrcheck_io_end_addr_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 118:25] + wire [31:0] addrcheck_io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 118:25] + wire [3:0] addrcheck_io_rs1_region_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_addr_external_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_access_fault_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_misaligned_fault_d; // @[lsu_lsc_ctl.scala 118:25] + wire [3:0] addrcheck_io_exc_mscause_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_fir_dccm_access_error_d; // @[lsu_lsc_ctl.scala 118:25] + wire addrcheck_io_fir_nondccm_access_error_d; // @[lsu_lsc_ctl.scala 118:25] + wire rvclkhdr_io_l1clk; // @[lib.scala 378:23] + wire rvclkhdr_io_clk; // @[lib.scala 378:23] + wire rvclkhdr_io_en; // @[lib.scala 378:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 378:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire [31:0] lsu_rs1_d = io_dec_lsu_valid_raw_d ? io_lsu_exu_exu_lsu_rs1_d : io_dma_lsc_ctl_dma_mem_addr; // @[lsu_lsc_ctl.scala 100:28] + wire [11:0] _T_3 = io_dec_lsu_valid_raw_d ? 12'hfff : 12'h0; // @[Bitwise.scala 72:12] + wire [11:0] lsu_offset_d = io_dec_lsu_offset_d & _T_3; // @[lsu_lsc_ctl.scala 101:51] + wire [31:0] rs1_d = io_lsu_pkt_d_bits_load_ldst_bypass_d ? io_lsu_result_m : lsu_rs1_d; // @[lsu_lsc_ctl.scala 104:28] + wire [12:0] _T_6 = {1'h0,rs1_d[11:0]}; // @[Cat.scala 29:58] + wire [12:0] _T_8 = {1'h0,lsu_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _T_10 = _T_6 + _T_8; // @[lib.scala 92:39] + wire _T_13 = lsu_offset_d[11] ^ _T_10[12]; // @[lib.scala 93:46] + wire _T_14 = ~_T_13; // @[lib.scala 93:33] + wire [19:0] _T_16 = _T_14 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_18 = _T_16 & rs1_d[31:12]; // @[lib.scala 93:58] + wire _T_20 = ~lsu_offset_d[11]; // @[lib.scala 94:18] + wire _T_22 = _T_20 & _T_10[12]; // @[lib.scala 94:30] + wire [19:0] _T_24 = _T_22 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_27 = rs1_d[31:12] + 20'h1; // @[lib.scala 94:54] + wire [19:0] _T_28 = _T_24 & _T_27; // @[lib.scala 94:41] + wire [19:0] _T_29 = _T_18 | _T_28; // @[lib.scala 93:72] + wire _T_32 = ~_T_10[12]; // @[lib.scala 95:31] + wire _T_33 = lsu_offset_d[11] & _T_32; // @[lib.scala 95:29] + wire [19:0] _T_35 = _T_33 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_38 = rs1_d[31:12] - 20'h1; // @[lib.scala 95:54] + wire [19:0] _T_39 = _T_35 & _T_38; // @[lib.scala 95:41] + wire [19:0] _T_40 = _T_29 | _T_39; // @[lib.scala 94:61] + wire [2:0] _T_43 = io_lsu_pkt_d_bits_half ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_44 = _T_43 & 3'h1; // @[lsu_lsc_ctl.scala 109:58] + wire [2:0] _T_46 = io_lsu_pkt_d_bits_word ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_47 = _T_46 & 3'h3; // @[lsu_lsc_ctl.scala 110:40] + wire [2:0] _T_48 = _T_44 | _T_47; // @[lsu_lsc_ctl.scala 109:70] + wire [2:0] _T_50 = io_lsu_pkt_d_bits_dword ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] addr_offset_d = _T_48 | _T_50; // @[lsu_lsc_ctl.scala 110:52] + wire [12:0] _T_54 = {lsu_offset_d[11],lsu_offset_d}; // @[Cat.scala 29:58] + wire [11:0] _T_57 = {9'h0,addr_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _GEN_0 = {{1'd0}, _T_57}; // @[lsu_lsc_ctl.scala 113:60] + wire [12:0] end_addr_offset_d = _T_54 + _GEN_0; // @[lsu_lsc_ctl.scala 113:60] + wire [18:0] _T_62 = end_addr_offset_d[12] ? 19'h7ffff : 19'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_64 = {_T_62,end_addr_offset_d}; // @[Cat.scala 29:58] + reg access_fault_m; // @[lsu_lsc_ctl.scala 149:75] + reg misaligned_fault_m; // @[lsu_lsc_ctl.scala 150:75] + reg [3:0] exc_mscause_m; // @[lsu_lsc_ctl.scala 151:75] + reg fir_dccm_access_error_m; // @[lsu_lsc_ctl.scala 152:75] + reg fir_nondccm_access_error_m; // @[lsu_lsc_ctl.scala 153:75] + wire _T_69 = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 155:34] + wire _T_70 = ~io_lsu_double_ecc_error_r; // @[lsu_lsc_ctl.scala 156:64] + wire _T_71 = io_lsu_single_ecc_error_r & _T_70; // @[lsu_lsc_ctl.scala 156:62] + wire _T_72 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 156:111] + wire _T_73 = _T_71 & _T_72; // @[lsu_lsc_ctl.scala 156:92] + wire _T_76 = _T_69 | io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 178:67] + wire _T_77 = _T_76 & io_lsu_pkt_m_valid; // @[lsu_lsc_ctl.scala 178:96] + wire _T_78 = ~io_lsu_pkt_m_bits_dma; // @[lsu_lsc_ctl.scala 178:119] + wire _T_79 = _T_77 & _T_78; // @[lsu_lsc_ctl.scala 178:117] + wire _T_80 = ~io_lsu_pkt_m_bits_fast_int; // @[lsu_lsc_ctl.scala 178:144] + wire _T_81 = _T_79 & _T_80; // @[lsu_lsc_ctl.scala 178:142] + wire _T_82 = ~io_flush_m_up; // @[lsu_lsc_ctl.scala 178:174] + wire lsu_error_pkt_m_valid = _T_81 & _T_82; // @[lsu_lsc_ctl.scala 178:172] + wire _T_84 = ~lsu_error_pkt_m_valid; // @[lsu_lsc_ctl.scala 179:75] + wire _T_85 = io_lsu_single_ecc_error_m & _T_84; // @[lsu_lsc_ctl.scala 179:73] + wire lsu_error_pkt_m_bits_single_ecc_error = _T_85 & _T_78; // @[lsu_lsc_ctl.scala 179:99] + wire lsu_error_pkt_m_bits_exc_type = ~misaligned_fault_m; // @[lsu_lsc_ctl.scala 181:46] + wire _T_90 = io_lsu_double_ecc_error_m & lsu_error_pkt_m_bits_exc_type; // @[lsu_lsc_ctl.scala 182:78] + wire _T_91 = ~access_fault_m; // @[lsu_lsc_ctl.scala 182:102] + wire _T_92 = _T_90 & _T_91; // @[lsu_lsc_ctl.scala 182:100] + wire _T_99 = io_lsu_pkt_m_bits_fast_int & io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 184:166] + wire _T_104 = lsu_error_pkt_m_valid | lsu_error_pkt_m_bits_single_ecc_error; // @[lsu_lsc_ctl.scala 185:73] + reg _T_109_bits_inst_type; // @[lib.scala 384:16] + reg _T_109_bits_exc_type; // @[lib.scala 384:16] + reg [3:0] _T_109_bits_mscause; // @[lib.scala 384:16] + reg [31:0] _T_109_bits_addr; // @[lib.scala 384:16] + reg _T_110; // @[lsu_lsc_ctl.scala 186:83] + reg _T_111; // @[lsu_lsc_ctl.scala 187:67] + reg [1:0] _T_112; // @[lsu_lsc_ctl.scala 193:48] + wire dma_pkt_d_bits_load = ~io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 200:30] + wire dma_pkt_d_bits_by = io_dma_lsc_ctl_dma_mem_sz == 3'h0; // @[lsu_lsc_ctl.scala 201:62] + wire dma_pkt_d_bits_half = io_dma_lsc_ctl_dma_mem_sz == 3'h1; // @[lsu_lsc_ctl.scala 202:62] + wire dma_pkt_d_bits_word = io_dma_lsc_ctl_dma_mem_sz == 3'h2; // @[lsu_lsc_ctl.scala 203:62] + wire dma_pkt_d_bits_dword = io_dma_lsc_ctl_dma_mem_sz == 3'h3; // @[lsu_lsc_ctl.scala 204:62] + wire _T_124 = ~io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 217:64] + wire _T_125 = io_flush_m_up & _T_124; // @[lsu_lsc_ctl.scala 217:61] + wire _T_126 = ~_T_125; // @[lsu_lsc_ctl.scala 217:45] + wire _T_127 = io_lsu_p_valid & _T_126; // @[lsu_lsc_ctl.scala 217:43] + wire _T_129 = ~io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 218:68] + wire _T_130 = io_flush_m_up & _T_129; // @[lsu_lsc_ctl.scala 218:65] + wire _T_131 = ~_T_130; // @[lsu_lsc_ctl.scala 218:49] + wire _T_134 = io_flush_m_up & _T_78; // @[lsu_lsc_ctl.scala 219:65] + wire _T_135 = ~_T_134; // @[lsu_lsc_ctl.scala 219:49] + reg _T_138_bits_fast_int; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_by; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_half; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_word; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_dword; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_load; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_store; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_unsign; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_dma; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 221:65] + reg _T_138_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 221:65] + reg _T_140_bits_fast_int; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_by; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_half; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_word; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_dword; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_load; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_store; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_unsign; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_dma; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 222:65] + reg _T_140_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 222:65] + reg _T_141; // @[lsu_lsc_ctl.scala 223:65] + reg _T_142; // @[lsu_lsc_ctl.scala 224:65] + wire [5:0] _T_145 = {io_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_mem_wdata_shifted = io_dma_lsc_ctl_dma_mem_wdata >> _T_145; // @[lsu_lsc_ctl.scala 226:66] + reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 230:72] + reg [31:0] _T_152; // @[lsu_lsc_ctl.scala 231:62] + reg [31:0] _T_153; // @[lsu_lsc_ctl.scala 232:62] + wire _T_167 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 237:69] + wire _T_173 = io_lsu_pkt_m_valid & io_ldst_dual_m; // @[lsu_lsc_ctl.scala 238:69] + reg [31:0] _T_178; // @[lsu_lsc_ctl.scala 241:62] + reg [31:0] _T_179; // @[lsu_lsc_ctl.scala 242:62] + reg _T_180; // @[lsu_lsc_ctl.scala 243:62] + reg _T_181; // @[lsu_lsc_ctl.scala 244:62] + reg _T_182; // @[lsu_lsc_ctl.scala 245:62] + reg _T_183; // @[lsu_lsc_ctl.scala 246:62] + reg _T_184; // @[lsu_lsc_ctl.scala 247:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 248:66] + reg [31:0] bus_read_data_r; // @[lib.scala 374:16] + wire _T_189 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 256:68] + wire _T_190 = io_lsu_pkt_r_valid & _T_189; // @[lsu_lsc_ctl.scala 256:41] + wire _T_191 = ~io_flush_r; // @[lsu_lsc_ctl.scala 256:96] + wire _T_192 = _T_190 & _T_191; // @[lsu_lsc_ctl.scala 256:94] + wire _T_193 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 256:110] + wire _T_196 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 257:69] + wire [31:0] _T_198 = _T_196 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_199 = io_picm_mask_data_m | _T_198; // @[lsu_lsc_ctl.scala 257:59] + wire [31:0] _T_201 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 257:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 278:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 279:33] + wire _T_207 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 280:66] + wire [31:0] _T_209 = _T_207 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_211 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_212 = _T_209 & _T_211; // @[lsu_lsc_ctl.scala 280:94] + wire _T_213 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 281:43] + wire [31:0] _T_215 = _T_213 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_217 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_218 = _T_215 & _T_217; // @[lsu_lsc_ctl.scala 281:71] + wire [31:0] _T_219 = _T_212 | _T_218; // @[lsu_lsc_ctl.scala 280:133] + wire _T_220 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 282:17] + wire _T_221 = _T_220 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 282:43] + wire [31:0] _T_223 = _T_221 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_226 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_228 = {_T_226,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_229 = _T_223 & _T_228; // @[lsu_lsc_ctl.scala 282:71] + wire [31:0] _T_230 = _T_219 | _T_229; // @[lsu_lsc_ctl.scala 281:114] + wire _T_232 = _T_220 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 283:43] + wire [31:0] _T_234 = _T_232 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_237 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_239 = {_T_237,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_240 = _T_234 & _T_239; // @[lsu_lsc_ctl.scala 283:71] + wire [31:0] _T_241 = _T_230 | _T_240; // @[lsu_lsc_ctl.scala 282:134] + wire [31:0] _T_243 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_245 = _T_243 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 284:43] + wire _T_247 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 285:66] + wire [31:0] _T_249 = _T_247 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_251 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_252 = _T_249 & _T_251; // @[lsu_lsc_ctl.scala 285:94] + wire _T_253 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 286:43] + wire [31:0] _T_255 = _T_253 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_257 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_258 = _T_255 & _T_257; // @[lsu_lsc_ctl.scala 286:71] + wire [31:0] _T_259 = _T_252 | _T_258; // @[lsu_lsc_ctl.scala 285:138] + wire _T_260 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 287:17] + wire _T_261 = _T_260 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 287:43] + wire [31:0] _T_263 = _T_261 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_266 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_268 = {_T_266,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_269 = _T_263 & _T_268; // @[lsu_lsc_ctl.scala 287:71] + wire [31:0] _T_270 = _T_259 | _T_269; // @[lsu_lsc_ctl.scala 286:119] + wire _T_272 = _T_260 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 288:43] + wire [31:0] _T_274 = _T_272 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_277 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_279 = {_T_277,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_280 = _T_274 & _T_279; // @[lsu_lsc_ctl.scala 288:71] + wire [31:0] _T_281 = _T_270 | _T_280; // @[lsu_lsc_ctl.scala 287:144] + wire [31:0] _T_283 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_285 = _T_283 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 289:43] + lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 118:25] + .reset(addrcheck_reset), + .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), + .io_start_addr_d(addrcheck_io_start_addr_d), + .io_end_addr_d(addrcheck_io_end_addr_d), + .io_lsu_pkt_d_valid(addrcheck_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(addrcheck_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(addrcheck_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(addrcheck_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(addrcheck_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_load(addrcheck_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(addrcheck_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(addrcheck_io_lsu_pkt_d_bits_dma), + .io_dec_tlu_mrac_ff(addrcheck_io_dec_tlu_mrac_ff), + .io_rs1_region_d(addrcheck_io_rs1_region_d), + .io_is_sideeffects_m(addrcheck_io_is_sideeffects_m), + .io_addr_in_dccm_d(addrcheck_io_addr_in_dccm_d), + .io_addr_in_pic_d(addrcheck_io_addr_in_pic_d), + .io_addr_external_d(addrcheck_io_addr_external_d), + .io_access_fault_d(addrcheck_io_access_fault_d), + .io_misaligned_fault_d(addrcheck_io_misaligned_fault_d), + .io_exc_mscause_d(addrcheck_io_exc_mscause_d), + .io_fir_dccm_access_error_d(addrcheck_io_fir_dccm_access_error_d), + .io_fir_nondccm_access_error_d(addrcheck_io_fir_nondccm_access_error_d) + ); + rvclkhdr rvclkhdr ( // @[lib.scala 378:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + assign io_lsu_result_m = _T_241 | _T_245; // @[lsu_lsc_ctl.scala 280:27] + assign io_lsu_result_corr_r = _T_281 | _T_285; // @[lsu_lsc_ctl.scala 285:27] + assign io_lsu_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 254:28] + assign io_lsu_addr_m = _T_152; // @[lsu_lsc_ctl.scala 231:24] + assign io_lsu_addr_r = _T_153; // @[lsu_lsc_ctl.scala 232:24] + assign io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 115:24] + assign io_end_addr_m = _T_178; // @[lsu_lsc_ctl.scala 234:17 lsu_lsc_ctl.scala 241:24] + assign io_end_addr_r = _T_179; // @[lsu_lsc_ctl.scala 235:17 lsu_lsc_ctl.scala 242:24] + assign io_store_data_m = _T_199 & _T_201; // @[lsu_lsc_ctl.scala 257:29] + assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 155:16] + assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 128:42] + assign io_lsu_commit_r = _T_192 & _T_193; // @[lsu_lsc_ctl.scala 256:19] + assign io_lsu_single_ecc_error_incr = _T_73 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 156:32] + assign io_lsu_error_pkt_r_valid = _T_111; // @[lsu_lsc_ctl.scala 185:24 lsu_lsc_ctl.scala 187:30] + assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_110; // @[lsu_lsc_ctl.scala 185:24 lsu_lsc_ctl.scala 186:46] + assign io_lsu_error_pkt_r_bits_inst_type = _T_109_bits_inst_type; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_error_pkt_r_bits_exc_type = _T_109_bits_exc_type; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_error_pkt_r_bits_mscause = _T_109_bits_mscause; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_error_pkt_r_bits_addr = _T_109_bits_addr; // @[lsu_lsc_ctl.scala 185:24] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 252:28] + assign io_lsu_fir_error = _T_112; // @[lsu_lsc_ctl.scala 193:38] + assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 129:42] + assign io_addr_in_dccm_m = _T_180; // @[lsu_lsc_ctl.scala 243:24] + assign io_addr_in_dccm_r = _T_181; // @[lsu_lsc_ctl.scala 244:24] + assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 130:42] + assign io_addr_in_pic_m = _T_182; // @[lsu_lsc_ctl.scala 245:24] + assign io_addr_in_pic_r = _T_183; // @[lsu_lsc_ctl.scala 246:24] + assign io_addr_external_m = _T_184; // @[lsu_lsc_ctl.scala 247:24] + assign io_lsu_pkt_d_valid = _T_127 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 213:20 lsu_lsc_ctl.scala 217:24] + assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_by = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_by : dma_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_half = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_half : dma_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_word = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_word : dma_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_dword = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dword : dma_pkt_d_bits_dword; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_load = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_load : dma_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_store = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_store : io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_unsign = io_dec_lsu_valid_raw_d & io_lsu_p_bits_unsign; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_dma = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dma : 1'h1; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_store_data_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_load_ldst_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_d_bits_store_data_bypass_m = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 213:20] + assign io_lsu_pkt_m_valid = _T_141; // @[lsu_lsc_ctl.scala 221:28 lsu_lsc_ctl.scala 223:28] + assign io_lsu_pkt_m_bits_fast_int = _T_138_bits_fast_int; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_by = _T_138_bits_by; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_half = _T_138_bits_half; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_word = _T_138_bits_word; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_dword = _T_138_bits_dword; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_load = _T_138_bits_load; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_store = _T_138_bits_store; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_unsign = _T_138_bits_unsign; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_dma = _T_138_bits_dma; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_store_data_bypass_d = _T_138_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_load_ldst_bypass_d = _T_138_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_m_bits_store_data_bypass_m = _T_138_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 221:28] + assign io_lsu_pkt_r_valid = _T_142; // @[lsu_lsc_ctl.scala 222:28 lsu_lsc_ctl.scala 224:28] + assign io_lsu_pkt_r_bits_fast_int = _T_140_bits_fast_int; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_by = _T_140_bits_by; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_half = _T_140_bits_half; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_word = _T_140_bits_word; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_dword = _T_140_bits_dword; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_load = _T_140_bits_load; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_store = _T_140_bits_store; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_unsign = _T_140_bits_unsign; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_dma = _T_140_bits_dma; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_store_data_bypass_d = _T_140_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_load_ldst_bypass_d = _T_140_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 222:28] + assign io_lsu_pkt_r_bits_store_data_bypass_m = _T_140_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 222:28] + assign addrcheck_reset = reset; + assign addrcheck_io_lsu_c2_m_clk = io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 120:42] + assign addrcheck_io_start_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 122:42] + assign addrcheck_io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 123:42] + assign addrcheck_io_lsu_pkt_d_valid = io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_fast_int = io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_by = io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_half = io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_word = io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_load = io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_store = io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_lsu_pkt_d_bits_dma = io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 124:42] + assign addrcheck_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 125:42] + assign addrcheck_io_rs1_region_d = rs1_d[31:28]; // @[lsu_lsc_ctl.scala 126:42] + assign rvclkhdr_io_clk = clock; // @[lib.scala 380:18] + assign rvclkhdr_io_en = _T_104 | io_clk_override; // @[lib.scala 381:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 382:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_167 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_173 | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = io_addr_external_m | io_clk_override; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + access_fault_m = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + misaligned_fault_m = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + exc_mscause_m = _RAND_2[3:0]; + _RAND_3 = {1{`RANDOM}}; + fir_dccm_access_error_m = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + fir_nondccm_access_error_m = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_109_bits_inst_type = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_109_bits_exc_type = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_109_bits_mscause = _RAND_7[3:0]; + _RAND_8 = {1{`RANDOM}}; + _T_109_bits_addr = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + _T_110 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + _T_111 = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_112 = _RAND_11[1:0]; + _RAND_12 = {1{`RANDOM}}; + _T_138_bits_fast_int = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + _T_138_bits_by = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + _T_138_bits_half = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + _T_138_bits_word = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + _T_138_bits_dword = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + _T_138_bits_load = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + _T_138_bits_store = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + _T_138_bits_unsign = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + _T_138_bits_dma = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + _T_138_bits_store_data_bypass_d = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + _T_138_bits_load_ldst_bypass_d = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + _T_138_bits_store_data_bypass_m = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + _T_140_bits_fast_int = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + _T_140_bits_by = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + _T_140_bits_half = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + _T_140_bits_word = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + _T_140_bits_dword = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + _T_140_bits_load = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + _T_140_bits_store = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + _T_140_bits_unsign = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + _T_140_bits_dma = _RAND_32[0:0]; + _RAND_33 = {1{`RANDOM}}; + _T_140_bits_store_data_bypass_d = _RAND_33[0:0]; + _RAND_34 = {1{`RANDOM}}; + _T_140_bits_load_ldst_bypass_d = _RAND_34[0:0]; + _RAND_35 = {1{`RANDOM}}; + _T_140_bits_store_data_bypass_m = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + _T_141 = _RAND_36[0:0]; + _RAND_37 = {1{`RANDOM}}; + _T_142 = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + store_data_pre_m = _RAND_38[31:0]; + _RAND_39 = {1{`RANDOM}}; + _T_152 = _RAND_39[31:0]; + _RAND_40 = {1{`RANDOM}}; + _T_153 = _RAND_40[31:0]; + _RAND_41 = {1{`RANDOM}}; + _T_178 = _RAND_41[31:0]; + _RAND_42 = {1{`RANDOM}}; + _T_179 = _RAND_42[31:0]; + _RAND_43 = {1{`RANDOM}}; + _T_180 = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + _T_181 = _RAND_44[0:0]; + _RAND_45 = {1{`RANDOM}}; + _T_182 = _RAND_45[0:0]; + _RAND_46 = {1{`RANDOM}}; + _T_183 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + _T_184 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + addr_external_r = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + bus_read_data_r = _RAND_49[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + access_fault_m = 1'h0; + end + if (reset) begin + misaligned_fault_m = 1'h0; + end + if (reset) begin + exc_mscause_m = 4'h0; + end + if (reset) begin + fir_dccm_access_error_m = 1'h0; + end + if (reset) begin + fir_nondccm_access_error_m = 1'h0; + end + if (reset) begin + _T_109_bits_inst_type = 1'h0; + end + if (reset) begin + _T_109_bits_exc_type = 1'h0; + end + if (reset) begin + _T_109_bits_mscause = 4'h0; + end + if (reset) begin + _T_109_bits_addr = 32'h0; + end + if (reset) begin + _T_110 = 1'h0; + end + if (reset) begin + _T_111 = 1'h0; + end + if (reset) begin + _T_112 = 2'h0; + end + if (reset) begin + _T_138_bits_fast_int = 1'h0; + end + if (reset) begin + _T_138_bits_by = 1'h0; + end + if (reset) begin + _T_138_bits_half = 1'h0; + end + if (reset) begin + _T_138_bits_word = 1'h0; + end + if (reset) begin + _T_138_bits_dword = 1'h0; + end + if (reset) begin + _T_138_bits_load = 1'h0; + end + if (reset) begin + _T_138_bits_store = 1'h0; + end + if (reset) begin + _T_138_bits_unsign = 1'h0; + end + if (reset) begin + _T_138_bits_dma = 1'h0; + end + if (reset) begin + _T_138_bits_store_data_bypass_d = 1'h0; + end + if (reset) begin + _T_138_bits_load_ldst_bypass_d = 1'h0; + end + if (reset) begin + _T_138_bits_store_data_bypass_m = 1'h0; + end + if (reset) begin + _T_140_bits_fast_int = 1'h0; + end + if (reset) begin + _T_140_bits_by = 1'h0; + end + if (reset) begin + _T_140_bits_half = 1'h0; + end + if (reset) begin + _T_140_bits_word = 1'h0; + end + if (reset) begin + _T_140_bits_dword = 1'h0; + end + if (reset) begin + _T_140_bits_load = 1'h0; + end + if (reset) begin + _T_140_bits_store = 1'h0; + end + if (reset) begin + _T_140_bits_unsign = 1'h0; + end + if (reset) begin + _T_140_bits_dma = 1'h0; + end + if (reset) begin + _T_140_bits_store_data_bypass_d = 1'h0; + end + if (reset) begin + _T_140_bits_load_ldst_bypass_d = 1'h0; + end + if (reset) begin + _T_140_bits_store_data_bypass_m = 1'h0; + end + if (reset) begin + _T_141 = 1'h0; + end + if (reset) begin + _T_142 = 1'h0; + end + if (reset) begin + store_data_pre_m = 32'h0; + end + if (reset) begin + _T_152 = 32'h0; + end + if (reset) begin + _T_153 = 32'h0; + end + if (reset) begin + _T_178 = 32'h0; + end + if (reset) begin + _T_179 = 32'h0; + end + if (reset) begin + _T_180 = 1'h0; + end + if (reset) begin + _T_181 = 1'h0; + end + if (reset) begin + _T_182 = 1'h0; + end + if (reset) begin + _T_183 = 1'h0; + end + if (reset) begin + _T_184 = 1'h0; + end + if (reset) begin + addr_external_r = 1'h0; + end + if (reset) begin + bus_read_data_r = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + access_fault_m <= 1'h0; + end else begin + access_fault_m <= addrcheck_io_access_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + misaligned_fault_m <= 1'h0; + end else begin + misaligned_fault_m <= addrcheck_io_misaligned_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + exc_mscause_m <= 4'h0; + end else begin + exc_mscause_m <= addrcheck_io_exc_mscause_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_dccm_access_error_m <= 1'h0; + end else begin + fir_dccm_access_error_m <= addrcheck_io_fir_dccm_access_error_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_nondccm_access_error_m <= 1'h0; + end else begin + fir_nondccm_access_error_m <= addrcheck_io_fir_nondccm_access_error_d; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_inst_type <= 1'h0; + end else begin + _T_109_bits_inst_type <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_exc_type <= 1'h0; + end else begin + _T_109_bits_exc_type <= ~misaligned_fault_m; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_mscause <= 4'h0; + end else if (_T_92) begin + _T_109_bits_mscause <= 4'h1; + end else begin + _T_109_bits_mscause <= exc_mscause_m; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_109_bits_addr <= 32'h0; + end else begin + _T_109_bits_addr <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_110 <= 1'h0; + end else begin + _T_110 <= _T_85 & _T_78; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_111 <= 1'h0; + end else begin + _T_111 <= _T_81 & _T_82; + end + end + always @(posedge clock or posedge reset) begin + if (reset) begin + _T_112 <= 2'h0; + end else if (fir_nondccm_access_error_m) begin + _T_112 <= 2'h3; + end else if (fir_dccm_access_error_m) begin + _T_112 <= 2'h2; + end else if (_T_99) begin + _T_112 <= 2'h1; + end else begin + _T_112 <= 2'h0; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_fast_int <= 1'h0; + end else begin + _T_138_bits_fast_int <= io_lsu_pkt_d_bits_fast_int; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_by <= 1'h0; + end else begin + _T_138_bits_by <= io_lsu_pkt_d_bits_by; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_half <= 1'h0; + end else begin + _T_138_bits_half <= io_lsu_pkt_d_bits_half; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_word <= 1'h0; + end else begin + _T_138_bits_word <= io_lsu_pkt_d_bits_word; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_dword <= 1'h0; + end else begin + _T_138_bits_dword <= io_lsu_pkt_d_bits_dword; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_load <= 1'h0; + end else begin + _T_138_bits_load <= io_lsu_pkt_d_bits_load; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_store <= 1'h0; + end else begin + _T_138_bits_store <= io_lsu_pkt_d_bits_store; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_unsign <= 1'h0; + end else begin + _T_138_bits_unsign <= io_lsu_pkt_d_bits_unsign; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_dma <= 1'h0; + end else begin + _T_138_bits_dma <= io_lsu_pkt_d_bits_dma; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_store_data_bypass_d <= 1'h0; + end else begin + _T_138_bits_store_data_bypass_d <= io_lsu_pkt_d_bits_store_data_bypass_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_load_ldst_bypass_d <= 1'h0; + end else begin + _T_138_bits_load_ldst_bypass_d <= io_lsu_pkt_d_bits_load_ldst_bypass_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_138_bits_store_data_bypass_m <= 1'h0; + end else begin + _T_138_bits_store_data_bypass_m <= io_lsu_pkt_d_bits_store_data_bypass_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_fast_int <= 1'h0; + end else begin + _T_140_bits_fast_int <= io_lsu_pkt_m_bits_fast_int; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_by <= 1'h0; + end else begin + _T_140_bits_by <= io_lsu_pkt_m_bits_by; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_half <= 1'h0; + end else begin + _T_140_bits_half <= io_lsu_pkt_m_bits_half; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_word <= 1'h0; + end else begin + _T_140_bits_word <= io_lsu_pkt_m_bits_word; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_dword <= 1'h0; + end else begin + _T_140_bits_dword <= io_lsu_pkt_m_bits_dword; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_load <= 1'h0; + end else begin + _T_140_bits_load <= io_lsu_pkt_m_bits_load; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_store <= 1'h0; + end else begin + _T_140_bits_store <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_unsign <= 1'h0; + end else begin + _T_140_bits_unsign <= io_lsu_pkt_m_bits_unsign; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_dma <= 1'h0; + end else begin + _T_140_bits_dma <= io_lsu_pkt_m_bits_dma; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_store_data_bypass_d <= 1'h0; + end else begin + _T_140_bits_store_data_bypass_d <= io_lsu_pkt_m_bits_store_data_bypass_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_load_ldst_bypass_d <= 1'h0; + end else begin + _T_140_bits_load_ldst_bypass_d <= io_lsu_pkt_m_bits_load_ldst_bypass_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_140_bits_store_data_bypass_m <= 1'h0; + end else begin + _T_140_bits_store_data_bypass_m <= io_lsu_pkt_m_bits_store_data_bypass_m; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_141 <= 1'h0; + end else begin + _T_141 <= io_lsu_pkt_d_valid & _T_131; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_142 <= 1'h0; + end else begin + _T_142 <= io_lsu_pkt_m_valid & _T_135; + end + end + always @(posedge io_lsu_store_c1_m_clk or posedge reset) begin + if (reset) begin + store_data_pre_m <= 32'h0; + end else if (io_lsu_pkt_d_bits_store_data_bypass_d) begin + store_data_pre_m <= io_lsu_result_m; + end else if (io_dma_lsc_ctl_dma_dccm_req) begin + store_data_pre_m <= dma_mem_wdata_shifted[31:0]; + end else begin + store_data_pre_m <= io_lsu_exu_exu_lsu_rs2_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_152 <= 32'h0; + end else begin + _T_152 <= io_lsu_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_153 <= 32'h0; + end else begin + _T_153 <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_178 <= 32'h0; + end else begin + _T_178 <= io_end_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_179 <= 32'h0; + end else begin + _T_179 <= io_end_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_180 <= 1'h0; + end else begin + _T_180 <= io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_181 <= 1'h0; + end else begin + _T_181 <= io_addr_in_dccm_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_182 <= 1'h0; + end else begin + _T_182 <= io_addr_in_pic_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_183 <= 1'h0; + end else begin + _T_183 <= io_addr_in_pic_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_184 <= 1'h0; + end else begin + _T_184 <= addrcheck_io_addr_external_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + addr_external_r <= 1'h0; + end else begin + addr_external_r <= io_addr_external_m; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + bus_read_data_r <= 32'h0; + end else begin + bus_read_data_r <= io_bus_read_data_m; + end + end +endmodule diff --git a/lsu_stbuf.anno.json b/lsu_stbuf.anno.json new file mode 100644 index 00000000..0fa53260 --- /dev/null +++ b/lsu_stbuf.anno.json @@ -0,0 +1,142 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_stbuf_fwddata_lo_m", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_store_data_lo_r", + "~lsu_stbuf|lsu_stbuf>io_store_data_hi_r", + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_store", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_r", + "~lsu_stbuf|lsu_stbuf>io_store_stbuf_reqvld_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_valid", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_r", + "~lsu_stbuf|lsu_stbuf>io_end_addr_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dword", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_word", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_by", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_half" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_stbuf_fwddata_hi_m", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_store_data_lo_r", + "~lsu_stbuf|lsu_stbuf>io_store_data_hi_r", + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_store", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_r", + "~lsu_stbuf|lsu_stbuf>io_store_stbuf_reqvld_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_valid", + "~lsu_stbuf|lsu_stbuf>io_end_addr_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_r", + "~lsu_stbuf|lsu_stbuf>io_end_addr_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dword", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_word", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_by", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_half" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_stbuf_fwdbyteen_lo_m", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_store", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_r", + "~lsu_stbuf|lsu_stbuf>io_store_stbuf_reqvld_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_valid", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_r", + "~lsu_stbuf|lsu_stbuf>io_end_addr_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dword", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_word", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_by", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_half" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_stbuf_fwdbyteen_hi_m", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_store", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_r", + "~lsu_stbuf|lsu_stbuf>io_store_stbuf_reqvld_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_valid", + "~lsu_stbuf|lsu_stbuf>io_end_addr_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_r", + "~lsu_stbuf|lsu_stbuf>io_end_addr_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dword", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_word", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_by", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_half" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_stbuf_reqvld_any", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_m_bits_store", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_m_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_m_valid", + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_m", + "~lsu_stbuf|lsu_stbuf>io_end_addr_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_lsu_stbuf_full_any", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_dec_lsu_valid_raw_d", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_d", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_r", + "~lsu_stbuf|lsu_stbuf>io_ldst_dual_m", + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_valid", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_store", + "~lsu_stbuf|lsu_stbuf>io_addr_in_dccm_m", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_m_bits_dma", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_m_valid", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_m_bits_store" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_stbuf|lsu_stbuf>io_ldst_stbuf_reqvld_r", + "sources":[ + "~lsu_stbuf|lsu_stbuf>io_store_stbuf_reqvld_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_commit_r", + "~lsu_stbuf|lsu_stbuf>io_lsu_pkt_r_bits_dma" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_stbuf.gated_latch", + "resourceId":"/vsrc/gated_latch.sv" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_stbuf" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_stbuf.fir b/lsu_stbuf.fir new file mode 100644 index 00000000..812ba2c8 --- /dev/null +++ b/lsu_stbuf.fir @@ -0,0 +1,1853 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_stbuf : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_stbuf : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_stbuf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip store_stbuf_reqvld_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip dec_lsu_valid_raw_d : UInt<1>, flip store_data_hi_r : UInt<32>, flip store_data_lo_r : UInt<32>, flip store_datafn_hi_r : UInt<32>, flip store_datafn_lo_r : UInt<32>, flip lsu_stbuf_commit_any : UInt<1>, flip lsu_addr_d : UInt<16>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_cmpen_m : UInt<1>, flip scan_mode : UInt<1>, stbuf_reqvld_any : UInt<1>, stbuf_reqvld_flushed_any : UInt<1>, stbuf_addr_any : UInt<16>, stbuf_data_any : UInt<32>, lsu_stbuf_full_any : UInt<1>, lsu_stbuf_empty_any : UInt<1>, ldst_stbuf_reqvld_r : UInt<1>, stbuf_fwddata_hi_m : UInt<32>, stbuf_fwddata_lo_m : UInt<32>, stbuf_fwdbyteen_hi_m : UInt<4>, stbuf_fwdbyteen_lo_m : UInt<4>} + + io.stbuf_reqvld_any <= UInt<1>("h00") @[lsu_stbuf.scala 51:47] + io.stbuf_reqvld_flushed_any <= UInt<1>("h00") @[lsu_stbuf.scala 52:35] + io.stbuf_addr_any <= UInt<1>("h00") @[lsu_stbuf.scala 53:35] + io.stbuf_data_any <= UInt<1>("h00") @[lsu_stbuf.scala 54:35] + io.lsu_stbuf_full_any <= UInt<1>("h00") @[lsu_stbuf.scala 55:43] + io.lsu_stbuf_empty_any <= UInt<1>("h00") @[lsu_stbuf.scala 56:43] + io.ldst_stbuf_reqvld_r <= UInt<1>("h00") @[lsu_stbuf.scala 57:43] + io.stbuf_fwddata_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 58:43] + io.stbuf_fwddata_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 59:43] + io.stbuf_fwdbyteen_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 60:37] + io.stbuf_fwdbyteen_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 61:37] + wire stbuf_vld : UInt<4> + stbuf_vld <= UInt<1>("h00") + wire stbuf_wr_en : UInt<4> + stbuf_wr_en <= UInt<1>("h00") + wire stbuf_dma_kill_en : UInt<4> + stbuf_dma_kill_en <= UInt<1>("h00") + wire stbuf_dma_kill : UInt<4> + stbuf_dma_kill <= UInt<1>("h00") + wire stbuf_reset : UInt<4> + stbuf_reset <= UInt<1>("h00") + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + wire stbuf_addr : UInt<16>[4] @[lsu_stbuf.scala 70:38] + stbuf_addr[0] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[1] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[2] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[3] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + wire stbuf_byteen : UInt<4>[4] @[lsu_stbuf.scala 72:38] + stbuf_byteen[0] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[1] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[2] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[3] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + wire stbuf_data : UInt<32>[4] @[lsu_stbuf.scala 74:38] + stbuf_data[0] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[1] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[2] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[3] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + wire stbuf_addrin : UInt<16>[4] @[lsu_stbuf.scala 76:38] + stbuf_addrin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + wire stbuf_datain : UInt<32>[4] @[lsu_stbuf.scala 78:38] + stbuf_datain[0] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[1] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[2] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[3] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + wire stbuf_byteenin : UInt<4>[4] @[lsu_stbuf.scala 80:38] + stbuf_byteenin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + wire WrPtr : UInt<2> + WrPtr <= UInt<1>("h00") + wire RdPtr : UInt<2> + RdPtr <= UInt<1>("h00") + wire cmpaddr_hi_m : UInt<16> + cmpaddr_hi_m <= UInt<16>("h00") + wire stbuf_specvld_m : UInt<2> + stbuf_specvld_m <= UInt<2>("h00") + wire stbuf_specvld_r : UInt<2> + stbuf_specvld_r <= UInt<2>("h00") + wire cmpaddr_lo_m : UInt<16> + cmpaddr_lo_m <= UInt<16>("h00") + wire stbuf_fwdata_hi_pre_m : UInt<32> + stbuf_fwdata_hi_pre_m <= UInt<1>("h00") + wire stbuf_fwdata_lo_pre_m : UInt<32> + stbuf_fwdata_lo_pre_m <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire datain1 : UInt<8>[4] @[lsu_stbuf.scala 103:33] + wire datain2 : UInt<8>[4] @[lsu_stbuf.scala 104:33] + wire datain3 : UInt<8>[4] @[lsu_stbuf.scala 105:33] + wire datain4 : UInt<8>[4] @[lsu_stbuf.scala 106:33] + node _T = bits(io.lsu_pkt_r.bits.by, 0, 0) @[lsu_stbuf.scala 110:26] + node _T_1 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[lsu_stbuf.scala 111:28] + node _T_2 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[lsu_stbuf.scala 112:28] + node _T_3 = bits(io.lsu_pkt_r.bits.dword, 0, 0) @[lsu_stbuf.scala 113:29] + node _T_4 = mux(_T, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_1, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = mux(_T_2, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_7 = mux(_T_3, UInt<8>("h0ff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_8 = or(_T_4, _T_5) @[Mux.scala 27:72] + node _T_9 = or(_T_8, _T_6) @[Mux.scala 27:72] + node _T_10 = or(_T_9, _T_7) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<8> @[Mux.scala 27:72] + ldst_byteen_r <= _T_10 @[Mux.scala 27:72] + node dual_stbuf_write_r = and(io.ldst_dual_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 115:43] + node _T_11 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 117:55] + node _T_12 = dshl(ldst_byteen_r, _T_11) @[lsu_stbuf.scala 117:39] + store_byteen_ext_r <= _T_12 @[lsu_stbuf.scala 117:22] + node _T_13 = bits(store_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 118:46] + node _T_14 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_15 = mux(_T_14, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_hi_r = and(_T_13, _T_15) @[lsu_stbuf.scala 118:52] + node _T_16 = bits(store_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 119:46] + node _T_17 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_18 = mux(_T_17, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_lo_r = and(_T_16, _T_18) @[lsu_stbuf.scala 119:52] + node _T_19 = add(RdPtr, UInt<1>("h01")) @[lsu_stbuf.scala 121:26] + node RdPtrPlus1 = tail(_T_19, 1) @[lsu_stbuf.scala 121:26] + node _T_20 = add(WrPtr, UInt<1>("h01")) @[lsu_stbuf.scala 122:26] + node WrPtrPlus1 = tail(_T_20, 1) @[lsu_stbuf.scala 122:26] + node _T_21 = add(WrPtr, UInt<2>("h02")) @[lsu_stbuf.scala 123:26] + node WrPtrPlus2 = tail(_T_21, 1) @[lsu_stbuf.scala 123:26] + node _T_22 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_stbuf.scala 125:46] + node _T_23 = and(_T_22, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 125:71] + io.ldst_stbuf_reqvld_r <= _T_23 @[lsu_stbuf.scala 125:26] + node _T_24 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_25 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_26 = eq(_T_24, _T_25) @[lsu_stbuf.scala 127:120] + node _T_27 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 127:191] + node _T_28 = and(_T_26, _T_27) @[lsu_stbuf.scala 127:179] + node _T_29 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 127:212] + node _T_30 = eq(_T_29, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_31 = and(_T_28, _T_30) @[lsu_stbuf.scala 127:195] + node _T_32 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 127:230] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_34 = and(_T_31, _T_33) @[lsu_stbuf.scala 127:216] + node _T_35 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_36 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_37 = eq(_T_35, _T_36) @[lsu_stbuf.scala 127:120] + node _T_38 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 127:191] + node _T_39 = and(_T_37, _T_38) @[lsu_stbuf.scala 127:179] + node _T_40 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 127:212] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_42 = and(_T_39, _T_41) @[lsu_stbuf.scala 127:195] + node _T_43 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 127:230] + node _T_44 = eq(_T_43, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_45 = and(_T_42, _T_44) @[lsu_stbuf.scala 127:216] + node _T_46 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_47 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_48 = eq(_T_46, _T_47) @[lsu_stbuf.scala 127:120] + node _T_49 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 127:191] + node _T_50 = and(_T_48, _T_49) @[lsu_stbuf.scala 127:179] + node _T_51 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 127:212] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_53 = and(_T_50, _T_52) @[lsu_stbuf.scala 127:195] + node _T_54 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 127:230] + node _T_55 = eq(_T_54, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_56 = and(_T_53, _T_55) @[lsu_stbuf.scala 127:216] + node _T_57 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 127:78] + node _T_58 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 127:137] + node _T_59 = eq(_T_57, _T_58) @[lsu_stbuf.scala 127:120] + node _T_60 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 127:191] + node _T_61 = and(_T_59, _T_60) @[lsu_stbuf.scala 127:179] + node _T_62 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 127:212] + node _T_63 = eq(_T_62, UInt<1>("h00")) @[lsu_stbuf.scala 127:197] + node _T_64 = and(_T_61, _T_63) @[lsu_stbuf.scala 127:195] + node _T_65 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 127:230] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[lsu_stbuf.scala 127:218] + node _T_67 = and(_T_64, _T_66) @[lsu_stbuf.scala 127:216] + node _T_68 = cat(_T_67, _T_56) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_45) @[Cat.scala 29:58] + node store_matchvec_lo_r = cat(_T_69, _T_34) @[Cat.scala 29:58] + node _T_70 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_71 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_72 = eq(_T_70, _T_71) @[lsu_stbuf.scala 128:120] + node _T_73 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 128:190] + node _T_74 = and(_T_72, _T_73) @[lsu_stbuf.scala 128:179] + node _T_75 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 128:211] + node _T_76 = eq(_T_75, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_77 = and(_T_74, _T_76) @[lsu_stbuf.scala 128:194] + node _T_78 = and(_T_77, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_79 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 128:250] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_81 = and(_T_78, _T_80) @[lsu_stbuf.scala 128:236] + node _T_82 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_83 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_84 = eq(_T_82, _T_83) @[lsu_stbuf.scala 128:120] + node _T_85 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 128:190] + node _T_86 = and(_T_84, _T_85) @[lsu_stbuf.scala 128:179] + node _T_87 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 128:211] + node _T_88 = eq(_T_87, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_89 = and(_T_86, _T_88) @[lsu_stbuf.scala 128:194] + node _T_90 = and(_T_89, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_91 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 128:250] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_93 = and(_T_90, _T_92) @[lsu_stbuf.scala 128:236] + node _T_94 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_95 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_96 = eq(_T_94, _T_95) @[lsu_stbuf.scala 128:120] + node _T_97 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 128:190] + node _T_98 = and(_T_96, _T_97) @[lsu_stbuf.scala 128:179] + node _T_99 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 128:211] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_101 = and(_T_98, _T_100) @[lsu_stbuf.scala 128:194] + node _T_102 = and(_T_101, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_103 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 128:250] + node _T_104 = eq(_T_103, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_105 = and(_T_102, _T_104) @[lsu_stbuf.scala 128:236] + node _T_106 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 128:78] + node _T_107 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 128:137] + node _T_108 = eq(_T_106, _T_107) @[lsu_stbuf.scala 128:120] + node _T_109 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 128:190] + node _T_110 = and(_T_108, _T_109) @[lsu_stbuf.scala 128:179] + node _T_111 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 128:211] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[lsu_stbuf.scala 128:196] + node _T_113 = and(_T_110, _T_112) @[lsu_stbuf.scala 128:194] + node _T_114 = and(_T_113, dual_stbuf_write_r) @[lsu_stbuf.scala 128:215] + node _T_115 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 128:250] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[lsu_stbuf.scala 128:238] + node _T_117 = and(_T_114, _T_116) @[lsu_stbuf.scala 128:236] + node _T_118 = cat(_T_117, _T_105) @[Cat.scala 29:58] + node _T_119 = cat(_T_118, _T_93) @[Cat.scala 29:58] + node store_matchvec_hi_r = cat(_T_119, _T_81) @[Cat.scala 29:58] + node store_coalesce_lo_r = orr(store_matchvec_lo_r) @[lsu_stbuf.scala 130:49] + node store_coalesce_hi_r = orr(store_matchvec_hi_r) @[lsu_stbuf.scala 131:49] + node _T_120 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_121 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_122 = and(_T_120, _T_121) @[lsu_stbuf.scala 134:29] + node _T_123 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_124 = and(_T_123, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_125 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_126 = and(_T_124, _T_125) @[lsu_stbuf.scala 135:52] + node _T_127 = or(_T_122, _T_126) @[lsu_stbuf.scala 134:53] + node _T_128 = eq(UInt<1>("h00"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_129 = and(_T_128, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_130 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_131 = eq(_T_130, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_132 = and(_T_129, _T_131) @[lsu_stbuf.scala 136:57] + node _T_133 = or(_T_127, _T_132) @[lsu_stbuf.scala 135:76] + node _T_134 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 137:28] + node _T_135 = or(_T_133, _T_134) @[lsu_stbuf.scala 136:105] + node _T_136 = bits(store_matchvec_hi_r, 0, 0) @[lsu_stbuf.scala 137:53] + node _T_137 = or(_T_135, _T_136) @[lsu_stbuf.scala 137:32] + node _T_138 = and(io.ldst_stbuf_reqvld_r, _T_137) @[lsu_stbuf.scala 133:79] + node _T_139 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_140 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_141 = and(_T_139, _T_140) @[lsu_stbuf.scala 134:29] + node _T_142 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_143 = and(_T_142, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_144 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_145 = and(_T_143, _T_144) @[lsu_stbuf.scala 135:52] + node _T_146 = or(_T_141, _T_145) @[lsu_stbuf.scala 134:53] + node _T_147 = eq(UInt<1>("h01"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_148 = and(_T_147, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_149 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_151 = and(_T_148, _T_150) @[lsu_stbuf.scala 136:57] + node _T_152 = or(_T_146, _T_151) @[lsu_stbuf.scala 135:76] + node _T_153 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 137:28] + node _T_154 = or(_T_152, _T_153) @[lsu_stbuf.scala 136:105] + node _T_155 = bits(store_matchvec_hi_r, 1, 1) @[lsu_stbuf.scala 137:53] + node _T_156 = or(_T_154, _T_155) @[lsu_stbuf.scala 137:32] + node _T_157 = and(io.ldst_stbuf_reqvld_r, _T_156) @[lsu_stbuf.scala 133:79] + node _T_158 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_159 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_160 = and(_T_158, _T_159) @[lsu_stbuf.scala 134:29] + node _T_161 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_162 = and(_T_161, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_163 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_164 = and(_T_162, _T_163) @[lsu_stbuf.scala 135:52] + node _T_165 = or(_T_160, _T_164) @[lsu_stbuf.scala 134:53] + node _T_166 = eq(UInt<2>("h02"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_167 = and(_T_166, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_168 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_169 = eq(_T_168, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_170 = and(_T_167, _T_169) @[lsu_stbuf.scala 136:57] + node _T_171 = or(_T_165, _T_170) @[lsu_stbuf.scala 135:76] + node _T_172 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 137:28] + node _T_173 = or(_T_171, _T_172) @[lsu_stbuf.scala 136:105] + node _T_174 = bits(store_matchvec_hi_r, 2, 2) @[lsu_stbuf.scala 137:53] + node _T_175 = or(_T_173, _T_174) @[lsu_stbuf.scala 137:32] + node _T_176 = and(io.ldst_stbuf_reqvld_r, _T_175) @[lsu_stbuf.scala 133:79] + node _T_177 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 134:18] + node _T_178 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 134:31] + node _T_179 = and(_T_177, _T_178) @[lsu_stbuf.scala 134:29] + node _T_180 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 135:20] + node _T_181 = and(_T_180, dual_stbuf_write_r) @[lsu_stbuf.scala 135:31] + node _T_182 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 135:54] + node _T_183 = and(_T_181, _T_182) @[lsu_stbuf.scala 135:52] + node _T_184 = or(_T_179, _T_183) @[lsu_stbuf.scala 134:53] + node _T_185 = eq(UInt<2>("h03"), WrPtrPlus1) @[lsu_stbuf.scala 136:20] + node _T_186 = and(_T_185, dual_stbuf_write_r) @[lsu_stbuf.scala 136:36] + node _T_187 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 136:81] + node _T_188 = eq(_T_187, UInt<1>("h00")) @[lsu_stbuf.scala 136:59] + node _T_189 = and(_T_186, _T_188) @[lsu_stbuf.scala 136:57] + node _T_190 = or(_T_184, _T_189) @[lsu_stbuf.scala 135:76] + node _T_191 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 137:28] + node _T_192 = or(_T_190, _T_191) @[lsu_stbuf.scala 136:105] + node _T_193 = bits(store_matchvec_hi_r, 3, 3) @[lsu_stbuf.scala 137:53] + node _T_194 = or(_T_192, _T_193) @[lsu_stbuf.scala 137:32] + node _T_195 = and(io.ldst_stbuf_reqvld_r, _T_194) @[lsu_stbuf.scala 133:79] + node _T_196 = cat(_T_195, _T_176) @[Cat.scala 29:58] + node _T_197 = cat(_T_196, _T_157) @[Cat.scala 29:58] + node _T_198 = cat(_T_197, _T_138) @[Cat.scala 29:58] + stbuf_wr_en <= _T_198 @[lsu_stbuf.scala 133:17] + node _T_199 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_200 = eq(UInt<1>("h00"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_201 = bits(_T_200, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_202 = and(_T_199, _T_201) @[lsu_stbuf.scala 138:112] + node _T_203 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_204 = eq(UInt<1>("h01"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_205 = bits(_T_204, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_206 = and(_T_203, _T_205) @[lsu_stbuf.scala 138:112] + node _T_207 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_208 = eq(UInt<2>("h02"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_209 = bits(_T_208, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_210 = and(_T_207, _T_209) @[lsu_stbuf.scala 138:112] + node _T_211 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 138:81] + node _T_212 = eq(UInt<2>("h03"), RdPtr) @[lsu_stbuf.scala 138:124] + node _T_213 = bits(_T_212, 0, 0) @[lsu_stbuf.scala 138:135] + node _T_214 = and(_T_211, _T_213) @[lsu_stbuf.scala 138:112] + node _T_215 = cat(_T_214, _T_210) @[Cat.scala 29:58] + node _T_216 = cat(_T_215, _T_206) @[Cat.scala 29:58] + node _T_217 = cat(_T_216, _T_202) @[Cat.scala 29:58] + stbuf_reset <= _T_217 @[lsu_stbuf.scala 138:17] + node _T_218 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_219 = or(_T_218, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_220 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_221 = bits(_T_220, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_222 = and(_T_219, _T_221) @[lsu_stbuf.scala 139:99] + node _T_223 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_224 = and(_T_222, _T_223) @[lsu_stbuf.scala 139:129] + node _T_225 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 139:174] + node _T_226 = or(_T_224, _T_225) @[lsu_stbuf.scala 139:153] + node _T_227 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_228 = or(_T_227, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_229 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_230 = bits(_T_229, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_231 = and(_T_228, _T_230) @[lsu_stbuf.scala 139:99] + node _T_232 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_233 = and(_T_231, _T_232) @[lsu_stbuf.scala 139:129] + node _T_234 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 139:174] + node _T_235 = or(_T_233, _T_234) @[lsu_stbuf.scala 139:153] + node _T_236 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_237 = or(_T_236, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_238 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_239 = bits(_T_238, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_240 = and(_T_237, _T_239) @[lsu_stbuf.scala 139:99] + node _T_241 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_242 = and(_T_240, _T_241) @[lsu_stbuf.scala 139:129] + node _T_243 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 139:174] + node _T_244 = or(_T_242, _T_243) @[lsu_stbuf.scala 139:153] + node _T_245 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:56] + node _T_246 = or(_T_245, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 139:72] + node _T_247 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 139:111] + node _T_248 = bits(_T_247, 0, 0) @[lsu_stbuf.scala 139:122] + node _T_249 = and(_T_246, _T_248) @[lsu_stbuf.scala 139:99] + node _T_250 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 139:131] + node _T_251 = and(_T_249, _T_250) @[lsu_stbuf.scala 139:129] + node _T_252 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 139:174] + node _T_253 = or(_T_251, _T_252) @[lsu_stbuf.scala 139:153] + node _T_254 = cat(_T_253, _T_244) @[Cat.scala 29:58] + node _T_255 = cat(_T_254, _T_235) @[Cat.scala 29:58] + node sel_lo = cat(_T_255, _T_226) @[Cat.scala 29:58] + node _T_256 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 141:66] + node _T_257 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_258 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_259 = mux(_T_256, _T_257, _T_258) @[lsu_stbuf.scala 141:59] + node _T_260 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 141:66] + node _T_261 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_262 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_263 = mux(_T_260, _T_261, _T_262) @[lsu_stbuf.scala 141:59] + node _T_264 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 141:66] + node _T_265 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_266 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_267 = mux(_T_264, _T_265, _T_266) @[lsu_stbuf.scala 141:59] + node _T_268 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 141:66] + node _T_269 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 141:84] + node _T_270 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 141:119] + node _T_271 = mux(_T_268, _T_269, _T_270) @[lsu_stbuf.scala 141:59] + stbuf_addrin[0] <= _T_259 @[lsu_stbuf.scala 141:18] + stbuf_addrin[1] <= _T_263 @[lsu_stbuf.scala 141:18] + stbuf_addrin[2] <= _T_267 @[lsu_stbuf.scala 141:18] + stbuf_addrin[3] <= _T_271 @[lsu_stbuf.scala 141:18] + node _T_272 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 142:68] + node _T_273 = or(stbuf_byteen[0], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_274 = or(stbuf_byteen[0], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_275 = mux(_T_272, _T_273, _T_274) @[lsu_stbuf.scala 142:61] + node _T_276 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 142:68] + node _T_277 = or(stbuf_byteen[1], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_278 = or(stbuf_byteen[1], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_279 = mux(_T_276, _T_277, _T_278) @[lsu_stbuf.scala 142:61] + node _T_280 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 142:68] + node _T_281 = or(stbuf_byteen[2], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_282 = or(stbuf_byteen[2], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_283 = mux(_T_280, _T_281, _T_282) @[lsu_stbuf.scala 142:61] + node _T_284 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 142:68] + node _T_285 = or(stbuf_byteen[3], store_byteen_lo_r) @[lsu_stbuf.scala 142:89] + node _T_286 = or(stbuf_byteen[3], store_byteen_hi_r) @[lsu_stbuf.scala 142:126] + node _T_287 = mux(_T_284, _T_285, _T_286) @[lsu_stbuf.scala 142:61] + stbuf_byteenin[0] <= _T_275 @[lsu_stbuf.scala 142:20] + stbuf_byteenin[1] <= _T_279 @[lsu_stbuf.scala 142:20] + stbuf_byteenin[2] <= _T_283 @[lsu_stbuf.scala 142:20] + stbuf_byteenin[3] <= _T_287 @[lsu_stbuf.scala 142:20] + node _T_288 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 144:61] + node _T_289 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_290 = eq(_T_289, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_291 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_292 = or(_T_290, _T_291) @[lsu_stbuf.scala 144:90] + node _T_293 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_294 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_295 = mux(_T_292, _T_293, _T_294) @[lsu_stbuf.scala 144:69] + node _T_296 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_297 = eq(_T_296, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_298 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_299 = or(_T_297, _T_298) @[lsu_stbuf.scala 145:31] + node _T_300 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_301 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_302 = mux(_T_299, _T_300, _T_301) @[lsu_stbuf.scala 145:10] + node _T_303 = mux(_T_288, _T_295, _T_302) @[lsu_stbuf.scala 144:54] + node _T_304 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 144:61] + node _T_305 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_307 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_308 = or(_T_306, _T_307) @[lsu_stbuf.scala 144:90] + node _T_309 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_310 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_311 = mux(_T_308, _T_309, _T_310) @[lsu_stbuf.scala 144:69] + node _T_312 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_314 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_315 = or(_T_313, _T_314) @[lsu_stbuf.scala 145:31] + node _T_316 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_317 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_318 = mux(_T_315, _T_316, _T_317) @[lsu_stbuf.scala 145:10] + node _T_319 = mux(_T_304, _T_311, _T_318) @[lsu_stbuf.scala 144:54] + node _T_320 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 144:61] + node _T_321 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_323 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_324 = or(_T_322, _T_323) @[lsu_stbuf.scala 144:90] + node _T_325 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_326 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_327 = mux(_T_324, _T_325, _T_326) @[lsu_stbuf.scala 144:69] + node _T_328 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_330 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_331 = or(_T_329, _T_330) @[lsu_stbuf.scala 145:31] + node _T_332 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_333 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_334 = mux(_T_331, _T_332, _T_333) @[lsu_stbuf.scala 145:10] + node _T_335 = mux(_T_320, _T_327, _T_334) @[lsu_stbuf.scala 144:54] + node _T_336 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 144:61] + node _T_337 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 144:86] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_stbuf.scala 144:70] + node _T_339 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 144:109] + node _T_340 = or(_T_338, _T_339) @[lsu_stbuf.scala 144:90] + node _T_341 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 144:134] + node _T_342 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 144:155] + node _T_343 = mux(_T_340, _T_341, _T_342) @[lsu_stbuf.scala 144:69] + node _T_344 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 145:27] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[lsu_stbuf.scala 145:11] + node _T_346 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 145:50] + node _T_347 = or(_T_345, _T_346) @[lsu_stbuf.scala 145:31] + node _T_348 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 145:75] + node _T_349 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 145:96] + node _T_350 = mux(_T_347, _T_348, _T_349) @[lsu_stbuf.scala 145:10] + node _T_351 = mux(_T_336, _T_343, _T_350) @[lsu_stbuf.scala 144:54] + datain1[0] <= _T_303 @[lsu_stbuf.scala 144:13] + datain1[1] <= _T_319 @[lsu_stbuf.scala 144:13] + datain1[2] <= _T_335 @[lsu_stbuf.scala 144:13] + datain1[3] <= _T_351 @[lsu_stbuf.scala 144:13] + node _T_352 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 147:61] + node _T_353 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_355 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_356 = or(_T_354, _T_355) @[lsu_stbuf.scala 147:90] + node _T_357 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_358 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_359 = mux(_T_356, _T_357, _T_358) @[lsu_stbuf.scala 147:69] + node _T_360 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_362 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_363 = or(_T_361, _T_362) @[lsu_stbuf.scala 148:31] + node _T_364 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_365 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_366 = mux(_T_363, _T_364, _T_365) @[lsu_stbuf.scala 148:10] + node _T_367 = mux(_T_352, _T_359, _T_366) @[lsu_stbuf.scala 147:54] + node _T_368 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 147:61] + node _T_369 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_371 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_372 = or(_T_370, _T_371) @[lsu_stbuf.scala 147:90] + node _T_373 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_374 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_375 = mux(_T_372, _T_373, _T_374) @[lsu_stbuf.scala 147:69] + node _T_376 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_378 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_379 = or(_T_377, _T_378) @[lsu_stbuf.scala 148:31] + node _T_380 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_381 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_382 = mux(_T_379, _T_380, _T_381) @[lsu_stbuf.scala 148:10] + node _T_383 = mux(_T_368, _T_375, _T_382) @[lsu_stbuf.scala 147:54] + node _T_384 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 147:61] + node _T_385 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_387 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_388 = or(_T_386, _T_387) @[lsu_stbuf.scala 147:90] + node _T_389 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_390 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_391 = mux(_T_388, _T_389, _T_390) @[lsu_stbuf.scala 147:69] + node _T_392 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_393 = eq(_T_392, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_394 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_395 = or(_T_393, _T_394) @[lsu_stbuf.scala 148:31] + node _T_396 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_397 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_398 = mux(_T_395, _T_396, _T_397) @[lsu_stbuf.scala 148:10] + node _T_399 = mux(_T_384, _T_391, _T_398) @[lsu_stbuf.scala 147:54] + node _T_400 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 147:61] + node _T_401 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 147:86] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[lsu_stbuf.scala 147:70] + node _T_403 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 147:109] + node _T_404 = or(_T_402, _T_403) @[lsu_stbuf.scala 147:90] + node _T_405 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 147:134] + node _T_406 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 147:156] + node _T_407 = mux(_T_404, _T_405, _T_406) @[lsu_stbuf.scala 147:69] + node _T_408 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 148:27] + node _T_409 = eq(_T_408, UInt<1>("h00")) @[lsu_stbuf.scala 148:11] + node _T_410 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 148:50] + node _T_411 = or(_T_409, _T_410) @[lsu_stbuf.scala 148:31] + node _T_412 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 148:75] + node _T_413 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 148:97] + node _T_414 = mux(_T_411, _T_412, _T_413) @[lsu_stbuf.scala 148:10] + node _T_415 = mux(_T_400, _T_407, _T_414) @[lsu_stbuf.scala 147:54] + datain2[0] <= _T_367 @[lsu_stbuf.scala 147:13] + datain2[1] <= _T_383 @[lsu_stbuf.scala 147:13] + datain2[2] <= _T_399 @[lsu_stbuf.scala 147:13] + datain2[3] <= _T_415 @[lsu_stbuf.scala 147:13] + node _T_416 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 150:61] + node _T_417 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_418 = eq(_T_417, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_419 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_420 = or(_T_418, _T_419) @[lsu_stbuf.scala 150:90] + node _T_421 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_422 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_423 = mux(_T_420, _T_421, _T_422) @[lsu_stbuf.scala 150:69] + node _T_424 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_425 = eq(_T_424, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_426 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_427 = or(_T_425, _T_426) @[lsu_stbuf.scala 151:31] + node _T_428 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_429 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_430 = mux(_T_427, _T_428, _T_429) @[lsu_stbuf.scala 151:10] + node _T_431 = mux(_T_416, _T_423, _T_430) @[lsu_stbuf.scala 150:54] + node _T_432 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 150:61] + node _T_433 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_434 = eq(_T_433, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_435 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_436 = or(_T_434, _T_435) @[lsu_stbuf.scala 150:90] + node _T_437 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_438 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_439 = mux(_T_436, _T_437, _T_438) @[lsu_stbuf.scala 150:69] + node _T_440 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_441 = eq(_T_440, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_442 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_443 = or(_T_441, _T_442) @[lsu_stbuf.scala 151:31] + node _T_444 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_445 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_446 = mux(_T_443, _T_444, _T_445) @[lsu_stbuf.scala 151:10] + node _T_447 = mux(_T_432, _T_439, _T_446) @[lsu_stbuf.scala 150:54] + node _T_448 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 150:61] + node _T_449 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_450 = eq(_T_449, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_451 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_452 = or(_T_450, _T_451) @[lsu_stbuf.scala 150:90] + node _T_453 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_454 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_455 = mux(_T_452, _T_453, _T_454) @[lsu_stbuf.scala 150:69] + node _T_456 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_457 = eq(_T_456, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_458 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_459 = or(_T_457, _T_458) @[lsu_stbuf.scala 151:31] + node _T_460 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_461 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_462 = mux(_T_459, _T_460, _T_461) @[lsu_stbuf.scala 151:10] + node _T_463 = mux(_T_448, _T_455, _T_462) @[lsu_stbuf.scala 150:54] + node _T_464 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 150:61] + node _T_465 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 150:86] + node _T_466 = eq(_T_465, UInt<1>("h00")) @[lsu_stbuf.scala 150:70] + node _T_467 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 150:109] + node _T_468 = or(_T_466, _T_467) @[lsu_stbuf.scala 150:90] + node _T_469 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 150:134] + node _T_470 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 150:157] + node _T_471 = mux(_T_468, _T_469, _T_470) @[lsu_stbuf.scala 150:69] + node _T_472 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 151:27] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[lsu_stbuf.scala 151:11] + node _T_474 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 151:50] + node _T_475 = or(_T_473, _T_474) @[lsu_stbuf.scala 151:31] + node _T_476 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 151:75] + node _T_477 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 151:98] + node _T_478 = mux(_T_475, _T_476, _T_477) @[lsu_stbuf.scala 151:10] + node _T_479 = mux(_T_464, _T_471, _T_478) @[lsu_stbuf.scala 150:54] + datain3[0] <= _T_431 @[lsu_stbuf.scala 150:13] + datain3[1] <= _T_447 @[lsu_stbuf.scala 150:13] + datain3[2] <= _T_463 @[lsu_stbuf.scala 150:13] + datain3[3] <= _T_479 @[lsu_stbuf.scala 150:13] + node _T_480 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 153:61] + node _T_481 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_482 = eq(_T_481, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_483 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_484 = or(_T_482, _T_483) @[lsu_stbuf.scala 153:90] + node _T_485 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_486 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_487 = mux(_T_484, _T_485, _T_486) @[lsu_stbuf.scala 153:69] + node _T_488 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_490 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_491 = or(_T_489, _T_490) @[lsu_stbuf.scala 154:31] + node _T_492 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_493 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_494 = mux(_T_491, _T_492, _T_493) @[lsu_stbuf.scala 154:10] + node _T_495 = mux(_T_480, _T_487, _T_494) @[lsu_stbuf.scala 153:54] + node _T_496 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 153:61] + node _T_497 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_498 = eq(_T_497, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_499 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_500 = or(_T_498, _T_499) @[lsu_stbuf.scala 153:90] + node _T_501 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_502 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_503 = mux(_T_500, _T_501, _T_502) @[lsu_stbuf.scala 153:69] + node _T_504 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_506 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_507 = or(_T_505, _T_506) @[lsu_stbuf.scala 154:31] + node _T_508 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_509 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_510 = mux(_T_507, _T_508, _T_509) @[lsu_stbuf.scala 154:10] + node _T_511 = mux(_T_496, _T_503, _T_510) @[lsu_stbuf.scala 153:54] + node _T_512 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 153:61] + node _T_513 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_515 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_516 = or(_T_514, _T_515) @[lsu_stbuf.scala 153:90] + node _T_517 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_518 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_519 = mux(_T_516, _T_517, _T_518) @[lsu_stbuf.scala 153:69] + node _T_520 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_521 = eq(_T_520, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_522 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_523 = or(_T_521, _T_522) @[lsu_stbuf.scala 154:31] + node _T_524 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_525 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_526 = mux(_T_523, _T_524, _T_525) @[lsu_stbuf.scala 154:10] + node _T_527 = mux(_T_512, _T_519, _T_526) @[lsu_stbuf.scala 153:54] + node _T_528 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 153:61] + node _T_529 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 153:86] + node _T_530 = eq(_T_529, UInt<1>("h00")) @[lsu_stbuf.scala 153:70] + node _T_531 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 153:109] + node _T_532 = or(_T_530, _T_531) @[lsu_stbuf.scala 153:90] + node _T_533 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 153:134] + node _T_534 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 153:157] + node _T_535 = mux(_T_532, _T_533, _T_534) @[lsu_stbuf.scala 153:69] + node _T_536 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 154:27] + node _T_537 = eq(_T_536, UInt<1>("h00")) @[lsu_stbuf.scala 154:11] + node _T_538 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 154:50] + node _T_539 = or(_T_537, _T_538) @[lsu_stbuf.scala 154:31] + node _T_540 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 154:75] + node _T_541 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 154:98] + node _T_542 = mux(_T_539, _T_540, _T_541) @[lsu_stbuf.scala 154:10] + node _T_543 = mux(_T_528, _T_535, _T_542) @[lsu_stbuf.scala 153:54] + datain4[0] <= _T_495 @[lsu_stbuf.scala 153:13] + datain4[1] <= _T_511 @[lsu_stbuf.scala 153:13] + datain4[2] <= _T_527 @[lsu_stbuf.scala 153:13] + datain4[3] <= _T_543 @[lsu_stbuf.scala 153:13] + node _T_544 = cat(datain2[0], datain1[0]) @[Cat.scala 29:58] + node _T_545 = cat(datain4[0], datain3[0]) @[Cat.scala 29:58] + node _T_546 = cat(_T_545, _T_544) @[Cat.scala 29:58] + node _T_547 = cat(datain2[1], datain1[1]) @[Cat.scala 29:58] + node _T_548 = cat(datain4[1], datain3[1]) @[Cat.scala 29:58] + node _T_549 = cat(_T_548, _T_547) @[Cat.scala 29:58] + node _T_550 = cat(datain2[2], datain1[2]) @[Cat.scala 29:58] + node _T_551 = cat(datain4[2], datain3[2]) @[Cat.scala 29:58] + node _T_552 = cat(_T_551, _T_550) @[Cat.scala 29:58] + node _T_553 = cat(datain2[3], datain1[3]) @[Cat.scala 29:58] + node _T_554 = cat(datain4[3], datain3[3]) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_553) @[Cat.scala 29:58] + stbuf_datain[0] <= _T_546 @[lsu_stbuf.scala 156:18] + stbuf_datain[1] <= _T_549 @[lsu_stbuf.scala 156:18] + stbuf_datain[2] <= _T_552 @[lsu_stbuf.scala 156:18] + stbuf_datain[3] <= _T_555 @[lsu_stbuf.scala 156:18] + node _T_556 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 160:30] + node _T_557 = bits(_T_556, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_558 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 160:58] + node _T_559 = mux(_T_557, UInt<1>("h01"), _T_558) @[lsu_stbuf.scala 160:18] + node _T_560 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 160:77] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_562 = and(_T_559, _T_561) @[lsu_stbuf.scala 160:63] + reg _T_563 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_563 <= _T_562 @[lsu_stbuf.scala 160:14] + node _T_564 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 160:30] + node _T_565 = bits(_T_564, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_566 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 160:58] + node _T_567 = mux(_T_565, UInt<1>("h01"), _T_566) @[lsu_stbuf.scala 160:18] + node _T_568 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 160:77] + node _T_569 = eq(_T_568, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_570 = and(_T_567, _T_569) @[lsu_stbuf.scala 160:63] + reg _T_571 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_571 <= _T_570 @[lsu_stbuf.scala 160:14] + node _T_572 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 160:30] + node _T_573 = bits(_T_572, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_574 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 160:58] + node _T_575 = mux(_T_573, UInt<1>("h01"), _T_574) @[lsu_stbuf.scala 160:18] + node _T_576 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 160:77] + node _T_577 = eq(_T_576, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_578 = and(_T_575, _T_577) @[lsu_stbuf.scala 160:63] + reg _T_579 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_579 <= _T_578 @[lsu_stbuf.scala 160:14] + node _T_580 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 160:30] + node _T_581 = bits(_T_580, 0, 0) @[lsu_stbuf.scala 160:40] + node _T_582 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 160:58] + node _T_583 = mux(_T_581, UInt<1>("h01"), _T_582) @[lsu_stbuf.scala 160:18] + node _T_584 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 160:77] + node _T_585 = eq(_T_584, UInt<1>("h00")) @[lsu_stbuf.scala 160:65] + node _T_586 = and(_T_583, _T_585) @[lsu_stbuf.scala 160:63] + reg _T_587 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 160:14] + _T_587 <= _T_586 @[lsu_stbuf.scala 160:14] + node _T_588 = cat(_T_587, _T_579) @[Cat.scala 29:58] + node _T_589 = cat(_T_588, _T_571) @[Cat.scala 29:58] + node _T_590 = cat(_T_589, _T_563) @[Cat.scala 29:58] + stbuf_vld <= _T_590 @[lsu_stbuf.scala 159:15] + node _T_591 = bits(stbuf_dma_kill_en, 0, 0) @[lsu_stbuf.scala 163:36] + node _T_592 = bits(_T_591, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_593 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 163:67] + node _T_594 = mux(_T_592, UInt<1>("h01"), _T_593) @[lsu_stbuf.scala 163:18] + node _T_595 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 163:86] + node _T_596 = eq(_T_595, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_597 = and(_T_594, _T_596) @[lsu_stbuf.scala 163:72] + reg _T_598 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_598 <= _T_597 @[lsu_stbuf.scala 163:14] + node _T_599 = bits(stbuf_dma_kill_en, 1, 1) @[lsu_stbuf.scala 163:36] + node _T_600 = bits(_T_599, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_601 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 163:67] + node _T_602 = mux(_T_600, UInt<1>("h01"), _T_601) @[lsu_stbuf.scala 163:18] + node _T_603 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 163:86] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_605 = and(_T_602, _T_604) @[lsu_stbuf.scala 163:72] + reg _T_606 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_606 <= _T_605 @[lsu_stbuf.scala 163:14] + node _T_607 = bits(stbuf_dma_kill_en, 2, 2) @[lsu_stbuf.scala 163:36] + node _T_608 = bits(_T_607, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_609 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 163:67] + node _T_610 = mux(_T_608, UInt<1>("h01"), _T_609) @[lsu_stbuf.scala 163:18] + node _T_611 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 163:86] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_613 = and(_T_610, _T_612) @[lsu_stbuf.scala 163:72] + reg _T_614 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_614 <= _T_613 @[lsu_stbuf.scala 163:14] + node _T_615 = bits(stbuf_dma_kill_en, 3, 3) @[lsu_stbuf.scala 163:36] + node _T_616 = bits(_T_615, 0, 0) @[lsu_stbuf.scala 163:40] + node _T_617 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 163:67] + node _T_618 = mux(_T_616, UInt<1>("h01"), _T_617) @[lsu_stbuf.scala 163:18] + node _T_619 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 163:86] + node _T_620 = eq(_T_619, UInt<1>("h00")) @[lsu_stbuf.scala 163:74] + node _T_621 = and(_T_618, _T_620) @[lsu_stbuf.scala 163:72] + reg _T_622 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:14] + _T_622 <= _T_621 @[lsu_stbuf.scala 163:14] + node _T_623 = cat(_T_622, _T_614) @[Cat.scala 29:58] + node _T_624 = cat(_T_623, _T_606) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_598) @[Cat.scala 29:58] + stbuf_dma_kill <= _T_625 @[lsu_stbuf.scala 162:20] + node _T_626 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 166:30] + node _T_627 = bits(_T_626, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_628 = mux(_T_627, stbuf_byteenin[0], stbuf_byteen[0]) @[lsu_stbuf.scala 166:18] + node _T_629 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 166:127] + node _T_630 = eq(_T_629, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_633 = and(_T_628, _T_632) @[lsu_stbuf.scala 166:80] + reg _T_634 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_634 <= _T_633 @[lsu_stbuf.scala 166:14] + node _T_635 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 166:30] + node _T_636 = bits(_T_635, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_637 = mux(_T_636, stbuf_byteenin[1], stbuf_byteen[1]) @[lsu_stbuf.scala 166:18] + node _T_638 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 166:127] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] + node _T_641 = mux(_T_640, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_642 = and(_T_637, _T_641) @[lsu_stbuf.scala 166:80] + reg _T_643 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_643 <= _T_642 @[lsu_stbuf.scala 166:14] + node _T_644 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 166:30] + node _T_645 = bits(_T_644, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_646 = mux(_T_645, stbuf_byteenin[2], stbuf_byteen[2]) @[lsu_stbuf.scala 166:18] + node _T_647 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 166:127] + node _T_648 = eq(_T_647, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_649 = bits(_T_648, 0, 0) @[Bitwise.scala 72:15] + node _T_650 = mux(_T_649, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_651 = and(_T_646, _T_650) @[lsu_stbuf.scala 166:80] + reg _T_652 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_652 <= _T_651 @[lsu_stbuf.scala 166:14] + node _T_653 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 166:30] + node _T_654 = bits(_T_653, 0, 0) @[lsu_stbuf.scala 166:40] + node _T_655 = mux(_T_654, stbuf_byteenin[3], stbuf_byteen[3]) @[lsu_stbuf.scala 166:18] + node _T_656 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 166:127] + node _T_657 = eq(_T_656, UInt<1>("h00")) @[lsu_stbuf.scala 166:115] + node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] + node _T_659 = mux(_T_658, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_660 = and(_T_655, _T_659) @[lsu_stbuf.scala 166:80] + reg _T_661 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 166:14] + _T_661 <= _T_660 @[lsu_stbuf.scala 166:14] + stbuf_byteen[0] <= _T_634 @[lsu_stbuf.scala 165:18] + stbuf_byteen[1] <= _T_643 @[lsu_stbuf.scala 165:18] + stbuf_byteen[2] <= _T_652 @[lsu_stbuf.scala 165:18] + stbuf_byteen[3] <= _T_661 @[lsu_stbuf.scala 165:18] + node _T_662 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 169:59] + node _T_663 = bits(_T_662, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_663 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_664 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_664 <= stbuf_addrin[0] @[lib.scala 374:16] + stbuf_addr[0] <= _T_664 @[lsu_stbuf.scala 169:21] + node _T_665 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 170:59] + node _T_666 = bits(_T_665, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_666 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_667 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_667 <= stbuf_datain[0] @[lib.scala 374:16] + stbuf_data[0] <= _T_667 @[lsu_stbuf.scala 170:21] + node _T_668 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 169:59] + node _T_669 = bits(_T_668, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_669 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_670 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_670 <= stbuf_addrin[1] @[lib.scala 374:16] + stbuf_addr[1] <= _T_670 @[lsu_stbuf.scala 169:21] + node _T_671 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 170:59] + node _T_672 = bits(_T_671, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_672 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_673 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_673 <= stbuf_datain[1] @[lib.scala 374:16] + stbuf_data[1] <= _T_673 @[lsu_stbuf.scala 170:21] + node _T_674 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 169:59] + node _T_675 = bits(_T_674, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_675 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_676 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_676 <= stbuf_addrin[2] @[lib.scala 374:16] + stbuf_addr[2] <= _T_676 @[lsu_stbuf.scala 169:21] + node _T_677 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 170:59] + node _T_678 = bits(_T_677, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_678 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_679 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_679 <= stbuf_datain[2] @[lib.scala 374:16] + stbuf_data[2] <= _T_679 @[lsu_stbuf.scala 170:21] + node _T_680 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 169:59] + node _T_681 = bits(_T_680, 0, 0) @[lsu_stbuf.scala 169:69] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_681 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_682 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_682 <= stbuf_addrin[3] @[lib.scala 374:16] + stbuf_addr[3] <= _T_682 @[lsu_stbuf.scala 169:21] + node _T_683 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 170:59] + node _T_684 = bits(_T_683, 0, 0) @[lsu_stbuf.scala 170:69] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_684 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_685 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_685 <= stbuf_datain[3] @[lib.scala 374:16] + stbuf_data[3] <= _T_685 @[lsu_stbuf.scala 170:21] + node _T_686 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 183:43] + node _T_687 = bits(_T_686, 0, 0) @[lsu_stbuf.scala 183:43] + node _T_688 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 183:67] + node _T_689 = bits(_T_688, 0, 0) @[lsu_stbuf.scala 183:67] + node _T_690 = and(_T_687, _T_689) @[lsu_stbuf.scala 183:51] + io.stbuf_reqvld_flushed_any <= _T_690 @[lsu_stbuf.scala 183:31] + node _T_691 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 184:36] + node _T_692 = bits(_T_691, 0, 0) @[lsu_stbuf.scala 184:36] + node _T_693 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 184:61] + node _T_694 = bits(_T_693, 0, 0) @[lsu_stbuf.scala 184:61] + node _T_695 = eq(_T_694, UInt<1>("h00")) @[lsu_stbuf.scala 184:46] + node _T_696 = and(_T_692, _T_695) @[lsu_stbuf.scala 184:44] + node _T_697 = orr(stbuf_dma_kill_en) @[lsu_stbuf.scala 184:91] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[lsu_stbuf.scala 184:71] + node _T_699 = and(_T_696, _T_698) @[lsu_stbuf.scala 184:69] + io.stbuf_reqvld_any <= _T_699 @[lsu_stbuf.scala 184:24] + io.stbuf_addr_any <= stbuf_addr[RdPtr] @[lsu_stbuf.scala 185:22] + io.stbuf_data_any <= stbuf_data[RdPtr] @[lsu_stbuf.scala 186:22] + node _T_700 = eq(dual_stbuf_write_r, UInt<1>("h00")) @[lsu_stbuf.scala 188:44] + node _T_701 = and(io.ldst_stbuf_reqvld_r, _T_700) @[lsu_stbuf.scala 188:42] + node _T_702 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 188:88] + node _T_703 = eq(_T_702, UInt<1>("h00")) @[lsu_stbuf.scala 188:66] + node _T_704 = and(_T_701, _T_703) @[lsu_stbuf.scala 188:64] + node _T_705 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 189:30] + node _T_706 = and(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 189:76] + node _T_707 = eq(_T_706, UInt<1>("h00")) @[lsu_stbuf.scala 189:54] + node _T_708 = and(_T_705, _T_707) @[lsu_stbuf.scala 189:52] + node _T_709 = or(_T_704, _T_708) @[lsu_stbuf.scala 188:113] + node WrPtrEn = bits(_T_709, 0, 0) @[lsu_stbuf.scala 189:101] + node _T_710 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 190:46] + node _T_711 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 190:91] + node _T_712 = eq(_T_711, UInt<1>("h00")) @[lsu_stbuf.scala 190:69] + node _T_713 = and(_T_710, _T_712) @[lsu_stbuf.scala 190:67] + node _T_714 = bits(_T_713, 0, 0) @[lsu_stbuf.scala 190:115] + node NxtWrPtr = mux(_T_714, WrPtrPlus2, WrPtrPlus1) @[lsu_stbuf.scala 190:21] + node RdPtrEn = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 191:42] + reg _T_715 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when WrPtrEn : @[Reg.scala 28:19] + _T_715 <= NxtWrPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + WrPtr <= _T_715 @[lsu_stbuf.scala 194:41] + reg _T_716 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when RdPtrEn : @[Reg.scala 28:19] + _T_716 <= RdPtrPlus1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + RdPtr <= _T_716 @[lsu_stbuf.scala 195:41] + node _T_717 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 197:86] + node _T_718 = cat(UInt<3>("h00"), _T_717) @[Cat.scala 29:58] + node _T_719 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 197:86] + node _T_720 = cat(UInt<3>("h00"), _T_719) @[Cat.scala 29:58] + node _T_721 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 197:86] + node _T_722 = cat(UInt<3>("h00"), _T_721) @[Cat.scala 29:58] + node _T_723 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 197:86] + node _T_724 = cat(UInt<3>("h00"), _T_723) @[Cat.scala 29:58] + wire _T_725 : UInt<4>[4] @[lsu_stbuf.scala 197:59] + _T_725[0] <= _T_718 @[lsu_stbuf.scala 197:59] + _T_725[1] <= _T_720 @[lsu_stbuf.scala 197:59] + _T_725[2] <= _T_722 @[lsu_stbuf.scala 197:59] + _T_725[3] <= _T_724 @[lsu_stbuf.scala 197:59] + node _T_726 = add(_T_725[0], _T_725[1]) @[lsu_stbuf.scala 197:101] + node _T_727 = tail(_T_726, 1) @[lsu_stbuf.scala 197:101] + node _T_728 = add(_T_727, _T_725[2]) @[lsu_stbuf.scala 197:101] + node _T_729 = tail(_T_728, 1) @[lsu_stbuf.scala 197:101] + node _T_730 = add(_T_729, _T_725[3]) @[lsu_stbuf.scala 197:101] + node stbuf_numvld_any = tail(_T_730, 1) @[lsu_stbuf.scala 197:101] + node _T_731 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 198:39] + node _T_732 = and(_T_731, io.addr_in_dccm_m) @[lsu_stbuf.scala 198:65] + node _T_733 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 198:87] + node isdccmst_m = and(_T_732, _T_733) @[lsu_stbuf.scala 198:85] + node _T_734 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 199:39] + node _T_735 = and(_T_734, io.addr_in_dccm_r) @[lsu_stbuf.scala 199:65] + node _T_736 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 199:87] + node isdccmst_r = and(_T_735, _T_736) @[lsu_stbuf.scala 199:85] + node _T_737 = cat(UInt<1>("h00"), isdccmst_m) @[Cat.scala 29:58] + node _T_738 = and(isdccmst_m, io.ldst_dual_m) @[lsu_stbuf.scala 201:62] + node _T_739 = dshl(_T_737, _T_738) @[lsu_stbuf.scala 201:47] + stbuf_specvld_m <= _T_739 @[lsu_stbuf.scala 201:19] + node _T_740 = cat(UInt<1>("h00"), isdccmst_r) @[Cat.scala 29:58] + node _T_741 = and(isdccmst_r, io.ldst_dual_r) @[lsu_stbuf.scala 202:62] + node _T_742 = dshl(_T_740, _T_741) @[lsu_stbuf.scala 202:47] + stbuf_specvld_r <= _T_742 @[lsu_stbuf.scala 202:19] + node _T_743 = cat(UInt<2>("h00"), stbuf_specvld_m) @[Cat.scala 29:58] + node _T_744 = add(stbuf_numvld_any, _T_743) @[lsu_stbuf.scala 203:44] + node _T_745 = tail(_T_744, 1) @[lsu_stbuf.scala 203:44] + node _T_746 = cat(UInt<2>("h00"), stbuf_specvld_r) @[Cat.scala 29:58] + node _T_747 = add(_T_745, _T_746) @[lsu_stbuf.scala 203:78] + node stbuf_specvld_any = tail(_T_747, 1) @[lsu_stbuf.scala 203:78] + node _T_748 = eq(io.ldst_dual_d, UInt<1>("h00")) @[lsu_stbuf.scala 205:34] + node _T_749 = and(_T_748, io.dec_lsu_valid_raw_d) @[lsu_stbuf.scala 205:50] + node _T_750 = bits(_T_749, 0, 0) @[lsu_stbuf.scala 205:76] + node _T_751 = geq(stbuf_specvld_any, UInt<3>("h04")) @[lsu_stbuf.scala 205:102] + node _T_752 = geq(stbuf_specvld_any, UInt<2>("h03")) @[lsu_stbuf.scala 205:143] + node _T_753 = mux(_T_750, _T_751, _T_752) @[lsu_stbuf.scala 205:32] + io.lsu_stbuf_full_any <= _T_753 @[lsu_stbuf.scala 205:26] + node _T_754 = eq(stbuf_numvld_any, UInt<1>("h00")) @[lsu_stbuf.scala 206:46] + io.lsu_stbuf_empty_any <= _T_754 @[lsu_stbuf.scala 206:26] + node _T_755 = bits(io.end_addr_m, 15, 2) @[lsu_stbuf.scala 208:32] + cmpaddr_hi_m <= _T_755 @[lsu_stbuf.scala 208:16] + node _T_756 = bits(io.lsu_addr_m, 15, 2) @[lsu_stbuf.scala 209:33] + cmpaddr_lo_m <= _T_756 @[lsu_stbuf.scala 209:17] + node _T_757 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_758 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_759 = eq(_T_757, _T_758) @[lsu_stbuf.scala 212:115] + node _T_760 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:150] + node _T_761 = and(_T_759, _T_760) @[lsu_stbuf.scala 212:139] + node _T_762 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 212:171] + node _T_763 = eq(_T_762, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_764 = and(_T_761, _T_763) @[lsu_stbuf.scala 212:154] + node _T_765 = and(_T_764, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_766 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_767 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_768 = eq(_T_766, _T_767) @[lsu_stbuf.scala 212:115] + node _T_769 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:150] + node _T_770 = and(_T_768, _T_769) @[lsu_stbuf.scala 212:139] + node _T_771 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 212:171] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_773 = and(_T_770, _T_772) @[lsu_stbuf.scala 212:154] + node _T_774 = and(_T_773, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_775 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_776 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_777 = eq(_T_775, _T_776) @[lsu_stbuf.scala 212:115] + node _T_778 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:150] + node _T_779 = and(_T_777, _T_778) @[lsu_stbuf.scala 212:139] + node _T_780 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 212:171] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_782 = and(_T_779, _T_781) @[lsu_stbuf.scala 212:154] + node _T_783 = and(_T_782, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_784 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 212:73] + node _T_785 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 212:131] + node _T_786 = eq(_T_784, _T_785) @[lsu_stbuf.scala 212:115] + node _T_787 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:150] + node _T_788 = and(_T_786, _T_787) @[lsu_stbuf.scala 212:139] + node _T_789 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 212:171] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[lsu_stbuf.scala 212:156] + node _T_791 = and(_T_788, _T_790) @[lsu_stbuf.scala 212:154] + node _T_792 = and(_T_791, io.addr_in_dccm_m) @[lsu_stbuf.scala 212:175] + node _T_793 = cat(_T_792, _T_783) @[Cat.scala 29:58] + node _T_794 = cat(_T_793, _T_774) @[Cat.scala 29:58] + node stbuf_match_hi = cat(_T_794, _T_765) @[Cat.scala 29:58] + node _T_795 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_796 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_797 = eq(_T_795, _T_796) @[lsu_stbuf.scala 213:115] + node _T_798 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 213:150] + node _T_799 = and(_T_797, _T_798) @[lsu_stbuf.scala 213:139] + node _T_800 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 213:171] + node _T_801 = eq(_T_800, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_802 = and(_T_799, _T_801) @[lsu_stbuf.scala 213:154] + node _T_803 = and(_T_802, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_804 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_805 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_806 = eq(_T_804, _T_805) @[lsu_stbuf.scala 213:115] + node _T_807 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 213:150] + node _T_808 = and(_T_806, _T_807) @[lsu_stbuf.scala 213:139] + node _T_809 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 213:171] + node _T_810 = eq(_T_809, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_811 = and(_T_808, _T_810) @[lsu_stbuf.scala 213:154] + node _T_812 = and(_T_811, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_813 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_814 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_815 = eq(_T_813, _T_814) @[lsu_stbuf.scala 213:115] + node _T_816 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 213:150] + node _T_817 = and(_T_815, _T_816) @[lsu_stbuf.scala 213:139] + node _T_818 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 213:171] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_820 = and(_T_817, _T_819) @[lsu_stbuf.scala 213:154] + node _T_821 = and(_T_820, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_822 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 213:73] + node _T_823 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 213:131] + node _T_824 = eq(_T_822, _T_823) @[lsu_stbuf.scala 213:115] + node _T_825 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 213:150] + node _T_826 = and(_T_824, _T_825) @[lsu_stbuf.scala 213:139] + node _T_827 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 213:171] + node _T_828 = eq(_T_827, UInt<1>("h00")) @[lsu_stbuf.scala 213:156] + node _T_829 = and(_T_826, _T_828) @[lsu_stbuf.scala 213:154] + node _T_830 = and(_T_829, io.addr_in_dccm_m) @[lsu_stbuf.scala 213:175] + node _T_831 = cat(_T_830, _T_821) @[Cat.scala 29:58] + node _T_832 = cat(_T_831, _T_812) @[Cat.scala 29:58] + node stbuf_match_lo = cat(_T_832, _T_803) @[Cat.scala 29:58] + node _T_833 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 214:74] + node _T_834 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 214:94] + node _T_835 = or(_T_833, _T_834) @[lsu_stbuf.scala 214:78] + node _T_836 = and(_T_835, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_837 = and(_T_836, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_838 = and(_T_837, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_839 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 214:74] + node _T_840 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 214:94] + node _T_841 = or(_T_839, _T_840) @[lsu_stbuf.scala 214:78] + node _T_842 = and(_T_841, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_843 = and(_T_842, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_844 = and(_T_843, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_845 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 214:74] + node _T_846 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 214:94] + node _T_847 = or(_T_845, _T_846) @[lsu_stbuf.scala 214:78] + node _T_848 = and(_T_847, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_849 = and(_T_848, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_850 = and(_T_849, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_851 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 214:74] + node _T_852 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 214:94] + node _T_853 = or(_T_851, _T_852) @[lsu_stbuf.scala 214:78] + node _T_854 = and(_T_853, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 214:99] + node _T_855 = and(_T_854, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 214:120] + node _T_856 = and(_T_855, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 214:144] + node _T_857 = cat(_T_856, _T_850) @[Cat.scala 29:58] + node _T_858 = cat(_T_857, _T_844) @[Cat.scala 29:58] + node _T_859 = cat(_T_858, _T_838) @[Cat.scala 29:58] + stbuf_dma_kill_en <= _T_859 @[lsu_stbuf.scala 214:21] + node _T_860 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_861 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_862 = and(_T_860, _T_861) @[lsu_stbuf.scala 217:116] + node _T_863 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_0 = and(_T_862, _T_863) @[lsu_stbuf.scala 217:137] + node _T_864 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_865 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_866 = and(_T_864, _T_865) @[lsu_stbuf.scala 217:116] + node _T_867 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_1 = and(_T_866, _T_867) @[lsu_stbuf.scala 217:137] + node _T_868 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_869 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_870 = and(_T_868, _T_869) @[lsu_stbuf.scala 217:116] + node _T_871 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_2 = and(_T_870, _T_871) @[lsu_stbuf.scala 217:137] + node _T_872 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 217:112] + node _T_873 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_874 = and(_T_872, _T_873) @[lsu_stbuf.scala 217:116] + node _T_875 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_0_3 = and(_T_874, _T_875) @[lsu_stbuf.scala 217:137] + node _T_876 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_877 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_878 = and(_T_876, _T_877) @[lsu_stbuf.scala 217:116] + node _T_879 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_0 = and(_T_878, _T_879) @[lsu_stbuf.scala 217:137] + node _T_880 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_881 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_882 = and(_T_880, _T_881) @[lsu_stbuf.scala 217:116] + node _T_883 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_1 = and(_T_882, _T_883) @[lsu_stbuf.scala 217:137] + node _T_884 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_885 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_886 = and(_T_884, _T_885) @[lsu_stbuf.scala 217:116] + node _T_887 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_2 = and(_T_886, _T_887) @[lsu_stbuf.scala 217:137] + node _T_888 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 217:112] + node _T_889 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_890 = and(_T_888, _T_889) @[lsu_stbuf.scala 217:116] + node _T_891 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_1_3 = and(_T_890, _T_891) @[lsu_stbuf.scala 217:137] + node _T_892 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_893 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_894 = and(_T_892, _T_893) @[lsu_stbuf.scala 217:116] + node _T_895 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_0 = and(_T_894, _T_895) @[lsu_stbuf.scala 217:137] + node _T_896 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_897 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_898 = and(_T_896, _T_897) @[lsu_stbuf.scala 217:116] + node _T_899 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_1 = and(_T_898, _T_899) @[lsu_stbuf.scala 217:137] + node _T_900 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_901 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_902 = and(_T_900, _T_901) @[lsu_stbuf.scala 217:116] + node _T_903 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_2 = and(_T_902, _T_903) @[lsu_stbuf.scala 217:137] + node _T_904 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 217:112] + node _T_905 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_906 = and(_T_904, _T_905) @[lsu_stbuf.scala 217:116] + node _T_907 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_2_3 = and(_T_906, _T_907) @[lsu_stbuf.scala 217:137] + node _T_908 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_909 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 217:133] + node _T_910 = and(_T_908, _T_909) @[lsu_stbuf.scala 217:116] + node _T_911 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_0 = and(_T_910, _T_911) @[lsu_stbuf.scala 217:137] + node _T_912 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_913 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 217:133] + node _T_914 = and(_T_912, _T_913) @[lsu_stbuf.scala 217:116] + node _T_915 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_1 = and(_T_914, _T_915) @[lsu_stbuf.scala 217:137] + node _T_916 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_917 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 217:133] + node _T_918 = and(_T_916, _T_917) @[lsu_stbuf.scala 217:116] + node _T_919 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_2 = and(_T_918, _T_919) @[lsu_stbuf.scala 217:137] + node _T_920 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 217:112] + node _T_921 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 217:133] + node _T_922 = and(_T_920, _T_921) @[lsu_stbuf.scala 217:116] + node _T_923 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 217:148] + node stbuf_fwdbyteenvec_hi_3_3 = and(_T_922, _T_923) @[lsu_stbuf.scala 217:137] + node _T_924 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_925 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_926 = and(_T_924, _T_925) @[lsu_stbuf.scala 218:116] + node _T_927 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_0 = and(_T_926, _T_927) @[lsu_stbuf.scala 218:137] + node _T_928 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_929 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_930 = and(_T_928, _T_929) @[lsu_stbuf.scala 218:116] + node _T_931 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_1 = and(_T_930, _T_931) @[lsu_stbuf.scala 218:137] + node _T_932 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_933 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_934 = and(_T_932, _T_933) @[lsu_stbuf.scala 218:116] + node _T_935 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_2 = and(_T_934, _T_935) @[lsu_stbuf.scala 218:137] + node _T_936 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 218:112] + node _T_937 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_938 = and(_T_936, _T_937) @[lsu_stbuf.scala 218:116] + node _T_939 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_0_3 = and(_T_938, _T_939) @[lsu_stbuf.scala 218:137] + node _T_940 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_941 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_942 = and(_T_940, _T_941) @[lsu_stbuf.scala 218:116] + node _T_943 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_0 = and(_T_942, _T_943) @[lsu_stbuf.scala 218:137] + node _T_944 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_945 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_946 = and(_T_944, _T_945) @[lsu_stbuf.scala 218:116] + node _T_947 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_1 = and(_T_946, _T_947) @[lsu_stbuf.scala 218:137] + node _T_948 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_949 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_950 = and(_T_948, _T_949) @[lsu_stbuf.scala 218:116] + node _T_951 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_2 = and(_T_950, _T_951) @[lsu_stbuf.scala 218:137] + node _T_952 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 218:112] + node _T_953 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_954 = and(_T_952, _T_953) @[lsu_stbuf.scala 218:116] + node _T_955 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_1_3 = and(_T_954, _T_955) @[lsu_stbuf.scala 218:137] + node _T_956 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_957 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_958 = and(_T_956, _T_957) @[lsu_stbuf.scala 218:116] + node _T_959 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_0 = and(_T_958, _T_959) @[lsu_stbuf.scala 218:137] + node _T_960 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_961 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_962 = and(_T_960, _T_961) @[lsu_stbuf.scala 218:116] + node _T_963 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_1 = and(_T_962, _T_963) @[lsu_stbuf.scala 218:137] + node _T_964 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_965 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_966 = and(_T_964, _T_965) @[lsu_stbuf.scala 218:116] + node _T_967 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_2 = and(_T_966, _T_967) @[lsu_stbuf.scala 218:137] + node _T_968 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 218:112] + node _T_969 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_970 = and(_T_968, _T_969) @[lsu_stbuf.scala 218:116] + node _T_971 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_2_3 = and(_T_970, _T_971) @[lsu_stbuf.scala 218:137] + node _T_972 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_973 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 218:133] + node _T_974 = and(_T_972, _T_973) @[lsu_stbuf.scala 218:116] + node _T_975 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_0 = and(_T_974, _T_975) @[lsu_stbuf.scala 218:137] + node _T_976 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_977 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 218:133] + node _T_978 = and(_T_976, _T_977) @[lsu_stbuf.scala 218:116] + node _T_979 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_1 = and(_T_978, _T_979) @[lsu_stbuf.scala 218:137] + node _T_980 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_981 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 218:133] + node _T_982 = and(_T_980, _T_981) @[lsu_stbuf.scala 218:116] + node _T_983 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_2 = and(_T_982, _T_983) @[lsu_stbuf.scala 218:137] + node _T_984 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 218:112] + node _T_985 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 218:133] + node _T_986 = and(_T_984, _T_985) @[lsu_stbuf.scala 218:116] + node _T_987 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 218:148] + node stbuf_fwdbyteenvec_lo_3_3 = and(_T_986, _T_987) @[lsu_stbuf.scala 218:137] + node _T_988 = or(stbuf_fwdbyteenvec_hi_0_0, stbuf_fwdbyteenvec_hi_1_0) @[lsu_stbuf.scala 219:147] + node _T_989 = or(_T_988, stbuf_fwdbyteenvec_hi_2_0) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_0 = or(_T_989, stbuf_fwdbyteenvec_hi_3_0) @[lsu_stbuf.scala 219:147] + node _T_990 = or(stbuf_fwdbyteenvec_hi_0_1, stbuf_fwdbyteenvec_hi_1_1) @[lsu_stbuf.scala 219:147] + node _T_991 = or(_T_990, stbuf_fwdbyteenvec_hi_2_1) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_1 = or(_T_991, stbuf_fwdbyteenvec_hi_3_1) @[lsu_stbuf.scala 219:147] + node _T_992 = or(stbuf_fwdbyteenvec_hi_0_2, stbuf_fwdbyteenvec_hi_1_2) @[lsu_stbuf.scala 219:147] + node _T_993 = or(_T_992, stbuf_fwdbyteenvec_hi_2_2) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_2 = or(_T_993, stbuf_fwdbyteenvec_hi_3_2) @[lsu_stbuf.scala 219:147] + node _T_994 = or(stbuf_fwdbyteenvec_hi_0_3, stbuf_fwdbyteenvec_hi_1_3) @[lsu_stbuf.scala 219:147] + node _T_995 = or(_T_994, stbuf_fwdbyteenvec_hi_2_3) @[lsu_stbuf.scala 219:147] + node stbuf_fwdbyteen_hi_pre_m_3 = or(_T_995, stbuf_fwdbyteenvec_hi_3_3) @[lsu_stbuf.scala 219:147] + node _T_996 = or(stbuf_fwdbyteenvec_lo_0_0, stbuf_fwdbyteenvec_lo_1_0) @[lsu_stbuf.scala 220:147] + node _T_997 = or(_T_996, stbuf_fwdbyteenvec_lo_2_0) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_0 = or(_T_997, stbuf_fwdbyteenvec_lo_3_0) @[lsu_stbuf.scala 220:147] + node _T_998 = or(stbuf_fwdbyteenvec_lo_0_1, stbuf_fwdbyteenvec_lo_1_1) @[lsu_stbuf.scala 220:147] + node _T_999 = or(_T_998, stbuf_fwdbyteenvec_lo_2_1) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_1 = or(_T_999, stbuf_fwdbyteenvec_lo_3_1) @[lsu_stbuf.scala 220:147] + node _T_1000 = or(stbuf_fwdbyteenvec_lo_0_2, stbuf_fwdbyteenvec_lo_1_2) @[lsu_stbuf.scala 220:147] + node _T_1001 = or(_T_1000, stbuf_fwdbyteenvec_lo_2_2) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_2 = or(_T_1001, stbuf_fwdbyteenvec_lo_3_2) @[lsu_stbuf.scala 220:147] + node _T_1002 = or(stbuf_fwdbyteenvec_lo_0_3, stbuf_fwdbyteenvec_lo_1_3) @[lsu_stbuf.scala 220:147] + node _T_1003 = or(_T_1002, stbuf_fwdbyteenvec_lo_2_3) @[lsu_stbuf.scala 220:147] + node stbuf_fwdbyteen_lo_pre_m_3 = or(_T_1003, stbuf_fwdbyteenvec_lo_3_3) @[lsu_stbuf.scala 220:147] + node _T_1004 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 222:92] + node _T_1005 = bits(_T_1004, 0, 0) @[Bitwise.scala 72:15] + node _T_1006 = mux(_T_1005, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1007 = and(_T_1006, stbuf_data[0]) @[lsu_stbuf.scala 222:97] + node _T_1008 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 222:92] + node _T_1009 = bits(_T_1008, 0, 0) @[Bitwise.scala 72:15] + node _T_1010 = mux(_T_1009, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1011 = and(_T_1010, stbuf_data[1]) @[lsu_stbuf.scala 222:97] + node _T_1012 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 222:92] + node _T_1013 = bits(_T_1012, 0, 0) @[Bitwise.scala 72:15] + node _T_1014 = mux(_T_1013, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1015 = and(_T_1014, stbuf_data[2]) @[lsu_stbuf.scala 222:97] + node _T_1016 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 222:92] + node _T_1017 = bits(_T_1016, 0, 0) @[Bitwise.scala 72:15] + node _T_1018 = mux(_T_1017, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1019 = and(_T_1018, stbuf_data[3]) @[lsu_stbuf.scala 222:97] + wire _T_1020 : UInt<32>[4] @[lsu_stbuf.scala 222:65] + _T_1020[0] <= _T_1007 @[lsu_stbuf.scala 222:65] + _T_1020[1] <= _T_1011 @[lsu_stbuf.scala 222:65] + _T_1020[2] <= _T_1015 @[lsu_stbuf.scala 222:65] + _T_1020[3] <= _T_1019 @[lsu_stbuf.scala 222:65] + node _T_1021 = or(_T_1020[3], _T_1020[2]) @[lsu_stbuf.scala 222:130] + node _T_1022 = or(_T_1021, _T_1020[1]) @[lsu_stbuf.scala 222:130] + node stbuf_fwddata_hi_pre_m = or(_T_1022, _T_1020[0]) @[lsu_stbuf.scala 222:130] + node _T_1023 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 223:92] + node _T_1024 = bits(_T_1023, 0, 0) @[Bitwise.scala 72:15] + node _T_1025 = mux(_T_1024, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1026 = and(_T_1025, stbuf_data[0]) @[lsu_stbuf.scala 223:97] + node _T_1027 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 223:92] + node _T_1028 = bits(_T_1027, 0, 0) @[Bitwise.scala 72:15] + node _T_1029 = mux(_T_1028, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1030 = and(_T_1029, stbuf_data[1]) @[lsu_stbuf.scala 223:97] + node _T_1031 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 223:92] + node _T_1032 = bits(_T_1031, 0, 0) @[Bitwise.scala 72:15] + node _T_1033 = mux(_T_1032, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1034 = and(_T_1033, stbuf_data[2]) @[lsu_stbuf.scala 223:97] + node _T_1035 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 223:92] + node _T_1036 = bits(_T_1035, 0, 0) @[Bitwise.scala 72:15] + node _T_1037 = mux(_T_1036, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1038 = and(_T_1037, stbuf_data[3]) @[lsu_stbuf.scala 223:97] + wire _T_1039 : UInt<32>[4] @[lsu_stbuf.scala 223:65] + _T_1039[0] <= _T_1026 @[lsu_stbuf.scala 223:65] + _T_1039[1] <= _T_1030 @[lsu_stbuf.scala 223:65] + _T_1039[2] <= _T_1034 @[lsu_stbuf.scala 223:65] + _T_1039[3] <= _T_1038 @[lsu_stbuf.scala 223:65] + node _T_1040 = or(_T_1039[3], _T_1039[2]) @[lsu_stbuf.scala 223:130] + node _T_1041 = or(_T_1040, _T_1039[1]) @[lsu_stbuf.scala 223:130] + node stbuf_fwddata_lo_pre_m = or(_T_1041, _T_1039[0]) @[lsu_stbuf.scala 223:130] + node _T_1042 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 226:54] + node _T_1043 = dshl(ldst_byteen_r, _T_1042) @[lsu_stbuf.scala 226:38] + ldst_byteen_ext_r <= _T_1043 @[lsu_stbuf.scala 226:21] + node ldst_byteen_hi_r = bits(ldst_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 227:43] + node ldst_byteen_lo_r = bits(ldst_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 228:43] + node _T_1044 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 230:42] + node _T_1045 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 230:66] + node _T_1046 = eq(_T_1044, _T_1045) @[lsu_stbuf.scala 230:49] + node _T_1047 = and(_T_1046, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 230:74] + node _T_1048 = and(_T_1047, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 230:95] + node _T_1049 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 230:123] + node ld_addr_rhit_lo_lo = and(_T_1048, _T_1049) @[lsu_stbuf.scala 230:121] + node _T_1050 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 231:42] + node _T_1051 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 231:66] + node _T_1052 = eq(_T_1050, _T_1051) @[lsu_stbuf.scala 231:49] + node _T_1053 = and(_T_1052, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 231:74] + node _T_1054 = and(_T_1053, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 231:95] + node _T_1055 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 231:123] + node ld_addr_rhit_lo_hi = and(_T_1054, _T_1055) @[lsu_stbuf.scala 231:121] + node _T_1056 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 232:42] + node _T_1057 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 232:66] + node _T_1058 = eq(_T_1056, _T_1057) @[lsu_stbuf.scala 232:49] + node _T_1059 = and(_T_1058, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 232:74] + node _T_1060 = and(_T_1059, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 232:95] + node _T_1061 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 232:123] + node _T_1062 = and(_T_1060, _T_1061) @[lsu_stbuf.scala 232:121] + node ld_addr_rhit_hi_lo = and(_T_1062, dual_stbuf_write_r) @[lsu_stbuf.scala 232:146] + node _T_1063 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 233:42] + node _T_1064 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 233:66] + node _T_1065 = eq(_T_1063, _T_1064) @[lsu_stbuf.scala 233:49] + node _T_1066 = and(_T_1065, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 233:74] + node _T_1067 = and(_T_1066, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 233:95] + node _T_1068 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 233:123] + node _T_1069 = and(_T_1067, _T_1068) @[lsu_stbuf.scala 233:121] + node ld_addr_rhit_hi_hi = and(_T_1069, dual_stbuf_write_r) @[lsu_stbuf.scala 233:146] + node _T_1070 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 235:97] + node _T_1071 = and(ld_addr_rhit_lo_lo, _T_1070) @[lsu_stbuf.scala 235:79] + node _T_1072 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 235:97] + node _T_1073 = and(ld_addr_rhit_lo_lo, _T_1072) @[lsu_stbuf.scala 235:79] + node _T_1074 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 235:97] + node _T_1075 = and(ld_addr_rhit_lo_lo, _T_1074) @[lsu_stbuf.scala 235:79] + node _T_1076 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 235:97] + node _T_1077 = and(ld_addr_rhit_lo_lo, _T_1076) @[lsu_stbuf.scala 235:79] + node _T_1078 = cat(_T_1077, _T_1075) @[Cat.scala 29:58] + node _T_1079 = cat(_T_1078, _T_1073) @[Cat.scala 29:58] + node _T_1080 = cat(_T_1079, _T_1071) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_1080 @[lsu_stbuf.scala 235:22] + node _T_1081 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 236:97] + node _T_1082 = and(ld_addr_rhit_lo_hi, _T_1081) @[lsu_stbuf.scala 236:79] + node _T_1083 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 236:97] + node _T_1084 = and(ld_addr_rhit_lo_hi, _T_1083) @[lsu_stbuf.scala 236:79] + node _T_1085 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 236:97] + node _T_1086 = and(ld_addr_rhit_lo_hi, _T_1085) @[lsu_stbuf.scala 236:79] + node _T_1087 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 236:97] + node _T_1088 = and(ld_addr_rhit_lo_hi, _T_1087) @[lsu_stbuf.scala 236:79] + node _T_1089 = cat(_T_1088, _T_1086) @[Cat.scala 29:58] + node _T_1090 = cat(_T_1089, _T_1084) @[Cat.scala 29:58] + node _T_1091 = cat(_T_1090, _T_1082) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_1091 @[lsu_stbuf.scala 236:22] + node _T_1092 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 237:97] + node _T_1093 = and(ld_addr_rhit_hi_lo, _T_1092) @[lsu_stbuf.scala 237:79] + node _T_1094 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 237:97] + node _T_1095 = and(ld_addr_rhit_hi_lo, _T_1094) @[lsu_stbuf.scala 237:79] + node _T_1096 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 237:97] + node _T_1097 = and(ld_addr_rhit_hi_lo, _T_1096) @[lsu_stbuf.scala 237:79] + node _T_1098 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 237:97] + node _T_1099 = and(ld_addr_rhit_hi_lo, _T_1098) @[lsu_stbuf.scala 237:79] + node _T_1100 = cat(_T_1099, _T_1097) @[Cat.scala 29:58] + node _T_1101 = cat(_T_1100, _T_1095) @[Cat.scala 29:58] + node _T_1102 = cat(_T_1101, _T_1093) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_1102 @[lsu_stbuf.scala 237:22] + node _T_1103 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 238:97] + node _T_1104 = and(ld_addr_rhit_hi_hi, _T_1103) @[lsu_stbuf.scala 238:79] + node _T_1105 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 238:97] + node _T_1106 = and(ld_addr_rhit_hi_hi, _T_1105) @[lsu_stbuf.scala 238:79] + node _T_1107 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 238:97] + node _T_1108 = and(ld_addr_rhit_hi_hi, _T_1107) @[lsu_stbuf.scala 238:79] + node _T_1109 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 238:97] + node _T_1110 = and(ld_addr_rhit_hi_hi, _T_1109) @[lsu_stbuf.scala 238:79] + node _T_1111 = cat(_T_1110, _T_1108) @[Cat.scala 29:58] + node _T_1112 = cat(_T_1111, _T_1106) @[Cat.scala 29:58] + node _T_1113 = cat(_T_1112, _T_1104) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_1113 @[lsu_stbuf.scala 238:22] + node _T_1114 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 240:75] + node _T_1115 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 240:99] + node _T_1116 = or(_T_1114, _T_1115) @[lsu_stbuf.scala 240:79] + node _T_1117 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 240:75] + node _T_1118 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 240:99] + node _T_1119 = or(_T_1117, _T_1118) @[lsu_stbuf.scala 240:79] + node _T_1120 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 240:75] + node _T_1121 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 240:99] + node _T_1122 = or(_T_1120, _T_1121) @[lsu_stbuf.scala 240:79] + node _T_1123 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 240:75] + node _T_1124 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 240:99] + node _T_1125 = or(_T_1123, _T_1124) @[lsu_stbuf.scala 240:79] + node _T_1126 = cat(_T_1125, _T_1122) @[Cat.scala 29:58] + node _T_1127 = cat(_T_1126, _T_1119) @[Cat.scala 29:58] + node _T_1128 = cat(_T_1127, _T_1116) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_1128 @[lsu_stbuf.scala 240:19] + node _T_1129 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 241:75] + node _T_1130 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 241:99] + node _T_1131 = or(_T_1129, _T_1130) @[lsu_stbuf.scala 241:79] + node _T_1132 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 241:75] + node _T_1133 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 241:99] + node _T_1134 = or(_T_1132, _T_1133) @[lsu_stbuf.scala 241:79] + node _T_1135 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 241:75] + node _T_1136 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 241:99] + node _T_1137 = or(_T_1135, _T_1136) @[lsu_stbuf.scala 241:79] + node _T_1138 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 241:75] + node _T_1139 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 241:99] + node _T_1140 = or(_T_1138, _T_1139) @[lsu_stbuf.scala 241:79] + node _T_1141 = cat(_T_1140, _T_1137) @[Cat.scala 29:58] + node _T_1142 = cat(_T_1141, _T_1134) @[Cat.scala 29:58] + node _T_1143 = cat(_T_1142, _T_1131) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_1143 @[lsu_stbuf.scala 241:19] + node _T_1144 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 243:48] + node _T_1145 = bits(_T_1144, 0, 0) @[Bitwise.scala 72:15] + node _T_1146 = mux(_T_1145, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1147 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 243:73] + node _T_1148 = and(_T_1146, _T_1147) @[lsu_stbuf.scala 243:53] + node _T_1149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 243:109] + node _T_1150 = bits(_T_1149, 0, 0) @[Bitwise.scala 72:15] + node _T_1151 = mux(_T_1150, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1152 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 243:134] + node _T_1153 = and(_T_1151, _T_1152) @[lsu_stbuf.scala 243:114] + node fwdpipe1_lo = or(_T_1148, _T_1153) @[lsu_stbuf.scala 243:80] + node _T_1154 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 244:48] + node _T_1155 = bits(_T_1154, 0, 0) @[Bitwise.scala 72:15] + node _T_1156 = mux(_T_1155, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1157 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 244:73] + node _T_1158 = and(_T_1156, _T_1157) @[lsu_stbuf.scala 244:53] + node _T_1159 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 244:110] + node _T_1160 = bits(_T_1159, 0, 0) @[Bitwise.scala 72:15] + node _T_1161 = mux(_T_1160, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1162 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 244:135] + node _T_1163 = and(_T_1161, _T_1162) @[lsu_stbuf.scala 244:115] + node fwdpipe2_lo = or(_T_1158, _T_1163) @[lsu_stbuf.scala 244:81] + node _T_1164 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 245:48] + node _T_1165 = bits(_T_1164, 0, 0) @[Bitwise.scala 72:15] + node _T_1166 = mux(_T_1165, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1167 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 245:73] + node _T_1168 = and(_T_1166, _T_1167) @[lsu_stbuf.scala 245:53] + node _T_1169 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 245:111] + node _T_1170 = bits(_T_1169, 0, 0) @[Bitwise.scala 72:15] + node _T_1171 = mux(_T_1170, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1172 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 245:136] + node _T_1173 = and(_T_1171, _T_1172) @[lsu_stbuf.scala 245:116] + node fwdpipe3_lo = or(_T_1168, _T_1173) @[lsu_stbuf.scala 245:82] + node _T_1174 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 246:48] + node _T_1175 = bits(_T_1174, 0, 0) @[Bitwise.scala 72:15] + node _T_1176 = mux(_T_1175, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1177 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 246:73] + node _T_1178 = and(_T_1176, _T_1177) @[lsu_stbuf.scala 246:53] + node _T_1179 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 246:111] + node _T_1180 = bits(_T_1179, 0, 0) @[Bitwise.scala 72:15] + node _T_1181 = mux(_T_1180, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1182 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 246:136] + node _T_1183 = and(_T_1181, _T_1182) @[lsu_stbuf.scala 246:116] + node fwdpipe4_lo = or(_T_1178, _T_1183) @[lsu_stbuf.scala 246:82] + node _T_1184 = cat(fwdpipe2_lo, fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1185 = cat(fwdpipe4_lo, fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1186 = cat(_T_1185, _T_1184) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_1186 @[lsu_stbuf.scala 247:23] + node _T_1187 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 249:48] + node _T_1188 = bits(_T_1187, 0, 0) @[Bitwise.scala 72:15] + node _T_1189 = mux(_T_1188, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1190 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 249:73] + node _T_1191 = and(_T_1189, _T_1190) @[lsu_stbuf.scala 249:53] + node _T_1192 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 249:109] + node _T_1193 = bits(_T_1192, 0, 0) @[Bitwise.scala 72:15] + node _T_1194 = mux(_T_1193, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1195 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 249:134] + node _T_1196 = and(_T_1194, _T_1195) @[lsu_stbuf.scala 249:114] + node fwdpipe1_hi = or(_T_1191, _T_1196) @[lsu_stbuf.scala 249:80] + node _T_1197 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 250:48] + node _T_1198 = bits(_T_1197, 0, 0) @[Bitwise.scala 72:15] + node _T_1199 = mux(_T_1198, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1200 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 250:73] + node _T_1201 = and(_T_1199, _T_1200) @[lsu_stbuf.scala 250:53] + node _T_1202 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 250:110] + node _T_1203 = bits(_T_1202, 0, 0) @[Bitwise.scala 72:15] + node _T_1204 = mux(_T_1203, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1205 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 250:135] + node _T_1206 = and(_T_1204, _T_1205) @[lsu_stbuf.scala 250:115] + node fwdpipe2_hi = or(_T_1201, _T_1206) @[lsu_stbuf.scala 250:81] + node _T_1207 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 251:48] + node _T_1208 = bits(_T_1207, 0, 0) @[Bitwise.scala 72:15] + node _T_1209 = mux(_T_1208, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1210 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 251:73] + node _T_1211 = and(_T_1209, _T_1210) @[lsu_stbuf.scala 251:53] + node _T_1212 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 251:111] + node _T_1213 = bits(_T_1212, 0, 0) @[Bitwise.scala 72:15] + node _T_1214 = mux(_T_1213, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1215 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 251:136] + node _T_1216 = and(_T_1214, _T_1215) @[lsu_stbuf.scala 251:116] + node fwdpipe3_hi = or(_T_1211, _T_1216) @[lsu_stbuf.scala 251:82] + node _T_1217 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 252:48] + node _T_1218 = bits(_T_1217, 0, 0) @[Bitwise.scala 72:15] + node _T_1219 = mux(_T_1218, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1220 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 252:73] + node _T_1221 = and(_T_1219, _T_1220) @[lsu_stbuf.scala 252:53] + node _T_1222 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 252:111] + node _T_1223 = bits(_T_1222, 0, 0) @[Bitwise.scala 72:15] + node _T_1224 = mux(_T_1223, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1225 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 252:136] + node _T_1226 = and(_T_1224, _T_1225) @[lsu_stbuf.scala 252:116] + node fwdpipe4_hi = or(_T_1221, _T_1226) @[lsu_stbuf.scala 252:82] + node _T_1227 = cat(fwdpipe2_hi, fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1228 = cat(fwdpipe4_hi, fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1229 = cat(_T_1228, _T_1227) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_1229 @[lsu_stbuf.scala 253:23] + node _T_1230 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 255:74] + node _T_1231 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 255:98] + node _T_1232 = or(_T_1230, _T_1231) @[lsu_stbuf.scala 255:78] + node _T_1233 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 255:74] + node _T_1234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 255:98] + node _T_1235 = or(_T_1233, _T_1234) @[lsu_stbuf.scala 255:78] + node _T_1236 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 255:74] + node _T_1237 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 255:98] + node _T_1238 = or(_T_1236, _T_1237) @[lsu_stbuf.scala 255:78] + node _T_1239 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 255:74] + node _T_1240 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 255:98] + node _T_1241 = or(_T_1239, _T_1240) @[lsu_stbuf.scala 255:78] + node _T_1242 = cat(_T_1241, _T_1238) @[Cat.scala 29:58] + node _T_1243 = cat(_T_1242, _T_1235) @[Cat.scala 29:58] + node _T_1244 = cat(_T_1243, _T_1232) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_1244 @[lsu_stbuf.scala 255:18] + node _T_1245 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 256:74] + node _T_1246 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 256:98] + node _T_1247 = or(_T_1245, _T_1246) @[lsu_stbuf.scala 256:78] + node _T_1248 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 256:74] + node _T_1249 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 256:98] + node _T_1250 = or(_T_1248, _T_1249) @[lsu_stbuf.scala 256:78] + node _T_1251 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 256:74] + node _T_1252 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 256:98] + node _T_1253 = or(_T_1251, _T_1252) @[lsu_stbuf.scala 256:78] + node _T_1254 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 256:74] + node _T_1255 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 256:98] + node _T_1256 = or(_T_1254, _T_1255) @[lsu_stbuf.scala 256:78] + node _T_1257 = cat(_T_1256, _T_1253) @[Cat.scala 29:58] + node _T_1258 = cat(_T_1257, _T_1250) @[Cat.scala 29:58] + node _T_1259 = cat(_T_1258, _T_1247) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_1259 @[lsu_stbuf.scala 256:18] + node _T_1260 = bits(ld_byte_hit_hi, 0, 0) @[lsu_stbuf.scala 258:79] + node _T_1261 = or(_T_1260, stbuf_fwdbyteen_hi_pre_m_0) @[lsu_stbuf.scala 258:83] + node _T_1262 = bits(ld_byte_hit_hi, 1, 1) @[lsu_stbuf.scala 258:79] + node _T_1263 = or(_T_1262, stbuf_fwdbyteen_hi_pre_m_1) @[lsu_stbuf.scala 258:83] + node _T_1264 = bits(ld_byte_hit_hi, 2, 2) @[lsu_stbuf.scala 258:79] + node _T_1265 = or(_T_1264, stbuf_fwdbyteen_hi_pre_m_2) @[lsu_stbuf.scala 258:83] + node _T_1266 = bits(ld_byte_hit_hi, 3, 3) @[lsu_stbuf.scala 258:79] + node _T_1267 = or(_T_1266, stbuf_fwdbyteen_hi_pre_m_3) @[lsu_stbuf.scala 258:83] + node _T_1268 = cat(_T_1267, _T_1265) @[Cat.scala 29:58] + node _T_1269 = cat(_T_1268, _T_1263) @[Cat.scala 29:58] + node _T_1270 = cat(_T_1269, _T_1261) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_hi_m <= _T_1270 @[lsu_stbuf.scala 258:27] + node _T_1271 = bits(ld_byte_hit_lo, 0, 0) @[lsu_stbuf.scala 259:79] + node _T_1272 = or(_T_1271, stbuf_fwdbyteen_lo_pre_m_0) @[lsu_stbuf.scala 259:83] + node _T_1273 = bits(ld_byte_hit_lo, 1, 1) @[lsu_stbuf.scala 259:79] + node _T_1274 = or(_T_1273, stbuf_fwdbyteen_lo_pre_m_1) @[lsu_stbuf.scala 259:83] + node _T_1275 = bits(ld_byte_hit_lo, 2, 2) @[lsu_stbuf.scala 259:79] + node _T_1276 = or(_T_1275, stbuf_fwdbyteen_lo_pre_m_2) @[lsu_stbuf.scala 259:83] + node _T_1277 = bits(ld_byte_hit_lo, 3, 3) @[lsu_stbuf.scala 259:79] + node _T_1278 = or(_T_1277, stbuf_fwdbyteen_lo_pre_m_3) @[lsu_stbuf.scala 259:83] + node _T_1279 = cat(_T_1278, _T_1276) @[Cat.scala 29:58] + node _T_1280 = cat(_T_1279, _T_1274) @[Cat.scala 29:58] + node _T_1281 = cat(_T_1280, _T_1272) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_lo_m <= _T_1281 @[lsu_stbuf.scala 259:27] + node _T_1282 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_stbuf.scala 262:46] + node _T_1283 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_stbuf.scala 262:69] + node _T_1284 = bits(stbuf_fwddata_lo_pre_m, 7, 0) @[lsu_stbuf.scala 262:97] + node stbuf_fwdpipe1_lo = mux(_T_1282, _T_1283, _T_1284) @[lsu_stbuf.scala 262:30] + node _T_1285 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_stbuf.scala 263:46] + node _T_1286 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_stbuf.scala 263:69] + node _T_1287 = bits(stbuf_fwddata_lo_pre_m, 15, 8) @[lsu_stbuf.scala 263:98] + node stbuf_fwdpipe2_lo = mux(_T_1285, _T_1286, _T_1287) @[lsu_stbuf.scala 263:30] + node _T_1288 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_stbuf.scala 264:46] + node _T_1289 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_stbuf.scala 264:69] + node _T_1290 = bits(stbuf_fwddata_lo_pre_m, 23, 16) @[lsu_stbuf.scala 264:99] + node stbuf_fwdpipe3_lo = mux(_T_1288, _T_1289, _T_1290) @[lsu_stbuf.scala 264:30] + node _T_1291 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_stbuf.scala 265:46] + node _T_1292 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_stbuf.scala 265:69] + node _T_1293 = bits(stbuf_fwddata_lo_pre_m, 31, 24) @[lsu_stbuf.scala 265:99] + node stbuf_fwdpipe4_lo = mux(_T_1291, _T_1292, _T_1293) @[lsu_stbuf.scala 265:30] + node _T_1294 = cat(stbuf_fwdpipe2_lo, stbuf_fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1295 = cat(stbuf_fwdpipe4_lo, stbuf_fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1296 = cat(_T_1295, _T_1294) @[Cat.scala 29:58] + io.stbuf_fwddata_lo_m <= _T_1296 @[lsu_stbuf.scala 266:25] + node _T_1297 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_stbuf.scala 268:46] + node _T_1298 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_stbuf.scala 268:69] + node _T_1299 = bits(stbuf_fwddata_hi_pre_m, 7, 0) @[lsu_stbuf.scala 268:97] + node stbuf_fwdpipe1_hi = mux(_T_1297, _T_1298, _T_1299) @[lsu_stbuf.scala 268:30] + node _T_1300 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_stbuf.scala 269:46] + node _T_1301 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_stbuf.scala 269:69] + node _T_1302 = bits(stbuf_fwddata_hi_pre_m, 15, 8) @[lsu_stbuf.scala 269:98] + node stbuf_fwdpipe2_hi = mux(_T_1300, _T_1301, _T_1302) @[lsu_stbuf.scala 269:30] + node _T_1303 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_stbuf.scala 270:46] + node _T_1304 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_stbuf.scala 270:69] + node _T_1305 = bits(stbuf_fwddata_hi_pre_m, 23, 16) @[lsu_stbuf.scala 270:99] + node stbuf_fwdpipe3_hi = mux(_T_1303, _T_1304, _T_1305) @[lsu_stbuf.scala 270:30] + node _T_1306 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_stbuf.scala 271:46] + node _T_1307 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_stbuf.scala 271:69] + node _T_1308 = bits(stbuf_fwddata_hi_pre_m, 31, 24) @[lsu_stbuf.scala 271:99] + node stbuf_fwdpipe4_hi = mux(_T_1306, _T_1307, _T_1308) @[lsu_stbuf.scala 271:30] + node _T_1309 = cat(stbuf_fwdpipe2_hi, stbuf_fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1310 = cat(stbuf_fwdpipe4_hi, stbuf_fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1311 = cat(_T_1310, _T_1309) @[Cat.scala 29:58] + io.stbuf_fwddata_hi_m <= _T_1311 @[lsu_stbuf.scala 272:25] + diff --git a/lsu_stbuf.v b/lsu_stbuf.v new file mode 100644 index 00000000..37e85daa --- /dev/null +++ b/lsu_stbuf.v @@ -0,0 +1,1174 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_stbuf( + input clock, + input reset, + input io_lsu_stbuf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input io_store_stbuf_reqvld_r, + input io_lsu_commit_r, + input io_dec_lsu_valid_raw_d, + input [31:0] io_store_data_hi_r, + input [31:0] io_store_data_lo_r, + input [31:0] io_store_datafn_hi_r, + input [31:0] io_store_datafn_lo_r, + input io_lsu_stbuf_commit_any, + input [15:0] io_lsu_addr_d, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_lsu_cmpen_m, + input io_scan_mode, + output io_stbuf_reqvld_any, + output io_stbuf_reqvld_flushed_any, + output [15:0] io_stbuf_addr_any, + output [31:0] io_stbuf_data_any, + output io_lsu_stbuf_full_any, + output io_lsu_stbuf_empty_any, + output io_ldst_stbuf_reqvld_r, + output [31:0] io_stbuf_fwddata_hi_m, + output [31:0] io_stbuf_fwddata_lo_m, + output [3:0] io_stbuf_fwdbyteen_hi_m, + output [3:0] io_stbuf_fwdbyteen_lo_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire [1:0] _T_5 = io_lsu_pkt_r_bits_half ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [7:0] _T_7 = io_lsu_pkt_r_bits_dword ? 8'hff : 8'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_10 = {{1'd0}, io_lsu_pkt_r_bits_by}; // @[Mux.scala 27:72] + wire [1:0] _T_8 = _GEN_10 | _T_5; // @[Mux.scala 27:72] + wire [3:0] _GEN_11 = {{2'd0}, _T_8}; // @[Mux.scala 27:72] + wire [3:0] _T_9 = _GEN_11 | _T_6; // @[Mux.scala 27:72] + wire [7:0] _GEN_12 = {{4'd0}, _T_9}; // @[Mux.scala 27:72] + wire [7:0] ldst_byteen_r = _GEN_12 | _T_7; // @[Mux.scala 27:72] + wire dual_stbuf_write_r = io_ldst_dual_r & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 115:43] + wire [10:0] _GEN_13 = {{3'd0}, ldst_byteen_r}; // @[lsu_stbuf.scala 117:39] + wire [10:0] _T_12 = _GEN_13 << io_lsu_addr_r[1:0]; // @[lsu_stbuf.scala 117:39] + wire [7:0] store_byteen_ext_r = _T_12[7:0]; // @[lsu_stbuf.scala 117:22] + wire [3:0] _T_15 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] store_byteen_hi_r = store_byteen_ext_r[7:4] & _T_15; // @[lsu_stbuf.scala 118:52] + wire [3:0] store_byteen_lo_r = store_byteen_ext_r[3:0] & _T_15; // @[lsu_stbuf.scala 119:52] + reg [1:0] RdPtr; // @[Reg.scala 27:20] + wire [1:0] RdPtrPlus1 = RdPtr + 2'h1; // @[lsu_stbuf.scala 121:26] + reg [1:0] WrPtr; // @[Reg.scala 27:20] + wire [1:0] WrPtrPlus1 = WrPtr + 2'h1; // @[lsu_stbuf.scala 122:26] + wire [1:0] WrPtrPlus2 = WrPtr + 2'h2; // @[lsu_stbuf.scala 123:26] + wire _T_22 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_stbuf.scala 125:46] + reg [15:0] stbuf_addr_0; // @[lib.scala 374:16] + wire _T_26 = stbuf_addr_0[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + reg _T_587; // @[lsu_stbuf.scala 160:14] + reg _T_579; // @[lsu_stbuf.scala 160:14] + reg _T_571; // @[lsu_stbuf.scala 160:14] + reg _T_563; // @[lsu_stbuf.scala 160:14] + wire [3:0] stbuf_vld = {_T_587,_T_579,_T_571,_T_563}; // @[Cat.scala 29:58] + wire _T_28 = _T_26 & stbuf_vld[0]; // @[lsu_stbuf.scala 127:179] + reg _T_622; // @[lsu_stbuf.scala 163:14] + reg _T_614; // @[lsu_stbuf.scala 163:14] + reg _T_606; // @[lsu_stbuf.scala 163:14] + reg _T_598; // @[lsu_stbuf.scala 163:14] + wire [3:0] stbuf_dma_kill = {_T_622,_T_614,_T_606,_T_598}; // @[Cat.scala 29:58] + wire _T_30 = ~stbuf_dma_kill[0]; // @[lsu_stbuf.scala 127:197] + wire _T_31 = _T_28 & _T_30; // @[lsu_stbuf.scala 127:195] + wire _T_211 = io_lsu_stbuf_commit_any | io_stbuf_reqvld_flushed_any; // @[lsu_stbuf.scala 138:81] + wire _T_212 = 2'h3 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_214 = _T_211 & _T_212; // @[lsu_stbuf.scala 138:112] + wire _T_208 = 2'h2 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_210 = _T_211 & _T_208; // @[lsu_stbuf.scala 138:112] + wire _T_204 = 2'h1 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_206 = _T_211 & _T_204; // @[lsu_stbuf.scala 138:112] + wire _T_200 = 2'h0 == RdPtr; // @[lsu_stbuf.scala 138:124] + wire _T_202 = _T_211 & _T_200; // @[lsu_stbuf.scala 138:112] + wire [3:0] stbuf_reset = {_T_214,_T_210,_T_206,_T_202}; // @[Cat.scala 29:58] + wire _T_33 = ~stbuf_reset[0]; // @[lsu_stbuf.scala 127:218] + wire _T_34 = _T_31 & _T_33; // @[lsu_stbuf.scala 127:216] + reg [15:0] stbuf_addr_1; // @[lib.scala 374:16] + wire _T_37 = stbuf_addr_1[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + wire _T_39 = _T_37 & stbuf_vld[1]; // @[lsu_stbuf.scala 127:179] + wire _T_41 = ~stbuf_dma_kill[1]; // @[lsu_stbuf.scala 127:197] + wire _T_42 = _T_39 & _T_41; // @[lsu_stbuf.scala 127:195] + wire _T_44 = ~stbuf_reset[1]; // @[lsu_stbuf.scala 127:218] + wire _T_45 = _T_42 & _T_44; // @[lsu_stbuf.scala 127:216] + reg [15:0] stbuf_addr_2; // @[lib.scala 374:16] + wire _T_48 = stbuf_addr_2[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + wire _T_50 = _T_48 & stbuf_vld[2]; // @[lsu_stbuf.scala 127:179] + wire _T_52 = ~stbuf_dma_kill[2]; // @[lsu_stbuf.scala 127:197] + wire _T_53 = _T_50 & _T_52; // @[lsu_stbuf.scala 127:195] + wire _T_55 = ~stbuf_reset[2]; // @[lsu_stbuf.scala 127:218] + wire _T_56 = _T_53 & _T_55; // @[lsu_stbuf.scala 127:216] + reg [15:0] stbuf_addr_3; // @[lib.scala 374:16] + wire _T_59 = stbuf_addr_3[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 127:120] + wire _T_61 = _T_59 & stbuf_vld[3]; // @[lsu_stbuf.scala 127:179] + wire _T_63 = ~stbuf_dma_kill[3]; // @[lsu_stbuf.scala 127:197] + wire _T_64 = _T_61 & _T_63; // @[lsu_stbuf.scala 127:195] + wire _T_66 = ~stbuf_reset[3]; // @[lsu_stbuf.scala 127:218] + wire _T_67 = _T_64 & _T_66; // @[lsu_stbuf.scala 127:216] + wire [3:0] store_matchvec_lo_r = {_T_67,_T_56,_T_45,_T_34}; // @[Cat.scala 29:58] + wire _T_72 = stbuf_addr_0[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_74 = _T_72 & stbuf_vld[0]; // @[lsu_stbuf.scala 128:179] + wire _T_77 = _T_74 & _T_30; // @[lsu_stbuf.scala 128:194] + wire _T_78 = _T_77 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_81 = _T_78 & _T_33; // @[lsu_stbuf.scala 128:236] + wire _T_84 = stbuf_addr_1[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_86 = _T_84 & stbuf_vld[1]; // @[lsu_stbuf.scala 128:179] + wire _T_89 = _T_86 & _T_41; // @[lsu_stbuf.scala 128:194] + wire _T_90 = _T_89 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_93 = _T_90 & _T_44; // @[lsu_stbuf.scala 128:236] + wire _T_96 = stbuf_addr_2[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_98 = _T_96 & stbuf_vld[2]; // @[lsu_stbuf.scala 128:179] + wire _T_101 = _T_98 & _T_52; // @[lsu_stbuf.scala 128:194] + wire _T_102 = _T_101 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_105 = _T_102 & _T_55; // @[lsu_stbuf.scala 128:236] + wire _T_108 = stbuf_addr_3[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 128:120] + wire _T_110 = _T_108 & stbuf_vld[3]; // @[lsu_stbuf.scala 128:179] + wire _T_113 = _T_110 & _T_63; // @[lsu_stbuf.scala 128:194] + wire _T_114 = _T_113 & dual_stbuf_write_r; // @[lsu_stbuf.scala 128:215] + wire _T_117 = _T_114 & _T_66; // @[lsu_stbuf.scala 128:236] + wire [3:0] store_matchvec_hi_r = {_T_117,_T_105,_T_93,_T_81}; // @[Cat.scala 29:58] + wire store_coalesce_lo_r = |store_matchvec_lo_r; // @[lsu_stbuf.scala 130:49] + wire store_coalesce_hi_r = |store_matchvec_hi_r; // @[lsu_stbuf.scala 131:49] + wire _T_120 = 2'h0 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_121 = ~store_coalesce_lo_r; // @[lsu_stbuf.scala 134:31] + wire _T_122 = _T_120 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_124 = _T_120 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_125 = ~store_coalesce_hi_r; // @[lsu_stbuf.scala 135:54] + wire _T_126 = _T_124 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_127 = _T_122 | _T_126; // @[lsu_stbuf.scala 134:53] + wire _T_128 = 2'h0 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_129 = _T_128 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_130 = store_coalesce_lo_r | store_coalesce_hi_r; // @[lsu_stbuf.scala 136:81] + wire _T_131 = ~_T_130; // @[lsu_stbuf.scala 136:59] + wire _T_132 = _T_129 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_133 = _T_127 | _T_132; // @[lsu_stbuf.scala 135:76] + wire _T_135 = _T_133 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 136:105] + wire _T_137 = _T_135 | store_matchvec_hi_r[0]; // @[lsu_stbuf.scala 137:32] + wire _T_138 = io_ldst_stbuf_reqvld_r & _T_137; // @[lsu_stbuf.scala 133:79] + wire _T_139 = 2'h1 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_141 = _T_139 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_143 = _T_139 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_145 = _T_143 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_146 = _T_141 | _T_145; // @[lsu_stbuf.scala 134:53] + wire _T_147 = 2'h1 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_148 = _T_147 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_151 = _T_148 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_152 = _T_146 | _T_151; // @[lsu_stbuf.scala 135:76] + wire _T_154 = _T_152 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 136:105] + wire _T_156 = _T_154 | store_matchvec_hi_r[1]; // @[lsu_stbuf.scala 137:32] + wire _T_157 = io_ldst_stbuf_reqvld_r & _T_156; // @[lsu_stbuf.scala 133:79] + wire _T_158 = 2'h2 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_160 = _T_158 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_162 = _T_158 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_164 = _T_162 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_165 = _T_160 | _T_164; // @[lsu_stbuf.scala 134:53] + wire _T_166 = 2'h2 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_167 = _T_166 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_170 = _T_167 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_171 = _T_165 | _T_170; // @[lsu_stbuf.scala 135:76] + wire _T_173 = _T_171 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 136:105] + wire _T_175 = _T_173 | store_matchvec_hi_r[2]; // @[lsu_stbuf.scala 137:32] + wire _T_176 = io_ldst_stbuf_reqvld_r & _T_175; // @[lsu_stbuf.scala 133:79] + wire _T_177 = 2'h3 == WrPtr; // @[lsu_stbuf.scala 134:18] + wire _T_179 = _T_177 & _T_121; // @[lsu_stbuf.scala 134:29] + wire _T_181 = _T_177 & dual_stbuf_write_r; // @[lsu_stbuf.scala 135:31] + wire _T_183 = _T_181 & _T_125; // @[lsu_stbuf.scala 135:52] + wire _T_184 = _T_179 | _T_183; // @[lsu_stbuf.scala 134:53] + wire _T_185 = 2'h3 == WrPtrPlus1; // @[lsu_stbuf.scala 136:20] + wire _T_186 = _T_185 & dual_stbuf_write_r; // @[lsu_stbuf.scala 136:36] + wire _T_189 = _T_186 & _T_131; // @[lsu_stbuf.scala 136:57] + wire _T_190 = _T_184 | _T_189; // @[lsu_stbuf.scala 135:76] + wire _T_192 = _T_190 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 136:105] + wire _T_194 = _T_192 | store_matchvec_hi_r[3]; // @[lsu_stbuf.scala 137:32] + wire _T_195 = io_ldst_stbuf_reqvld_r & _T_194; // @[lsu_stbuf.scala 133:79] + wire [3:0] stbuf_wr_en = {_T_195,_T_176,_T_157,_T_138}; // @[Cat.scala 29:58] + wire _T_218 = ~io_ldst_dual_r; // @[lsu_stbuf.scala 139:56] + wire _T_219 = _T_218 | io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 139:72] + wire _T_222 = _T_219 & _T_120; // @[lsu_stbuf.scala 139:99] + wire _T_224 = _T_222 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_226 = _T_224 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 139:153] + wire _T_231 = _T_219 & _T_139; // @[lsu_stbuf.scala 139:99] + wire _T_233 = _T_231 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_235 = _T_233 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 139:153] + wire _T_240 = _T_219 & _T_158; // @[lsu_stbuf.scala 139:99] + wire _T_242 = _T_240 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_244 = _T_242 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 139:153] + wire _T_249 = _T_219 & _T_177; // @[lsu_stbuf.scala 139:99] + wire _T_251 = _T_249 & _T_121; // @[lsu_stbuf.scala 139:129] + wire _T_253 = _T_251 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 139:153] + wire [3:0] sel_lo = {_T_253,_T_244,_T_235,_T_226}; // @[Cat.scala 29:58] + reg [3:0] stbuf_byteen_0; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_273 = stbuf_byteen_0 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_274 = stbuf_byteen_0 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_0 = sel_lo[0] ? _T_273 : _T_274; // @[lsu_stbuf.scala 142:61] + reg [3:0] stbuf_byteen_1; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_277 = stbuf_byteen_1 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_278 = stbuf_byteen_1 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_1 = sel_lo[1] ? _T_277 : _T_278; // @[lsu_stbuf.scala 142:61] + reg [3:0] stbuf_byteen_2; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_281 = stbuf_byteen_2 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_282 = stbuf_byteen_2 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_2 = sel_lo[2] ? _T_281 : _T_282; // @[lsu_stbuf.scala 142:61] + reg [3:0] stbuf_byteen_3; // @[lsu_stbuf.scala 166:14] + wire [3:0] _T_285 = stbuf_byteen_3 | store_byteen_lo_r; // @[lsu_stbuf.scala 142:89] + wire [3:0] _T_286 = stbuf_byteen_3 | store_byteen_hi_r; // @[lsu_stbuf.scala 142:126] + wire [3:0] stbuf_byteenin_3 = sel_lo[3] ? _T_285 : _T_286; // @[lsu_stbuf.scala 142:61] + wire _T_290 = ~stbuf_byteen_0[0]; // @[lsu_stbuf.scala 144:70] + wire _T_292 = _T_290 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_295 = _T_292 ? io_store_datafn_lo_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_299 = _T_290 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_302 = _T_299 ? io_store_datafn_hi_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_0 = sel_lo[0] ? _T_295 : _T_302; // @[lsu_stbuf.scala 144:54] + wire _T_306 = ~stbuf_byteen_1[0]; // @[lsu_stbuf.scala 144:70] + wire _T_308 = _T_306 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_311 = _T_308 ? io_store_datafn_lo_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_315 = _T_306 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_318 = _T_315 ? io_store_datafn_hi_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_1 = sel_lo[1] ? _T_311 : _T_318; // @[lsu_stbuf.scala 144:54] + wire _T_322 = ~stbuf_byteen_2[0]; // @[lsu_stbuf.scala 144:70] + wire _T_324 = _T_322 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_327 = _T_324 ? io_store_datafn_lo_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_331 = _T_322 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_334 = _T_331 ? io_store_datafn_hi_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_2 = sel_lo[2] ? _T_327 : _T_334; // @[lsu_stbuf.scala 144:54] + wire _T_338 = ~stbuf_byteen_3[0]; // @[lsu_stbuf.scala 144:70] + wire _T_340 = _T_338 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 144:90] + reg [31:0] stbuf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_343 = _T_340 ? io_store_datafn_lo_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 144:69] + wire _T_347 = _T_338 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 145:31] + wire [7:0] _T_350 = _T_347 ? io_store_datafn_hi_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 145:10] + wire [7:0] datain1_3 = sel_lo[3] ? _T_343 : _T_350; // @[lsu_stbuf.scala 144:54] + wire _T_354 = ~stbuf_byteen_0[1]; // @[lsu_stbuf.scala 147:70] + wire _T_356 = _T_354 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_359 = _T_356 ? io_store_datafn_lo_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_363 = _T_354 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_366 = _T_363 ? io_store_datafn_hi_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_0 = sel_lo[0] ? _T_359 : _T_366; // @[lsu_stbuf.scala 147:54] + wire _T_370 = ~stbuf_byteen_1[1]; // @[lsu_stbuf.scala 147:70] + wire _T_372 = _T_370 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_375 = _T_372 ? io_store_datafn_lo_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_379 = _T_370 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_382 = _T_379 ? io_store_datafn_hi_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_1 = sel_lo[1] ? _T_375 : _T_382; // @[lsu_stbuf.scala 147:54] + wire _T_386 = ~stbuf_byteen_2[1]; // @[lsu_stbuf.scala 147:70] + wire _T_388 = _T_386 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_391 = _T_388 ? io_store_datafn_lo_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_395 = _T_386 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_398 = _T_395 ? io_store_datafn_hi_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_2 = sel_lo[2] ? _T_391 : _T_398; // @[lsu_stbuf.scala 147:54] + wire _T_402 = ~stbuf_byteen_3[1]; // @[lsu_stbuf.scala 147:70] + wire _T_404 = _T_402 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 147:90] + wire [7:0] _T_407 = _T_404 ? io_store_datafn_lo_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 147:69] + wire _T_411 = _T_402 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 148:31] + wire [7:0] _T_414 = _T_411 ? io_store_datafn_hi_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 148:10] + wire [7:0] datain2_3 = sel_lo[3] ? _T_407 : _T_414; // @[lsu_stbuf.scala 147:54] + wire _T_418 = ~stbuf_byteen_0[2]; // @[lsu_stbuf.scala 150:70] + wire _T_420 = _T_418 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_423 = _T_420 ? io_store_datafn_lo_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_427 = _T_418 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_430 = _T_427 ? io_store_datafn_hi_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_0 = sel_lo[0] ? _T_423 : _T_430; // @[lsu_stbuf.scala 150:54] + wire _T_434 = ~stbuf_byteen_1[2]; // @[lsu_stbuf.scala 150:70] + wire _T_436 = _T_434 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_439 = _T_436 ? io_store_datafn_lo_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_443 = _T_434 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_446 = _T_443 ? io_store_datafn_hi_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_1 = sel_lo[1] ? _T_439 : _T_446; // @[lsu_stbuf.scala 150:54] + wire _T_450 = ~stbuf_byteen_2[2]; // @[lsu_stbuf.scala 150:70] + wire _T_452 = _T_450 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_455 = _T_452 ? io_store_datafn_lo_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_459 = _T_450 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_462 = _T_459 ? io_store_datafn_hi_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_2 = sel_lo[2] ? _T_455 : _T_462; // @[lsu_stbuf.scala 150:54] + wire _T_466 = ~stbuf_byteen_3[2]; // @[lsu_stbuf.scala 150:70] + wire _T_468 = _T_466 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 150:90] + wire [7:0] _T_471 = _T_468 ? io_store_datafn_lo_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 150:69] + wire _T_475 = _T_466 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 151:31] + wire [7:0] _T_478 = _T_475 ? io_store_datafn_hi_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 151:10] + wire [7:0] datain3_3 = sel_lo[3] ? _T_471 : _T_478; // @[lsu_stbuf.scala 150:54] + wire _T_482 = ~stbuf_byteen_0[3]; // @[lsu_stbuf.scala 153:70] + wire _T_484 = _T_482 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_487 = _T_484 ? io_store_datafn_lo_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_491 = _T_482 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_494 = _T_491 ? io_store_datafn_hi_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_0 = sel_lo[0] ? _T_487 : _T_494; // @[lsu_stbuf.scala 153:54] + wire _T_498 = ~stbuf_byteen_1[3]; // @[lsu_stbuf.scala 153:70] + wire _T_500 = _T_498 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_503 = _T_500 ? io_store_datafn_lo_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_507 = _T_498 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_510 = _T_507 ? io_store_datafn_hi_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_1 = sel_lo[1] ? _T_503 : _T_510; // @[lsu_stbuf.scala 153:54] + wire _T_514 = ~stbuf_byteen_2[3]; // @[lsu_stbuf.scala 153:70] + wire _T_516 = _T_514 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_519 = _T_516 ? io_store_datafn_lo_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_523 = _T_514 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_526 = _T_523 ? io_store_datafn_hi_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_2 = sel_lo[2] ? _T_519 : _T_526; // @[lsu_stbuf.scala 153:54] + wire _T_530 = ~stbuf_byteen_3[3]; // @[lsu_stbuf.scala 153:70] + wire _T_532 = _T_530 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 153:90] + wire [7:0] _T_535 = _T_532 ? io_store_datafn_lo_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 153:69] + wire _T_539 = _T_530 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 154:31] + wire [7:0] _T_542 = _T_539 ? io_store_datafn_hi_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 154:10] + wire [7:0] datain4_3 = sel_lo[3] ? _T_535 : _T_542; // @[lsu_stbuf.scala 153:54] + wire [15:0] _T_544 = {datain2_0,datain1_0}; // @[Cat.scala 29:58] + wire [15:0] _T_545 = {datain4_0,datain3_0}; // @[Cat.scala 29:58] + wire [15:0] _T_547 = {datain2_1,datain1_1}; // @[Cat.scala 29:58] + wire [15:0] _T_548 = {datain4_1,datain3_1}; // @[Cat.scala 29:58] + wire [15:0] _T_550 = {datain2_2,datain1_2}; // @[Cat.scala 29:58] + wire [15:0] _T_551 = {datain4_2,datain3_2}; // @[Cat.scala 29:58] + wire [15:0] _T_553 = {datain2_3,datain1_3}; // @[Cat.scala 29:58] + wire [15:0] _T_554 = {datain4_3,datain3_3}; // @[Cat.scala 29:58] + wire _T_559 = stbuf_wr_en[0] | stbuf_vld[0]; // @[lsu_stbuf.scala 160:18] + wire _T_567 = stbuf_wr_en[1] | stbuf_vld[1]; // @[lsu_stbuf.scala 160:18] + wire _T_575 = stbuf_wr_en[2] | stbuf_vld[2]; // @[lsu_stbuf.scala 160:18] + wire _T_583 = stbuf_wr_en[3] | stbuf_vld[3]; // @[lsu_stbuf.scala 160:18] + wire [15:0] cmpaddr_hi_m = {{2'd0}, io_end_addr_m[15:2]}; // @[lsu_stbuf.scala 208:16] + wire _T_786 = stbuf_addr_3[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_788 = _T_786 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:139] + wire _T_791 = _T_788 & _T_63; // @[lsu_stbuf.scala 212:154] + wire _T_792 = _T_791 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire _T_777 = stbuf_addr_2[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_779 = _T_777 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:139] + wire _T_782 = _T_779 & _T_52; // @[lsu_stbuf.scala 212:154] + wire _T_783 = _T_782 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire _T_768 = stbuf_addr_1[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_770 = _T_768 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:139] + wire _T_773 = _T_770 & _T_41; // @[lsu_stbuf.scala 212:154] + wire _T_774 = _T_773 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire _T_759 = stbuf_addr_0[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 212:115] + wire _T_761 = _T_759 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:139] + wire _T_764 = _T_761 & _T_30; // @[lsu_stbuf.scala 212:154] + wire _T_765 = _T_764 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 212:175] + wire [3:0] stbuf_match_hi = {_T_792,_T_783,_T_774,_T_765}; // @[Cat.scala 29:58] + wire [15:0] cmpaddr_lo_m = {{2'd0}, io_lsu_addr_m[15:2]}; // @[lsu_stbuf.scala 209:17] + wire _T_824 = stbuf_addr_3[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_826 = _T_824 & stbuf_vld[3]; // @[lsu_stbuf.scala 213:139] + wire _T_829 = _T_826 & _T_63; // @[lsu_stbuf.scala 213:154] + wire _T_830 = _T_829 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire _T_815 = stbuf_addr_2[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_817 = _T_815 & stbuf_vld[2]; // @[lsu_stbuf.scala 213:139] + wire _T_820 = _T_817 & _T_52; // @[lsu_stbuf.scala 213:154] + wire _T_821 = _T_820 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire _T_806 = stbuf_addr_1[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_808 = _T_806 & stbuf_vld[1]; // @[lsu_stbuf.scala 213:139] + wire _T_811 = _T_808 & _T_41; // @[lsu_stbuf.scala 213:154] + wire _T_812 = _T_811 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire _T_797 = stbuf_addr_0[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 213:115] + wire _T_799 = _T_797 & stbuf_vld[0]; // @[lsu_stbuf.scala 213:139] + wire _T_802 = _T_799 & _T_30; // @[lsu_stbuf.scala 213:154] + wire _T_803 = _T_802 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 213:175] + wire [3:0] stbuf_match_lo = {_T_830,_T_821,_T_812,_T_803}; // @[Cat.scala 29:58] + wire _T_853 = stbuf_match_hi[3] | stbuf_match_lo[3]; // @[lsu_stbuf.scala 214:78] + wire _T_854 = _T_853 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_855 = _T_854 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_856 = _T_855 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire _T_847 = stbuf_match_hi[2] | stbuf_match_lo[2]; // @[lsu_stbuf.scala 214:78] + wire _T_848 = _T_847 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_849 = _T_848 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_850 = _T_849 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire _T_841 = stbuf_match_hi[1] | stbuf_match_lo[1]; // @[lsu_stbuf.scala 214:78] + wire _T_842 = _T_841 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_843 = _T_842 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_844 = _T_843 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire _T_835 = stbuf_match_hi[0] | stbuf_match_lo[0]; // @[lsu_stbuf.scala 214:78] + wire _T_836 = _T_835 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 214:99] + wire _T_837 = _T_836 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 214:120] + wire _T_838 = _T_837 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 214:144] + wire [3:0] stbuf_dma_kill_en = {_T_856,_T_850,_T_844,_T_838}; // @[Cat.scala 29:58] + wire _T_594 = stbuf_dma_kill_en[0] | stbuf_dma_kill[0]; // @[lsu_stbuf.scala 163:18] + wire _T_602 = stbuf_dma_kill_en[1] | stbuf_dma_kill[1]; // @[lsu_stbuf.scala 163:18] + wire _T_610 = stbuf_dma_kill_en[2] | stbuf_dma_kill[2]; // @[lsu_stbuf.scala 163:18] + wire _T_618 = stbuf_dma_kill_en[3] | stbuf_dma_kill[3]; // @[lsu_stbuf.scala 163:18] + wire [3:0] _T_628 = stbuf_wr_en[0] ? stbuf_byteenin_0 : stbuf_byteen_0; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_632 = _T_33 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_637 = stbuf_wr_en[1] ? stbuf_byteenin_1 : stbuf_byteen_1; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_641 = _T_44 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_646 = stbuf_wr_en[2] ? stbuf_byteenin_2 : stbuf_byteen_2; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_650 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_655 = stbuf_wr_en[3] ? stbuf_byteenin_3 : stbuf_byteen_3; // @[lsu_stbuf.scala 166:18] + wire [3:0] _T_659 = _T_66 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_686 = stbuf_vld >> RdPtr; // @[lsu_stbuf.scala 183:43] + wire [3:0] _T_688 = stbuf_dma_kill >> RdPtr; // @[lsu_stbuf.scala 183:67] + wire _T_695 = ~_T_688[0]; // @[lsu_stbuf.scala 184:46] + wire _T_696 = _T_686[0] & _T_695; // @[lsu_stbuf.scala 184:44] + wire _T_697 = |stbuf_dma_kill_en; // @[lsu_stbuf.scala 184:91] + wire _T_698 = ~_T_697; // @[lsu_stbuf.scala 184:71] + wire [15:0] _GEN_1 = 2'h1 == RdPtr ? stbuf_addr_1 : stbuf_addr_0; // @[lsu_stbuf.scala 185:22] + wire [15:0] _GEN_2 = 2'h2 == RdPtr ? stbuf_addr_2 : _GEN_1; // @[lsu_stbuf.scala 185:22] + wire [31:0] _GEN_5 = 2'h1 == RdPtr ? stbuf_data_1 : stbuf_data_0; // @[lsu_stbuf.scala 186:22] + wire [31:0] _GEN_6 = 2'h2 == RdPtr ? stbuf_data_2 : _GEN_5; // @[lsu_stbuf.scala 186:22] + wire _T_700 = ~dual_stbuf_write_r; // @[lsu_stbuf.scala 188:44] + wire _T_701 = io_ldst_stbuf_reqvld_r & _T_700; // @[lsu_stbuf.scala 188:42] + wire _T_702 = store_coalesce_hi_r | store_coalesce_lo_r; // @[lsu_stbuf.scala 188:88] + wire _T_703 = ~_T_702; // @[lsu_stbuf.scala 188:66] + wire _T_704 = _T_701 & _T_703; // @[lsu_stbuf.scala 188:64] + wire _T_705 = io_ldst_stbuf_reqvld_r & dual_stbuf_write_r; // @[lsu_stbuf.scala 189:30] + wire _T_706 = store_coalesce_hi_r & store_coalesce_lo_r; // @[lsu_stbuf.scala 189:76] + wire _T_707 = ~_T_706; // @[lsu_stbuf.scala 189:54] + wire _T_708 = _T_705 & _T_707; // @[lsu_stbuf.scala 189:52] + wire WrPtrEn = _T_704 | _T_708; // @[lsu_stbuf.scala 188:113] + wire _T_713 = _T_705 & _T_703; // @[lsu_stbuf.scala 190:67] + wire [3:0] _T_718 = {3'h0,stbuf_vld[0]}; // @[Cat.scala 29:58] + wire [3:0] _T_720 = {3'h0,stbuf_vld[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_722 = {3'h0,stbuf_vld[2]}; // @[Cat.scala 29:58] + wire [3:0] _T_724 = {3'h0,stbuf_vld[3]}; // @[Cat.scala 29:58] + wire [3:0] _T_727 = _T_718 + _T_720; // @[lsu_stbuf.scala 197:101] + wire [3:0] _T_729 = _T_727 + _T_722; // @[lsu_stbuf.scala 197:101] + wire [3:0] stbuf_numvld_any = _T_729 + _T_724; // @[lsu_stbuf.scala 197:101] + wire _T_731 = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 198:39] + wire _T_732 = _T_731 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 198:65] + wire _T_733 = ~io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 198:87] + wire isdccmst_m = _T_732 & _T_733; // @[lsu_stbuf.scala 198:85] + wire _T_734 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 199:39] + wire _T_735 = _T_734 & io_addr_in_dccm_r; // @[lsu_stbuf.scala 199:65] + wire _T_736 = ~io_lsu_pkt_r_bits_dma; // @[lsu_stbuf.scala 199:87] + wire isdccmst_r = _T_735 & _T_736; // @[lsu_stbuf.scala 199:85] + wire [1:0] _T_737 = {1'h0,isdccmst_m}; // @[Cat.scala 29:58] + wire _T_738 = isdccmst_m & io_ldst_dual_m; // @[lsu_stbuf.scala 201:62] + wire [2:0] _GEN_14 = {{1'd0}, _T_737}; // @[lsu_stbuf.scala 201:47] + wire [2:0] _T_739 = _GEN_14 << _T_738; // @[lsu_stbuf.scala 201:47] + wire [1:0] _T_740 = {1'h0,isdccmst_r}; // @[Cat.scala 29:58] + wire _T_741 = isdccmst_r & io_ldst_dual_r; // @[lsu_stbuf.scala 202:62] + wire [2:0] _GEN_15 = {{1'd0}, _T_740}; // @[lsu_stbuf.scala 202:47] + wire [2:0] _T_742 = _GEN_15 << _T_741; // @[lsu_stbuf.scala 202:47] + wire [1:0] stbuf_specvld_m = _T_739[1:0]; // @[lsu_stbuf.scala 201:19] + wire [3:0] _T_743 = {2'h0,stbuf_specvld_m}; // @[Cat.scala 29:58] + wire [3:0] _T_745 = stbuf_numvld_any + _T_743; // @[lsu_stbuf.scala 203:44] + wire [1:0] stbuf_specvld_r = _T_742[1:0]; // @[lsu_stbuf.scala 202:19] + wire [3:0] _T_746 = {2'h0,stbuf_specvld_r}; // @[Cat.scala 29:58] + wire [3:0] stbuf_specvld_any = _T_745 + _T_746; // @[lsu_stbuf.scala 203:78] + wire _T_748 = ~io_ldst_dual_d; // @[lsu_stbuf.scala 205:34] + wire _T_749 = _T_748 & io_dec_lsu_valid_raw_d; // @[lsu_stbuf.scala 205:50] + wire _T_751 = stbuf_specvld_any >= 4'h4; // @[lsu_stbuf.scala 205:102] + wire _T_752 = stbuf_specvld_any >= 4'h3; // @[lsu_stbuf.scala 205:143] + wire _T_862 = stbuf_match_hi[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_0 = _T_862 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_866 = stbuf_match_hi[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_1 = _T_866 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_870 = stbuf_match_hi[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_2 = _T_870 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_874 = stbuf_match_hi[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_0_3 = _T_874 & stbuf_vld[0]; // @[lsu_stbuf.scala 217:137] + wire _T_878 = stbuf_match_hi[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_0 = _T_878 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_882 = stbuf_match_hi[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_1 = _T_882 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_886 = stbuf_match_hi[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_2 = _T_886 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_890 = stbuf_match_hi[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_1_3 = _T_890 & stbuf_vld[1]; // @[lsu_stbuf.scala 217:137] + wire _T_894 = stbuf_match_hi[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_0 = _T_894 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_898 = stbuf_match_hi[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_1 = _T_898 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_902 = stbuf_match_hi[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_2 = _T_902 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_906 = stbuf_match_hi[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_2_3 = _T_906 & stbuf_vld[2]; // @[lsu_stbuf.scala 217:137] + wire _T_910 = stbuf_match_hi[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_0 = _T_910 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_914 = stbuf_match_hi[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_1 = _T_914 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_918 = stbuf_match_hi[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_2 = _T_918 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_922 = stbuf_match_hi[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 217:116] + wire stbuf_fwdbyteenvec_hi_3_3 = _T_922 & stbuf_vld[3]; // @[lsu_stbuf.scala 217:137] + wire _T_926 = stbuf_match_lo[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_0 = _T_926 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_930 = stbuf_match_lo[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_1 = _T_930 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_934 = stbuf_match_lo[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_2 = _T_934 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_938 = stbuf_match_lo[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_0_3 = _T_938 & stbuf_vld[0]; // @[lsu_stbuf.scala 218:137] + wire _T_942 = stbuf_match_lo[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_0 = _T_942 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_946 = stbuf_match_lo[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_1 = _T_946 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_950 = stbuf_match_lo[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_2 = _T_950 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_954 = stbuf_match_lo[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_1_3 = _T_954 & stbuf_vld[1]; // @[lsu_stbuf.scala 218:137] + wire _T_958 = stbuf_match_lo[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_0 = _T_958 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_962 = stbuf_match_lo[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_1 = _T_962 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_966 = stbuf_match_lo[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_2 = _T_966 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_970 = stbuf_match_lo[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_2_3 = _T_970 & stbuf_vld[2]; // @[lsu_stbuf.scala 218:137] + wire _T_974 = stbuf_match_lo[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_0 = _T_974 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_978 = stbuf_match_lo[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_1 = _T_978 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_982 = stbuf_match_lo[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_2 = _T_982 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_986 = stbuf_match_lo[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 218:116] + wire stbuf_fwdbyteenvec_lo_3_3 = _T_986 & stbuf_vld[3]; // @[lsu_stbuf.scala 218:137] + wire _T_988 = stbuf_fwdbyteenvec_hi_0_0 | stbuf_fwdbyteenvec_hi_1_0; // @[lsu_stbuf.scala 219:147] + wire _T_989 = _T_988 | stbuf_fwdbyteenvec_hi_2_0; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_0 = _T_989 | stbuf_fwdbyteenvec_hi_3_0; // @[lsu_stbuf.scala 219:147] + wire _T_990 = stbuf_fwdbyteenvec_hi_0_1 | stbuf_fwdbyteenvec_hi_1_1; // @[lsu_stbuf.scala 219:147] + wire _T_991 = _T_990 | stbuf_fwdbyteenvec_hi_2_1; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_1 = _T_991 | stbuf_fwdbyteenvec_hi_3_1; // @[lsu_stbuf.scala 219:147] + wire _T_992 = stbuf_fwdbyteenvec_hi_0_2 | stbuf_fwdbyteenvec_hi_1_2; // @[lsu_stbuf.scala 219:147] + wire _T_993 = _T_992 | stbuf_fwdbyteenvec_hi_2_2; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_2 = _T_993 | stbuf_fwdbyteenvec_hi_3_2; // @[lsu_stbuf.scala 219:147] + wire _T_994 = stbuf_fwdbyteenvec_hi_0_3 | stbuf_fwdbyteenvec_hi_1_3; // @[lsu_stbuf.scala 219:147] + wire _T_995 = _T_994 | stbuf_fwdbyteenvec_hi_2_3; // @[lsu_stbuf.scala 219:147] + wire stbuf_fwdbyteen_hi_pre_m_3 = _T_995 | stbuf_fwdbyteenvec_hi_3_3; // @[lsu_stbuf.scala 219:147] + wire _T_996 = stbuf_fwdbyteenvec_lo_0_0 | stbuf_fwdbyteenvec_lo_1_0; // @[lsu_stbuf.scala 220:147] + wire _T_997 = _T_996 | stbuf_fwdbyteenvec_lo_2_0; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_0 = _T_997 | stbuf_fwdbyteenvec_lo_3_0; // @[lsu_stbuf.scala 220:147] + wire _T_998 = stbuf_fwdbyteenvec_lo_0_1 | stbuf_fwdbyteenvec_lo_1_1; // @[lsu_stbuf.scala 220:147] + wire _T_999 = _T_998 | stbuf_fwdbyteenvec_lo_2_1; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_1 = _T_999 | stbuf_fwdbyteenvec_lo_3_1; // @[lsu_stbuf.scala 220:147] + wire _T_1000 = stbuf_fwdbyteenvec_lo_0_2 | stbuf_fwdbyteenvec_lo_1_2; // @[lsu_stbuf.scala 220:147] + wire _T_1001 = _T_1000 | stbuf_fwdbyteenvec_lo_2_2; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_2 = _T_1001 | stbuf_fwdbyteenvec_lo_3_2; // @[lsu_stbuf.scala 220:147] + wire _T_1002 = stbuf_fwdbyteenvec_lo_0_3 | stbuf_fwdbyteenvec_lo_1_3; // @[lsu_stbuf.scala 220:147] + wire _T_1003 = _T_1002 | stbuf_fwdbyteenvec_lo_2_3; // @[lsu_stbuf.scala 220:147] + wire stbuf_fwdbyteen_lo_pre_m_3 = _T_1003 | stbuf_fwdbyteenvec_lo_3_3; // @[lsu_stbuf.scala 220:147] + wire [31:0] _T_1006 = stbuf_match_hi[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1007 = _T_1006 & stbuf_data_0; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1010 = stbuf_match_hi[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1011 = _T_1010 & stbuf_data_1; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1014 = stbuf_match_hi[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1015 = _T_1014 & stbuf_data_2; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1018 = stbuf_match_hi[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1019 = _T_1018 & stbuf_data_3; // @[lsu_stbuf.scala 222:97] + wire [31:0] _T_1021 = _T_1019 | _T_1015; // @[lsu_stbuf.scala 222:130] + wire [31:0] _T_1022 = _T_1021 | _T_1011; // @[lsu_stbuf.scala 222:130] + wire [31:0] stbuf_fwddata_hi_pre_m = _T_1022 | _T_1007; // @[lsu_stbuf.scala 222:130] + wire [31:0] _T_1025 = stbuf_match_lo[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1026 = _T_1025 & stbuf_data_0; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1029 = stbuf_match_lo[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1030 = _T_1029 & stbuf_data_1; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1033 = stbuf_match_lo[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1034 = _T_1033 & stbuf_data_2; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1037 = stbuf_match_lo[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1038 = _T_1037 & stbuf_data_3; // @[lsu_stbuf.scala 223:97] + wire [31:0] _T_1040 = _T_1038 | _T_1034; // @[lsu_stbuf.scala 223:130] + wire [31:0] _T_1041 = _T_1040 | _T_1030; // @[lsu_stbuf.scala 223:130] + wire [31:0] stbuf_fwddata_lo_pre_m = _T_1041 | _T_1026; // @[lsu_stbuf.scala 223:130] + wire _T_1046 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 230:49] + wire _T_1047 = _T_1046 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 230:74] + wire _T_1048 = _T_1047 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 230:95] + wire ld_addr_rhit_lo_lo = _T_1048 & _T_736; // @[lsu_stbuf.scala 230:121] + wire _T_1052 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 231:49] + wire _T_1053 = _T_1052 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 231:74] + wire _T_1054 = _T_1053 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 231:95] + wire ld_addr_rhit_lo_hi = _T_1054 & _T_736; // @[lsu_stbuf.scala 231:121] + wire _T_1058 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 232:49] + wire _T_1059 = _T_1058 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 232:74] + wire _T_1060 = _T_1059 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 232:95] + wire _T_1062 = _T_1060 & _T_736; // @[lsu_stbuf.scala 232:121] + wire ld_addr_rhit_hi_lo = _T_1062 & dual_stbuf_write_r; // @[lsu_stbuf.scala 232:146] + wire _T_1065 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 233:49] + wire _T_1066 = _T_1065 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 233:74] + wire _T_1067 = _T_1066 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 233:95] + wire _T_1069 = _T_1067 & _T_736; // @[lsu_stbuf.scala 233:121] + wire ld_addr_rhit_hi_hi = _T_1069 & dual_stbuf_write_r; // @[lsu_stbuf.scala 233:146] + wire _T_1071 = ld_addr_rhit_lo_lo & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 235:79] + wire _T_1073 = ld_addr_rhit_lo_lo & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 235:79] + wire _T_1075 = ld_addr_rhit_lo_lo & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 235:79] + wire _T_1077 = ld_addr_rhit_lo_lo & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 235:79] + wire [3:0] ld_byte_rhit_lo_lo = {_T_1077,_T_1075,_T_1073,_T_1071}; // @[Cat.scala 29:58] + wire _T_1082 = ld_addr_rhit_lo_hi & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 236:79] + wire _T_1084 = ld_addr_rhit_lo_hi & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 236:79] + wire _T_1086 = ld_addr_rhit_lo_hi & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 236:79] + wire _T_1088 = ld_addr_rhit_lo_hi & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 236:79] + wire [3:0] ld_byte_rhit_lo_hi = {_T_1088,_T_1086,_T_1084,_T_1082}; // @[Cat.scala 29:58] + wire _T_1093 = ld_addr_rhit_hi_lo & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 237:79] + wire _T_1095 = ld_addr_rhit_hi_lo & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 237:79] + wire _T_1097 = ld_addr_rhit_hi_lo & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 237:79] + wire _T_1099 = ld_addr_rhit_hi_lo & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 237:79] + wire [3:0] ld_byte_rhit_hi_lo = {_T_1099,_T_1097,_T_1095,_T_1093}; // @[Cat.scala 29:58] + wire _T_1104 = ld_addr_rhit_hi_hi & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 238:79] + wire _T_1106 = ld_addr_rhit_hi_hi & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 238:79] + wire _T_1108 = ld_addr_rhit_hi_hi & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 238:79] + wire _T_1110 = ld_addr_rhit_hi_hi & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 238:79] + wire [3:0] ld_byte_rhit_hi_hi = {_T_1110,_T_1108,_T_1106,_T_1104}; // @[Cat.scala 29:58] + wire _T_1116 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_stbuf.scala 240:79] + wire _T_1119 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_stbuf.scala 240:79] + wire _T_1122 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_stbuf.scala 240:79] + wire _T_1125 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_stbuf.scala 240:79] + wire [3:0] ld_byte_rhit_lo = {_T_1125,_T_1122,_T_1119,_T_1116}; // @[Cat.scala 29:58] + wire _T_1131 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_stbuf.scala 241:79] + wire _T_1134 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_stbuf.scala 241:79] + wire _T_1137 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_stbuf.scala 241:79] + wire _T_1140 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_stbuf.scala 241:79] + wire [3:0] ld_byte_rhit_hi = {_T_1140,_T_1137,_T_1134,_T_1131}; // @[Cat.scala 29:58] + wire [7:0] _T_1146 = ld_byte_rhit_lo_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1148 = _T_1146 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 243:53] + wire [7:0] _T_1151 = ld_byte_rhit_hi_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1153 = _T_1151 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 243:114] + wire [7:0] fwdpipe1_lo = _T_1148 | _T_1153; // @[lsu_stbuf.scala 243:80] + wire [7:0] _T_1156 = ld_byte_rhit_lo_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1158 = _T_1156 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 244:53] + wire [7:0] _T_1161 = ld_byte_rhit_hi_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1163 = _T_1161 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 244:115] + wire [7:0] fwdpipe2_lo = _T_1158 | _T_1163; // @[lsu_stbuf.scala 244:81] + wire [7:0] _T_1166 = ld_byte_rhit_lo_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1168 = _T_1166 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 245:53] + wire [7:0] _T_1171 = ld_byte_rhit_hi_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1173 = _T_1171 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 245:116] + wire [7:0] fwdpipe3_lo = _T_1168 | _T_1173; // @[lsu_stbuf.scala 245:82] + wire [7:0] _T_1176 = ld_byte_rhit_lo_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1178 = _T_1176 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 246:53] + wire [7:0] _T_1181 = ld_byte_rhit_hi_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1183 = _T_1181 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 246:116] + wire [7:0] fwdpipe4_lo = _T_1178 | _T_1183; // @[lsu_stbuf.scala 246:82] + wire [31:0] ld_fwddata_rpipe_lo = {fwdpipe4_lo,fwdpipe3_lo,fwdpipe2_lo,fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [7:0] _T_1189 = ld_byte_rhit_lo_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1191 = _T_1189 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 249:53] + wire [7:0] _T_1194 = ld_byte_rhit_hi_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1196 = _T_1194 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 249:114] + wire [7:0] fwdpipe1_hi = _T_1191 | _T_1196; // @[lsu_stbuf.scala 249:80] + wire [7:0] _T_1199 = ld_byte_rhit_lo_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1201 = _T_1199 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 250:53] + wire [7:0] _T_1204 = ld_byte_rhit_hi_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1206 = _T_1204 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 250:115] + wire [7:0] fwdpipe2_hi = _T_1201 | _T_1206; // @[lsu_stbuf.scala 250:81] + wire [7:0] _T_1209 = ld_byte_rhit_lo_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1211 = _T_1209 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 251:53] + wire [7:0] _T_1214 = ld_byte_rhit_hi_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1216 = _T_1214 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 251:116] + wire [7:0] fwdpipe3_hi = _T_1211 | _T_1216; // @[lsu_stbuf.scala 251:82] + wire [7:0] _T_1219 = ld_byte_rhit_lo_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1221 = _T_1219 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 252:53] + wire [7:0] _T_1224 = ld_byte_rhit_hi_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1226 = _T_1224 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 252:116] + wire [7:0] fwdpipe4_hi = _T_1221 | _T_1226; // @[lsu_stbuf.scala 252:82] + wire [31:0] ld_fwddata_rpipe_hi = {fwdpipe4_hi,fwdpipe3_hi,fwdpipe2_hi,fwdpipe1_hi}; // @[Cat.scala 29:58] + wire _T_1261 = ld_byte_rhit_hi[0] | stbuf_fwdbyteen_hi_pre_m_0; // @[lsu_stbuf.scala 258:83] + wire _T_1263 = ld_byte_rhit_hi[1] | stbuf_fwdbyteen_hi_pre_m_1; // @[lsu_stbuf.scala 258:83] + wire _T_1265 = ld_byte_rhit_hi[2] | stbuf_fwdbyteen_hi_pre_m_2; // @[lsu_stbuf.scala 258:83] + wire _T_1267 = ld_byte_rhit_hi[3] | stbuf_fwdbyteen_hi_pre_m_3; // @[lsu_stbuf.scala 258:83] + wire [2:0] _T_1269 = {_T_1267,_T_1265,_T_1263}; // @[Cat.scala 29:58] + wire _T_1272 = ld_byte_rhit_lo[0] | stbuf_fwdbyteen_lo_pre_m_0; // @[lsu_stbuf.scala 259:83] + wire _T_1274 = ld_byte_rhit_lo[1] | stbuf_fwdbyteen_lo_pre_m_1; // @[lsu_stbuf.scala 259:83] + wire _T_1276 = ld_byte_rhit_lo[2] | stbuf_fwdbyteen_lo_pre_m_2; // @[lsu_stbuf.scala 259:83] + wire _T_1278 = ld_byte_rhit_lo[3] | stbuf_fwdbyteen_lo_pre_m_3; // @[lsu_stbuf.scala 259:83] + wire [2:0] _T_1280 = {_T_1278,_T_1276,_T_1274}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_lo = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : stbuf_fwddata_lo_pre_m[7:0]; // @[lsu_stbuf.scala 262:30] + wire [7:0] stbuf_fwdpipe2_lo = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : stbuf_fwddata_lo_pre_m[15:8]; // @[lsu_stbuf.scala 263:30] + wire [7:0] stbuf_fwdpipe3_lo = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : stbuf_fwddata_lo_pre_m[23:16]; // @[lsu_stbuf.scala 264:30] + wire [7:0] stbuf_fwdpipe4_lo = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : stbuf_fwddata_lo_pre_m[31:24]; // @[lsu_stbuf.scala 265:30] + wire [15:0] _T_1294 = {stbuf_fwdpipe2_lo,stbuf_fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [15:0] _T_1295 = {stbuf_fwdpipe4_lo,stbuf_fwdpipe3_lo}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_hi = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : stbuf_fwddata_hi_pre_m[7:0]; // @[lsu_stbuf.scala 268:30] + wire [7:0] stbuf_fwdpipe2_hi = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : stbuf_fwddata_hi_pre_m[15:8]; // @[lsu_stbuf.scala 269:30] + wire [7:0] stbuf_fwdpipe3_hi = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : stbuf_fwddata_hi_pre_m[23:16]; // @[lsu_stbuf.scala 270:30] + wire [7:0] stbuf_fwdpipe4_hi = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : stbuf_fwddata_hi_pre_m[31:24]; // @[lsu_stbuf.scala 271:30] + wire [15:0] _T_1309 = {stbuf_fwdpipe2_hi,stbuf_fwdpipe1_hi}; // @[Cat.scala 29:58] + wire [15:0] _T_1310 = {stbuf_fwdpipe4_hi,stbuf_fwdpipe3_hi}; // @[Cat.scala 29:58] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_stbuf_reqvld_any = _T_696 & _T_698; // @[lsu_stbuf.scala 51:47 lsu_stbuf.scala 184:24] + assign io_stbuf_reqvld_flushed_any = _T_686[0] & _T_688[0]; // @[lsu_stbuf.scala 52:35 lsu_stbuf.scala 183:31] + assign io_stbuf_addr_any = 2'h3 == RdPtr ? stbuf_addr_3 : _GEN_2; // @[lsu_stbuf.scala 53:35 lsu_stbuf.scala 185:22] + assign io_stbuf_data_any = 2'h3 == RdPtr ? stbuf_data_3 : _GEN_6; // @[lsu_stbuf.scala 54:35 lsu_stbuf.scala 186:22] + assign io_lsu_stbuf_full_any = _T_749 ? _T_751 : _T_752; // @[lsu_stbuf.scala 55:43 lsu_stbuf.scala 205:26] + assign io_lsu_stbuf_empty_any = stbuf_numvld_any == 4'h0; // @[lsu_stbuf.scala 56:43 lsu_stbuf.scala 206:26] + assign io_ldst_stbuf_reqvld_r = _T_22 & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 57:43 lsu_stbuf.scala 125:26] + assign io_stbuf_fwddata_hi_m = {_T_1310,_T_1309}; // @[lsu_stbuf.scala 58:43 lsu_stbuf.scala 272:25] + assign io_stbuf_fwddata_lo_m = {_T_1295,_T_1294}; // @[lsu_stbuf.scala 59:43 lsu_stbuf.scala 266:25] + assign io_stbuf_fwdbyteen_hi_m = {_T_1269,_T_1261}; // @[lsu_stbuf.scala 60:37 lsu_stbuf.scala 258:27] + assign io_stbuf_fwdbyteen_lo_m = {_T_1280,_T_1272}; // @[lsu_stbuf.scala 61:37 lsu_stbuf.scala 259:27] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + RdPtr = _RAND_0[1:0]; + _RAND_1 = {1{`RANDOM}}; + WrPtr = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + stbuf_addr_0 = _RAND_2[15:0]; + _RAND_3 = {1{`RANDOM}}; + _T_587 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_579 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_571 = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_563 = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_622 = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + _T_614 = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_606 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + _T_598 = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + stbuf_addr_1 = _RAND_11[15:0]; + _RAND_12 = {1{`RANDOM}}; + stbuf_addr_2 = _RAND_12[15:0]; + _RAND_13 = {1{`RANDOM}}; + stbuf_addr_3 = _RAND_13[15:0]; + _RAND_14 = {1{`RANDOM}}; + stbuf_byteen_0 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + stbuf_byteen_1 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + stbuf_byteen_2 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + stbuf_byteen_3 = _RAND_17[3:0]; + _RAND_18 = {1{`RANDOM}}; + stbuf_data_0 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + stbuf_data_1 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + stbuf_data_2 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + stbuf_data_3 = _RAND_21[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + RdPtr = 2'h0; + end + if (reset) begin + WrPtr = 2'h0; + end + if (reset) begin + stbuf_addr_0 = 16'h0; + end + if (reset) begin + _T_587 = 1'h0; + end + if (reset) begin + _T_579 = 1'h0; + end + if (reset) begin + _T_571 = 1'h0; + end + if (reset) begin + _T_563 = 1'h0; + end + if (reset) begin + _T_622 = 1'h0; + end + if (reset) begin + _T_614 = 1'h0; + end + if (reset) begin + _T_606 = 1'h0; + end + if (reset) begin + _T_598 = 1'h0; + end + if (reset) begin + stbuf_addr_1 = 16'h0; + end + if (reset) begin + stbuf_addr_2 = 16'h0; + end + if (reset) begin + stbuf_addr_3 = 16'h0; + end + if (reset) begin + stbuf_byteen_0 = 4'h0; + end + if (reset) begin + stbuf_byteen_1 = 4'h0; + end + if (reset) begin + stbuf_byteen_2 = 4'h0; + end + if (reset) begin + stbuf_byteen_3 = 4'h0; + end + if (reset) begin + stbuf_data_0 = 32'h0; + end + if (reset) begin + stbuf_data_1 = 32'h0; + end + if (reset) begin + stbuf_data_2 = 32'h0; + end + if (reset) begin + stbuf_data_3 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + RdPtr <= 2'h0; + end else if (_T_211) begin + RdPtr <= RdPtrPlus1; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + WrPtr <= 2'h0; + end else if (WrPtrEn) begin + if (_T_713) begin + WrPtr <= WrPtrPlus2; + end else begin + WrPtr <= WrPtrPlus1; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_0 <= 16'h0; + end else if (sel_lo[0]) begin + stbuf_addr_0 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_0 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_587 <= 1'h0; + end else begin + _T_587 <= _T_583 & _T_66; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_579 <= 1'h0; + end else begin + _T_579 <= _T_575 & _T_55; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_571 <= 1'h0; + end else begin + _T_571 <= _T_567 & _T_44; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_563 <= 1'h0; + end else begin + _T_563 <= _T_559 & _T_33; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_622 <= 1'h0; + end else begin + _T_622 <= _T_618 & _T_66; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_614 <= 1'h0; + end else begin + _T_614 <= _T_610 & _T_55; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_606 <= 1'h0; + end else begin + _T_606 <= _T_602 & _T_44; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_598 <= 1'h0; + end else begin + _T_598 <= _T_594 & _T_33; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_1 <= 16'h0; + end else if (sel_lo[1]) begin + stbuf_addr_1 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_1 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_2 <= 16'h0; + end else if (sel_lo[2]) begin + stbuf_addr_2 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_2 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_3 <= 16'h0; + end else if (sel_lo[3]) begin + stbuf_addr_3 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_3 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_0 <= 4'h0; + end else begin + stbuf_byteen_0 <= _T_628 & _T_632; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_1 <= 4'h0; + end else begin + stbuf_byteen_1 <= _T_637 & _T_641; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_2 <= 4'h0; + end else begin + stbuf_byteen_2 <= _T_646 & _T_650; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_3 <= 4'h0; + end else begin + stbuf_byteen_3 <= _T_655 & _T_659; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_0 <= 32'h0; + end else begin + stbuf_data_0 <= {_T_545,_T_544}; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_1 <= 32'h0; + end else begin + stbuf_data_1 <= {_T_548,_T_547}; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_2 <= 32'h0; + end else begin + stbuf_data_2 <= {_T_551,_T_550}; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_3 <= 32'h0; + end else begin + stbuf_data_3 <= {_T_554,_T_553}; + end + end +endmodule diff --git a/lsu_trigger.anno.json b/lsu_trigger.anno.json new file mode 100644 index 00000000..30688df0 --- /dev/null +++ b/lsu_trigger.anno.json @@ -0,0 +1,56 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_trigger|lsu_trigger>io_lsu_trigger_match_m", + "sources":[ + "~lsu_trigger|lsu_trigger>io_lsu_pkt_m_valid", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_0_store", + "~lsu_trigger|lsu_trigger>io_lsu_pkt_m_bits_store", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_1_store", + "~lsu_trigger|lsu_trigger>io_lsu_pkt_m_bits_dma", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_3_m", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_0_load", + "~lsu_trigger|lsu_trigger>io_lsu_pkt_m_bits_load", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_0_select", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_3_store", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_2_store", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_1_load", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_1_select", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_2_m", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_3_load", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_3_select", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_2_load", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_2_select", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_0_m", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_1_m", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_0_tdata2", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_0_match_pkt", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_1_tdata2", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_1_match_pkt", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_3_tdata2", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_3_match_pkt", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_2_tdata2", + "~lsu_trigger|lsu_trigger>io_trigger_pkt_any_2_match_pkt", + "~lsu_trigger|lsu_trigger>io_lsu_addr_m", + "~lsu_trigger|lsu_trigger>io_store_data_m", + "~lsu_trigger|lsu_trigger>io_lsu_pkt_m_bits_word", + "~lsu_trigger|lsu_trigger>io_lsu_pkt_m_bits_half" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_trigger" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_trigger.fir b/lsu_trigger.fir new file mode 100644 index 00000000..a1bed8c1 --- /dev/null +++ b/lsu_trigger.fir @@ -0,0 +1,1269 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_trigger : + module lsu_trigger : + input clock : Clock + input reset : AsyncReset + output io : {flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip store_data_m : UInt<32>, lsu_trigger_match_m : UInt<4>} + + wire trigger_enable : UInt<1> + trigger_enable <= UInt<1>("h00") + node _T = or(io.trigger_pkt_any[0].m, io.trigger_pkt_any[1].m) @[lsu_trigger.scala 16:73] + node _T_1 = or(_T, io.trigger_pkt_any[2].m) @[lsu_trigger.scala 16:73] + node _T_2 = or(_T_1, io.trigger_pkt_any[3].m) @[lsu_trigger.scala 16:73] + trigger_enable <= _T_2 @[lsu_trigger.scala 16:18] + node _T_3 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_4 = mux(_T_3, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_5 = bits(io.store_data_m, 31, 16) @[lsu_trigger.scala 17:83] + node _T_6 = and(_T_4, _T_5) @[lsu_trigger.scala 17:66] + node _T_7 = or(io.lsu_pkt_m.bits.half, io.lsu_pkt_m.bits.word) @[lsu_trigger.scala 17:124] + node _T_8 = bits(_T_7, 0, 0) @[Bitwise.scala 72:15] + node _T_9 = mux(_T_8, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_10 = bits(io.store_data_m, 15, 8) @[lsu_trigger.scala 17:168] + node _T_11 = and(_T_9, _T_10) @[lsu_trigger.scala 17:151] + node _T_12 = bits(io.store_data_m, 7, 0) @[lsu_trigger.scala 17:192] + node _T_13 = cat(_T_6, _T_11) @[Cat.scala 29:58] + node store_data_trigger_m = cat(_T_13, _T_12) @[Cat.scala 29:58] + node _T_14 = bits(trigger_enable, 0, 0) @[Bitwise.scala 72:15] + node _T_15 = mux(_T_14, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node ldst_addr_trigger_m = and(io.lsu_addr_m, _T_15) @[lsu_trigger.scala 18:43] + node _T_16 = bits(io.trigger_pkt_any[0].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_18 = and(io.trigger_pkt_any[0].select, io.trigger_pkt_any[0].store) @[lsu_trigger.scala 19:143] + node _T_19 = bits(_T_18, 0, 0) @[lsu_trigger.scala 19:174] + node _T_20 = mux(_T_17, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_21 = mux(_T_19, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_22 = or(_T_20, _T_21) @[Mux.scala 27:72] + wire lsu_match_data_0 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_0 <= _T_22 @[Mux.scala 27:72] + node _T_23 = bits(io.trigger_pkt_any[1].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_25 = and(io.trigger_pkt_any[1].select, io.trigger_pkt_any[1].store) @[lsu_trigger.scala 19:143] + node _T_26 = bits(_T_25, 0, 0) @[lsu_trigger.scala 19:174] + node _T_27 = mux(_T_24, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_28 = mux(_T_26, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_29 = or(_T_27, _T_28) @[Mux.scala 27:72] + wire lsu_match_data_1 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_1 <= _T_29 @[Mux.scala 27:72] + node _T_30 = bits(io.trigger_pkt_any[2].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_31 = eq(_T_30, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_32 = and(io.trigger_pkt_any[2].select, io.trigger_pkt_any[2].store) @[lsu_trigger.scala 19:143] + node _T_33 = bits(_T_32, 0, 0) @[lsu_trigger.scala 19:174] + node _T_34 = mux(_T_31, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_35 = mux(_T_33, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_36 = or(_T_34, _T_35) @[Mux.scala 27:72] + wire lsu_match_data_2 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_2 <= _T_36 @[Mux.scala 27:72] + node _T_37 = bits(io.trigger_pkt_any[3].select, 0, 0) @[lsu_trigger.scala 19:83] + node _T_38 = eq(_T_37, UInt<1>("h00")) @[lsu_trigger.scala 19:53] + node _T_39 = and(io.trigger_pkt_any[3].select, io.trigger_pkt_any[3].store) @[lsu_trigger.scala 19:143] + node _T_40 = bits(_T_39, 0, 0) @[lsu_trigger.scala 19:174] + node _T_41 = mux(_T_38, ldst_addr_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_42 = mux(_T_40, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_43 = or(_T_41, _T_42) @[Mux.scala 27:72] + wire lsu_match_data_3 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_3 <= _T_43 @[Mux.scala 27:72] + node _T_44 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_45 = and(io.lsu_pkt_m.valid, _T_44) @[lsu_trigger.scala 20:68] + node _T_46 = and(_T_45, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_47 = and(io.trigger_pkt_any[0].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_48 = and(io.trigger_pkt_any[0].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_49 = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_50 = and(_T_48, _T_49) @[lsu_trigger.scala 21:58] + node _T_51 = or(_T_47, _T_50) @[lsu_trigger.scala 20:168] + node _T_52 = and(_T_46, _T_51) @[lsu_trigger.scala 20:110] + node _T_53 = bits(io.trigger_pkt_any[0].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_54 : UInt<1>[32] @[lib.scala 100:24] + node _T_55 = andr(io.trigger_pkt_any[0].tdata2) @[lib.scala 101:45] + node _T_56 = not(_T_55) @[lib.scala 101:39] + node _T_57 = and(_T_53, _T_56) @[lib.scala 101:37] + node _T_58 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 102:48] + node _T_59 = bits(lsu_match_data_0, 0, 0) @[lib.scala 102:60] + node _T_60 = eq(_T_58, _T_59) @[lib.scala 102:52] + node _T_61 = or(_T_57, _T_60) @[lib.scala 102:41] + _T_54[0] <= _T_61 @[lib.scala 102:18] + node _T_62 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 104:28] + node _T_63 = andr(_T_62) @[lib.scala 104:36] + node _T_64 = and(_T_63, _T_57) @[lib.scala 104:41] + node _T_65 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[lib.scala 104:74] + node _T_66 = bits(lsu_match_data_0, 1, 1) @[lib.scala 104:86] + node _T_67 = eq(_T_65, _T_66) @[lib.scala 104:78] + node _T_68 = mux(_T_64, UInt<1>("h01"), _T_67) @[lib.scala 104:23] + _T_54[1] <= _T_68 @[lib.scala 104:17] + node _T_69 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[lib.scala 104:28] + node _T_70 = andr(_T_69) @[lib.scala 104:36] + node _T_71 = and(_T_70, _T_57) @[lib.scala 104:41] + node _T_72 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[lib.scala 104:74] + node _T_73 = bits(lsu_match_data_0, 2, 2) @[lib.scala 104:86] + node _T_74 = eq(_T_72, _T_73) @[lib.scala 104:78] + node _T_75 = mux(_T_71, UInt<1>("h01"), _T_74) @[lib.scala 104:23] + _T_54[2] <= _T_75 @[lib.scala 104:17] + node _T_76 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[lib.scala 104:28] + node _T_77 = andr(_T_76) @[lib.scala 104:36] + node _T_78 = and(_T_77, _T_57) @[lib.scala 104:41] + node _T_79 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[lib.scala 104:74] + node _T_80 = bits(lsu_match_data_0, 3, 3) @[lib.scala 104:86] + node _T_81 = eq(_T_79, _T_80) @[lib.scala 104:78] + node _T_82 = mux(_T_78, UInt<1>("h01"), _T_81) @[lib.scala 104:23] + _T_54[3] <= _T_82 @[lib.scala 104:17] + node _T_83 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[lib.scala 104:28] + node _T_84 = andr(_T_83) @[lib.scala 104:36] + node _T_85 = and(_T_84, _T_57) @[lib.scala 104:41] + node _T_86 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[lib.scala 104:74] + node _T_87 = bits(lsu_match_data_0, 4, 4) @[lib.scala 104:86] + node _T_88 = eq(_T_86, _T_87) @[lib.scala 104:78] + node _T_89 = mux(_T_85, UInt<1>("h01"), _T_88) @[lib.scala 104:23] + _T_54[4] <= _T_89 @[lib.scala 104:17] + node _T_90 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[lib.scala 104:28] + node _T_91 = andr(_T_90) @[lib.scala 104:36] + node _T_92 = and(_T_91, _T_57) @[lib.scala 104:41] + node _T_93 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[lib.scala 104:74] + node _T_94 = bits(lsu_match_data_0, 5, 5) @[lib.scala 104:86] + node _T_95 = eq(_T_93, _T_94) @[lib.scala 104:78] + node _T_96 = mux(_T_92, UInt<1>("h01"), _T_95) @[lib.scala 104:23] + _T_54[5] <= _T_96 @[lib.scala 104:17] + node _T_97 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[lib.scala 104:28] + node _T_98 = andr(_T_97) @[lib.scala 104:36] + node _T_99 = and(_T_98, _T_57) @[lib.scala 104:41] + node _T_100 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[lib.scala 104:74] + node _T_101 = bits(lsu_match_data_0, 6, 6) @[lib.scala 104:86] + node _T_102 = eq(_T_100, _T_101) @[lib.scala 104:78] + node _T_103 = mux(_T_99, UInt<1>("h01"), _T_102) @[lib.scala 104:23] + _T_54[6] <= _T_103 @[lib.scala 104:17] + node _T_104 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[lib.scala 104:28] + node _T_105 = andr(_T_104) @[lib.scala 104:36] + node _T_106 = and(_T_105, _T_57) @[lib.scala 104:41] + node _T_107 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[lib.scala 104:74] + node _T_108 = bits(lsu_match_data_0, 7, 7) @[lib.scala 104:86] + node _T_109 = eq(_T_107, _T_108) @[lib.scala 104:78] + node _T_110 = mux(_T_106, UInt<1>("h01"), _T_109) @[lib.scala 104:23] + _T_54[7] <= _T_110 @[lib.scala 104:17] + node _T_111 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[lib.scala 104:28] + node _T_112 = andr(_T_111) @[lib.scala 104:36] + node _T_113 = and(_T_112, _T_57) @[lib.scala 104:41] + node _T_114 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[lib.scala 104:74] + node _T_115 = bits(lsu_match_data_0, 8, 8) @[lib.scala 104:86] + node _T_116 = eq(_T_114, _T_115) @[lib.scala 104:78] + node _T_117 = mux(_T_113, UInt<1>("h01"), _T_116) @[lib.scala 104:23] + _T_54[8] <= _T_117 @[lib.scala 104:17] + node _T_118 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[lib.scala 104:28] + node _T_119 = andr(_T_118) @[lib.scala 104:36] + node _T_120 = and(_T_119, _T_57) @[lib.scala 104:41] + node _T_121 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[lib.scala 104:74] + node _T_122 = bits(lsu_match_data_0, 9, 9) @[lib.scala 104:86] + node _T_123 = eq(_T_121, _T_122) @[lib.scala 104:78] + node _T_124 = mux(_T_120, UInt<1>("h01"), _T_123) @[lib.scala 104:23] + _T_54[9] <= _T_124 @[lib.scala 104:17] + node _T_125 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[lib.scala 104:28] + node _T_126 = andr(_T_125) @[lib.scala 104:36] + node _T_127 = and(_T_126, _T_57) @[lib.scala 104:41] + node _T_128 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[lib.scala 104:74] + node _T_129 = bits(lsu_match_data_0, 10, 10) @[lib.scala 104:86] + node _T_130 = eq(_T_128, _T_129) @[lib.scala 104:78] + node _T_131 = mux(_T_127, UInt<1>("h01"), _T_130) @[lib.scala 104:23] + _T_54[10] <= _T_131 @[lib.scala 104:17] + node _T_132 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[lib.scala 104:28] + node _T_133 = andr(_T_132) @[lib.scala 104:36] + node _T_134 = and(_T_133, _T_57) @[lib.scala 104:41] + node _T_135 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[lib.scala 104:74] + node _T_136 = bits(lsu_match_data_0, 11, 11) @[lib.scala 104:86] + node _T_137 = eq(_T_135, _T_136) @[lib.scala 104:78] + node _T_138 = mux(_T_134, UInt<1>("h01"), _T_137) @[lib.scala 104:23] + _T_54[11] <= _T_138 @[lib.scala 104:17] + node _T_139 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[lib.scala 104:28] + node _T_140 = andr(_T_139) @[lib.scala 104:36] + node _T_141 = and(_T_140, _T_57) @[lib.scala 104:41] + node _T_142 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[lib.scala 104:74] + node _T_143 = bits(lsu_match_data_0, 12, 12) @[lib.scala 104:86] + node _T_144 = eq(_T_142, _T_143) @[lib.scala 104:78] + node _T_145 = mux(_T_141, UInt<1>("h01"), _T_144) @[lib.scala 104:23] + _T_54[12] <= _T_145 @[lib.scala 104:17] + node _T_146 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[lib.scala 104:28] + node _T_147 = andr(_T_146) @[lib.scala 104:36] + node _T_148 = and(_T_147, _T_57) @[lib.scala 104:41] + node _T_149 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[lib.scala 104:74] + node _T_150 = bits(lsu_match_data_0, 13, 13) @[lib.scala 104:86] + node _T_151 = eq(_T_149, _T_150) @[lib.scala 104:78] + node _T_152 = mux(_T_148, UInt<1>("h01"), _T_151) @[lib.scala 104:23] + _T_54[13] <= _T_152 @[lib.scala 104:17] + node _T_153 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[lib.scala 104:28] + node _T_154 = andr(_T_153) @[lib.scala 104:36] + node _T_155 = and(_T_154, _T_57) @[lib.scala 104:41] + node _T_156 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[lib.scala 104:74] + node _T_157 = bits(lsu_match_data_0, 14, 14) @[lib.scala 104:86] + node _T_158 = eq(_T_156, _T_157) @[lib.scala 104:78] + node _T_159 = mux(_T_155, UInt<1>("h01"), _T_158) @[lib.scala 104:23] + _T_54[14] <= _T_159 @[lib.scala 104:17] + node _T_160 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[lib.scala 104:28] + node _T_161 = andr(_T_160) @[lib.scala 104:36] + node _T_162 = and(_T_161, _T_57) @[lib.scala 104:41] + node _T_163 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[lib.scala 104:74] + node _T_164 = bits(lsu_match_data_0, 15, 15) @[lib.scala 104:86] + node _T_165 = eq(_T_163, _T_164) @[lib.scala 104:78] + node _T_166 = mux(_T_162, UInt<1>("h01"), _T_165) @[lib.scala 104:23] + _T_54[15] <= _T_166 @[lib.scala 104:17] + node _T_167 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[lib.scala 104:28] + node _T_168 = andr(_T_167) @[lib.scala 104:36] + node _T_169 = and(_T_168, _T_57) @[lib.scala 104:41] + node _T_170 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[lib.scala 104:74] + node _T_171 = bits(lsu_match_data_0, 16, 16) @[lib.scala 104:86] + node _T_172 = eq(_T_170, _T_171) @[lib.scala 104:78] + node _T_173 = mux(_T_169, UInt<1>("h01"), _T_172) @[lib.scala 104:23] + _T_54[16] <= _T_173 @[lib.scala 104:17] + node _T_174 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[lib.scala 104:28] + node _T_175 = andr(_T_174) @[lib.scala 104:36] + node _T_176 = and(_T_175, _T_57) @[lib.scala 104:41] + node _T_177 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[lib.scala 104:74] + node _T_178 = bits(lsu_match_data_0, 17, 17) @[lib.scala 104:86] + node _T_179 = eq(_T_177, _T_178) @[lib.scala 104:78] + node _T_180 = mux(_T_176, UInt<1>("h01"), _T_179) @[lib.scala 104:23] + _T_54[17] <= _T_180 @[lib.scala 104:17] + node _T_181 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[lib.scala 104:28] + node _T_182 = andr(_T_181) @[lib.scala 104:36] + node _T_183 = and(_T_182, _T_57) @[lib.scala 104:41] + node _T_184 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[lib.scala 104:74] + node _T_185 = bits(lsu_match_data_0, 18, 18) @[lib.scala 104:86] + node _T_186 = eq(_T_184, _T_185) @[lib.scala 104:78] + node _T_187 = mux(_T_183, UInt<1>("h01"), _T_186) @[lib.scala 104:23] + _T_54[18] <= _T_187 @[lib.scala 104:17] + node _T_188 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[lib.scala 104:28] + node _T_189 = andr(_T_188) @[lib.scala 104:36] + node _T_190 = and(_T_189, _T_57) @[lib.scala 104:41] + node _T_191 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[lib.scala 104:74] + node _T_192 = bits(lsu_match_data_0, 19, 19) @[lib.scala 104:86] + node _T_193 = eq(_T_191, _T_192) @[lib.scala 104:78] + node _T_194 = mux(_T_190, UInt<1>("h01"), _T_193) @[lib.scala 104:23] + _T_54[19] <= _T_194 @[lib.scala 104:17] + node _T_195 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[lib.scala 104:28] + node _T_196 = andr(_T_195) @[lib.scala 104:36] + node _T_197 = and(_T_196, _T_57) @[lib.scala 104:41] + node _T_198 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[lib.scala 104:74] + node _T_199 = bits(lsu_match_data_0, 20, 20) @[lib.scala 104:86] + node _T_200 = eq(_T_198, _T_199) @[lib.scala 104:78] + node _T_201 = mux(_T_197, UInt<1>("h01"), _T_200) @[lib.scala 104:23] + _T_54[20] <= _T_201 @[lib.scala 104:17] + node _T_202 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[lib.scala 104:28] + node _T_203 = andr(_T_202) @[lib.scala 104:36] + node _T_204 = and(_T_203, _T_57) @[lib.scala 104:41] + node _T_205 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[lib.scala 104:74] + node _T_206 = bits(lsu_match_data_0, 21, 21) @[lib.scala 104:86] + node _T_207 = eq(_T_205, _T_206) @[lib.scala 104:78] + node _T_208 = mux(_T_204, UInt<1>("h01"), _T_207) @[lib.scala 104:23] + _T_54[21] <= _T_208 @[lib.scala 104:17] + node _T_209 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[lib.scala 104:28] + node _T_210 = andr(_T_209) @[lib.scala 104:36] + node _T_211 = and(_T_210, _T_57) @[lib.scala 104:41] + node _T_212 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[lib.scala 104:74] + node _T_213 = bits(lsu_match_data_0, 22, 22) @[lib.scala 104:86] + node _T_214 = eq(_T_212, _T_213) @[lib.scala 104:78] + node _T_215 = mux(_T_211, UInt<1>("h01"), _T_214) @[lib.scala 104:23] + _T_54[22] <= _T_215 @[lib.scala 104:17] + node _T_216 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[lib.scala 104:28] + node _T_217 = andr(_T_216) @[lib.scala 104:36] + node _T_218 = and(_T_217, _T_57) @[lib.scala 104:41] + node _T_219 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[lib.scala 104:74] + node _T_220 = bits(lsu_match_data_0, 23, 23) @[lib.scala 104:86] + node _T_221 = eq(_T_219, _T_220) @[lib.scala 104:78] + node _T_222 = mux(_T_218, UInt<1>("h01"), _T_221) @[lib.scala 104:23] + _T_54[23] <= _T_222 @[lib.scala 104:17] + node _T_223 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[lib.scala 104:28] + node _T_224 = andr(_T_223) @[lib.scala 104:36] + node _T_225 = and(_T_224, _T_57) @[lib.scala 104:41] + node _T_226 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[lib.scala 104:74] + node _T_227 = bits(lsu_match_data_0, 24, 24) @[lib.scala 104:86] + node _T_228 = eq(_T_226, _T_227) @[lib.scala 104:78] + node _T_229 = mux(_T_225, UInt<1>("h01"), _T_228) @[lib.scala 104:23] + _T_54[24] <= _T_229 @[lib.scala 104:17] + node _T_230 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[lib.scala 104:28] + node _T_231 = andr(_T_230) @[lib.scala 104:36] + node _T_232 = and(_T_231, _T_57) @[lib.scala 104:41] + node _T_233 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[lib.scala 104:74] + node _T_234 = bits(lsu_match_data_0, 25, 25) @[lib.scala 104:86] + node _T_235 = eq(_T_233, _T_234) @[lib.scala 104:78] + node _T_236 = mux(_T_232, UInt<1>("h01"), _T_235) @[lib.scala 104:23] + _T_54[25] <= _T_236 @[lib.scala 104:17] + node _T_237 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[lib.scala 104:28] + node _T_238 = andr(_T_237) @[lib.scala 104:36] + node _T_239 = and(_T_238, _T_57) @[lib.scala 104:41] + node _T_240 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[lib.scala 104:74] + node _T_241 = bits(lsu_match_data_0, 26, 26) @[lib.scala 104:86] + node _T_242 = eq(_T_240, _T_241) @[lib.scala 104:78] + node _T_243 = mux(_T_239, UInt<1>("h01"), _T_242) @[lib.scala 104:23] + _T_54[26] <= _T_243 @[lib.scala 104:17] + node _T_244 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[lib.scala 104:28] + node _T_245 = andr(_T_244) @[lib.scala 104:36] + node _T_246 = and(_T_245, _T_57) @[lib.scala 104:41] + node _T_247 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[lib.scala 104:74] + node _T_248 = bits(lsu_match_data_0, 27, 27) @[lib.scala 104:86] + node _T_249 = eq(_T_247, _T_248) @[lib.scala 104:78] + node _T_250 = mux(_T_246, UInt<1>("h01"), _T_249) @[lib.scala 104:23] + _T_54[27] <= _T_250 @[lib.scala 104:17] + node _T_251 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[lib.scala 104:28] + node _T_252 = andr(_T_251) @[lib.scala 104:36] + node _T_253 = and(_T_252, _T_57) @[lib.scala 104:41] + node _T_254 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[lib.scala 104:74] + node _T_255 = bits(lsu_match_data_0, 28, 28) @[lib.scala 104:86] + node _T_256 = eq(_T_254, _T_255) @[lib.scala 104:78] + node _T_257 = mux(_T_253, UInt<1>("h01"), _T_256) @[lib.scala 104:23] + _T_54[28] <= _T_257 @[lib.scala 104:17] + node _T_258 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[lib.scala 104:28] + node _T_259 = andr(_T_258) @[lib.scala 104:36] + node _T_260 = and(_T_259, _T_57) @[lib.scala 104:41] + node _T_261 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[lib.scala 104:74] + node _T_262 = bits(lsu_match_data_0, 29, 29) @[lib.scala 104:86] + node _T_263 = eq(_T_261, _T_262) @[lib.scala 104:78] + node _T_264 = mux(_T_260, UInt<1>("h01"), _T_263) @[lib.scala 104:23] + _T_54[29] <= _T_264 @[lib.scala 104:17] + node _T_265 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[lib.scala 104:28] + node _T_266 = andr(_T_265) @[lib.scala 104:36] + node _T_267 = and(_T_266, _T_57) @[lib.scala 104:41] + node _T_268 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[lib.scala 104:74] + node _T_269 = bits(lsu_match_data_0, 30, 30) @[lib.scala 104:86] + node _T_270 = eq(_T_268, _T_269) @[lib.scala 104:78] + node _T_271 = mux(_T_267, UInt<1>("h01"), _T_270) @[lib.scala 104:23] + _T_54[30] <= _T_271 @[lib.scala 104:17] + node _T_272 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[lib.scala 104:28] + node _T_273 = andr(_T_272) @[lib.scala 104:36] + node _T_274 = and(_T_273, _T_57) @[lib.scala 104:41] + node _T_275 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[lib.scala 104:74] + node _T_276 = bits(lsu_match_data_0, 31, 31) @[lib.scala 104:86] + node _T_277 = eq(_T_275, _T_276) @[lib.scala 104:78] + node _T_278 = mux(_T_274, UInt<1>("h01"), _T_277) @[lib.scala 104:23] + _T_54[31] <= _T_278 @[lib.scala 104:17] + node _T_279 = cat(_T_54[1], _T_54[0]) @[lib.scala 105:14] + node _T_280 = cat(_T_54[3], _T_54[2]) @[lib.scala 105:14] + node _T_281 = cat(_T_280, _T_279) @[lib.scala 105:14] + node _T_282 = cat(_T_54[5], _T_54[4]) @[lib.scala 105:14] + node _T_283 = cat(_T_54[7], _T_54[6]) @[lib.scala 105:14] + node _T_284 = cat(_T_283, _T_282) @[lib.scala 105:14] + node _T_285 = cat(_T_284, _T_281) @[lib.scala 105:14] + node _T_286 = cat(_T_54[9], _T_54[8]) @[lib.scala 105:14] + node _T_287 = cat(_T_54[11], _T_54[10]) @[lib.scala 105:14] + node _T_288 = cat(_T_287, _T_286) @[lib.scala 105:14] + node _T_289 = cat(_T_54[13], _T_54[12]) @[lib.scala 105:14] + node _T_290 = cat(_T_54[15], _T_54[14]) @[lib.scala 105:14] + node _T_291 = cat(_T_290, _T_289) @[lib.scala 105:14] + node _T_292 = cat(_T_291, _T_288) @[lib.scala 105:14] + node _T_293 = cat(_T_292, _T_285) @[lib.scala 105:14] + node _T_294 = cat(_T_54[17], _T_54[16]) @[lib.scala 105:14] + node _T_295 = cat(_T_54[19], _T_54[18]) @[lib.scala 105:14] + node _T_296 = cat(_T_295, _T_294) @[lib.scala 105:14] + node _T_297 = cat(_T_54[21], _T_54[20]) @[lib.scala 105:14] + node _T_298 = cat(_T_54[23], _T_54[22]) @[lib.scala 105:14] + node _T_299 = cat(_T_298, _T_297) @[lib.scala 105:14] + node _T_300 = cat(_T_299, _T_296) @[lib.scala 105:14] + node _T_301 = cat(_T_54[25], _T_54[24]) @[lib.scala 105:14] + node _T_302 = cat(_T_54[27], _T_54[26]) @[lib.scala 105:14] + node _T_303 = cat(_T_302, _T_301) @[lib.scala 105:14] + node _T_304 = cat(_T_54[29], _T_54[28]) @[lib.scala 105:14] + node _T_305 = cat(_T_54[31], _T_54[30]) @[lib.scala 105:14] + node _T_306 = cat(_T_305, _T_304) @[lib.scala 105:14] + node _T_307 = cat(_T_306, _T_303) @[lib.scala 105:14] + node _T_308 = cat(_T_307, _T_300) @[lib.scala 105:14] + node _T_309 = cat(_T_308, _T_293) @[lib.scala 105:14] + node _T_310 = andr(_T_309) @[lib.scala 105:25] + node _T_311 = and(_T_52, _T_310) @[lsu_trigger.scala 21:92] + node _T_312 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_313 = and(io.lsu_pkt_m.valid, _T_312) @[lsu_trigger.scala 20:68] + node _T_314 = and(_T_313, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_315 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_316 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_317 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_318 = and(_T_316, _T_317) @[lsu_trigger.scala 21:58] + node _T_319 = or(_T_315, _T_318) @[lsu_trigger.scala 20:168] + node _T_320 = and(_T_314, _T_319) @[lsu_trigger.scala 20:110] + node _T_321 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_322 : UInt<1>[32] @[lib.scala 100:24] + node _T_323 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 101:45] + node _T_324 = not(_T_323) @[lib.scala 101:39] + node _T_325 = and(_T_321, _T_324) @[lib.scala 101:37] + node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 102:48] + node _T_327 = bits(lsu_match_data_1, 0, 0) @[lib.scala 102:60] + node _T_328 = eq(_T_326, _T_327) @[lib.scala 102:52] + node _T_329 = or(_T_325, _T_328) @[lib.scala 102:41] + _T_322[0] <= _T_329 @[lib.scala 102:18] + node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 104:28] + node _T_331 = andr(_T_330) @[lib.scala 104:36] + node _T_332 = and(_T_331, _T_325) @[lib.scala 104:41] + node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 104:74] + node _T_334 = bits(lsu_match_data_1, 1, 1) @[lib.scala 104:86] + node _T_335 = eq(_T_333, _T_334) @[lib.scala 104:78] + node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 104:23] + _T_322[1] <= _T_336 @[lib.scala 104:17] + node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 104:28] + node _T_338 = andr(_T_337) @[lib.scala 104:36] + node _T_339 = and(_T_338, _T_325) @[lib.scala 104:41] + node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 104:74] + node _T_341 = bits(lsu_match_data_1, 2, 2) @[lib.scala 104:86] + node _T_342 = eq(_T_340, _T_341) @[lib.scala 104:78] + node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 104:23] + _T_322[2] <= _T_343 @[lib.scala 104:17] + node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 104:28] + node _T_345 = andr(_T_344) @[lib.scala 104:36] + node _T_346 = and(_T_345, _T_325) @[lib.scala 104:41] + node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 104:74] + node _T_348 = bits(lsu_match_data_1, 3, 3) @[lib.scala 104:86] + node _T_349 = eq(_T_347, _T_348) @[lib.scala 104:78] + node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 104:23] + _T_322[3] <= _T_350 @[lib.scala 104:17] + node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 104:28] + node _T_352 = andr(_T_351) @[lib.scala 104:36] + node _T_353 = and(_T_352, _T_325) @[lib.scala 104:41] + node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 104:74] + node _T_355 = bits(lsu_match_data_1, 4, 4) @[lib.scala 104:86] + node _T_356 = eq(_T_354, _T_355) @[lib.scala 104:78] + node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 104:23] + _T_322[4] <= _T_357 @[lib.scala 104:17] + node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 104:28] + node _T_359 = andr(_T_358) @[lib.scala 104:36] + node _T_360 = and(_T_359, _T_325) @[lib.scala 104:41] + node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 104:74] + node _T_362 = bits(lsu_match_data_1, 5, 5) @[lib.scala 104:86] + node _T_363 = eq(_T_361, _T_362) @[lib.scala 104:78] + node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 104:23] + _T_322[5] <= _T_364 @[lib.scala 104:17] + node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 104:28] + node _T_366 = andr(_T_365) @[lib.scala 104:36] + node _T_367 = and(_T_366, _T_325) @[lib.scala 104:41] + node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 104:74] + node _T_369 = bits(lsu_match_data_1, 6, 6) @[lib.scala 104:86] + node _T_370 = eq(_T_368, _T_369) @[lib.scala 104:78] + node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 104:23] + _T_322[6] <= _T_371 @[lib.scala 104:17] + node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 104:28] + node _T_373 = andr(_T_372) @[lib.scala 104:36] + node _T_374 = and(_T_373, _T_325) @[lib.scala 104:41] + node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 104:74] + node _T_376 = bits(lsu_match_data_1, 7, 7) @[lib.scala 104:86] + node _T_377 = eq(_T_375, _T_376) @[lib.scala 104:78] + node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 104:23] + _T_322[7] <= _T_378 @[lib.scala 104:17] + node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 104:28] + node _T_380 = andr(_T_379) @[lib.scala 104:36] + node _T_381 = and(_T_380, _T_325) @[lib.scala 104:41] + node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 104:74] + node _T_383 = bits(lsu_match_data_1, 8, 8) @[lib.scala 104:86] + node _T_384 = eq(_T_382, _T_383) @[lib.scala 104:78] + node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 104:23] + _T_322[8] <= _T_385 @[lib.scala 104:17] + node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 104:28] + node _T_387 = andr(_T_386) @[lib.scala 104:36] + node _T_388 = and(_T_387, _T_325) @[lib.scala 104:41] + node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 104:74] + node _T_390 = bits(lsu_match_data_1, 9, 9) @[lib.scala 104:86] + node _T_391 = eq(_T_389, _T_390) @[lib.scala 104:78] + node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 104:23] + _T_322[9] <= _T_392 @[lib.scala 104:17] + node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 104:28] + node _T_394 = andr(_T_393) @[lib.scala 104:36] + node _T_395 = and(_T_394, _T_325) @[lib.scala 104:41] + node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 104:74] + node _T_397 = bits(lsu_match_data_1, 10, 10) @[lib.scala 104:86] + node _T_398 = eq(_T_396, _T_397) @[lib.scala 104:78] + node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 104:23] + _T_322[10] <= _T_399 @[lib.scala 104:17] + node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 104:28] + node _T_401 = andr(_T_400) @[lib.scala 104:36] + node _T_402 = and(_T_401, _T_325) @[lib.scala 104:41] + node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 104:74] + node _T_404 = bits(lsu_match_data_1, 11, 11) @[lib.scala 104:86] + node _T_405 = eq(_T_403, _T_404) @[lib.scala 104:78] + node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 104:23] + _T_322[11] <= _T_406 @[lib.scala 104:17] + node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 104:28] + node _T_408 = andr(_T_407) @[lib.scala 104:36] + node _T_409 = and(_T_408, _T_325) @[lib.scala 104:41] + node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 104:74] + node _T_411 = bits(lsu_match_data_1, 12, 12) @[lib.scala 104:86] + node _T_412 = eq(_T_410, _T_411) @[lib.scala 104:78] + node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 104:23] + _T_322[12] <= _T_413 @[lib.scala 104:17] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 104:28] + node _T_415 = andr(_T_414) @[lib.scala 104:36] + node _T_416 = and(_T_415, _T_325) @[lib.scala 104:41] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 104:74] + node _T_418 = bits(lsu_match_data_1, 13, 13) @[lib.scala 104:86] + node _T_419 = eq(_T_417, _T_418) @[lib.scala 104:78] + node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 104:23] + _T_322[13] <= _T_420 @[lib.scala 104:17] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 104:28] + node _T_422 = andr(_T_421) @[lib.scala 104:36] + node _T_423 = and(_T_422, _T_325) @[lib.scala 104:41] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 104:74] + node _T_425 = bits(lsu_match_data_1, 14, 14) @[lib.scala 104:86] + node _T_426 = eq(_T_424, _T_425) @[lib.scala 104:78] + node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 104:23] + _T_322[14] <= _T_427 @[lib.scala 104:17] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 104:28] + node _T_429 = andr(_T_428) @[lib.scala 104:36] + node _T_430 = and(_T_429, _T_325) @[lib.scala 104:41] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 104:74] + node _T_432 = bits(lsu_match_data_1, 15, 15) @[lib.scala 104:86] + node _T_433 = eq(_T_431, _T_432) @[lib.scala 104:78] + node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 104:23] + _T_322[15] <= _T_434 @[lib.scala 104:17] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 104:28] + node _T_436 = andr(_T_435) @[lib.scala 104:36] + node _T_437 = and(_T_436, _T_325) @[lib.scala 104:41] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 104:74] + node _T_439 = bits(lsu_match_data_1, 16, 16) @[lib.scala 104:86] + node _T_440 = eq(_T_438, _T_439) @[lib.scala 104:78] + node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 104:23] + _T_322[16] <= _T_441 @[lib.scala 104:17] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 104:28] + node _T_443 = andr(_T_442) @[lib.scala 104:36] + node _T_444 = and(_T_443, _T_325) @[lib.scala 104:41] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 104:74] + node _T_446 = bits(lsu_match_data_1, 17, 17) @[lib.scala 104:86] + node _T_447 = eq(_T_445, _T_446) @[lib.scala 104:78] + node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 104:23] + _T_322[17] <= _T_448 @[lib.scala 104:17] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 104:28] + node _T_450 = andr(_T_449) @[lib.scala 104:36] + node _T_451 = and(_T_450, _T_325) @[lib.scala 104:41] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 104:74] + node _T_453 = bits(lsu_match_data_1, 18, 18) @[lib.scala 104:86] + node _T_454 = eq(_T_452, _T_453) @[lib.scala 104:78] + node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 104:23] + _T_322[18] <= _T_455 @[lib.scala 104:17] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 104:28] + node _T_457 = andr(_T_456) @[lib.scala 104:36] + node _T_458 = and(_T_457, _T_325) @[lib.scala 104:41] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 104:74] + node _T_460 = bits(lsu_match_data_1, 19, 19) @[lib.scala 104:86] + node _T_461 = eq(_T_459, _T_460) @[lib.scala 104:78] + node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 104:23] + _T_322[19] <= _T_462 @[lib.scala 104:17] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 104:28] + node _T_464 = andr(_T_463) @[lib.scala 104:36] + node _T_465 = and(_T_464, _T_325) @[lib.scala 104:41] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 104:74] + node _T_467 = bits(lsu_match_data_1, 20, 20) @[lib.scala 104:86] + node _T_468 = eq(_T_466, _T_467) @[lib.scala 104:78] + node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 104:23] + _T_322[20] <= _T_469 @[lib.scala 104:17] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 104:28] + node _T_471 = andr(_T_470) @[lib.scala 104:36] + node _T_472 = and(_T_471, _T_325) @[lib.scala 104:41] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 104:74] + node _T_474 = bits(lsu_match_data_1, 21, 21) @[lib.scala 104:86] + node _T_475 = eq(_T_473, _T_474) @[lib.scala 104:78] + node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 104:23] + _T_322[21] <= _T_476 @[lib.scala 104:17] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 104:28] + node _T_478 = andr(_T_477) @[lib.scala 104:36] + node _T_479 = and(_T_478, _T_325) @[lib.scala 104:41] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 104:74] + node _T_481 = bits(lsu_match_data_1, 22, 22) @[lib.scala 104:86] + node _T_482 = eq(_T_480, _T_481) @[lib.scala 104:78] + node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 104:23] + _T_322[22] <= _T_483 @[lib.scala 104:17] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 104:28] + node _T_485 = andr(_T_484) @[lib.scala 104:36] + node _T_486 = and(_T_485, _T_325) @[lib.scala 104:41] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 104:74] + node _T_488 = bits(lsu_match_data_1, 23, 23) @[lib.scala 104:86] + node _T_489 = eq(_T_487, _T_488) @[lib.scala 104:78] + node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 104:23] + _T_322[23] <= _T_490 @[lib.scala 104:17] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 104:28] + node _T_492 = andr(_T_491) @[lib.scala 104:36] + node _T_493 = and(_T_492, _T_325) @[lib.scala 104:41] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 104:74] + node _T_495 = bits(lsu_match_data_1, 24, 24) @[lib.scala 104:86] + node _T_496 = eq(_T_494, _T_495) @[lib.scala 104:78] + node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 104:23] + _T_322[24] <= _T_497 @[lib.scala 104:17] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 104:28] + node _T_499 = andr(_T_498) @[lib.scala 104:36] + node _T_500 = and(_T_499, _T_325) @[lib.scala 104:41] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 104:74] + node _T_502 = bits(lsu_match_data_1, 25, 25) @[lib.scala 104:86] + node _T_503 = eq(_T_501, _T_502) @[lib.scala 104:78] + node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 104:23] + _T_322[25] <= _T_504 @[lib.scala 104:17] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 104:28] + node _T_506 = andr(_T_505) @[lib.scala 104:36] + node _T_507 = and(_T_506, _T_325) @[lib.scala 104:41] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 104:74] + node _T_509 = bits(lsu_match_data_1, 26, 26) @[lib.scala 104:86] + node _T_510 = eq(_T_508, _T_509) @[lib.scala 104:78] + node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 104:23] + _T_322[26] <= _T_511 @[lib.scala 104:17] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 104:28] + node _T_513 = andr(_T_512) @[lib.scala 104:36] + node _T_514 = and(_T_513, _T_325) @[lib.scala 104:41] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 104:74] + node _T_516 = bits(lsu_match_data_1, 27, 27) @[lib.scala 104:86] + node _T_517 = eq(_T_515, _T_516) @[lib.scala 104:78] + node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 104:23] + _T_322[27] <= _T_518 @[lib.scala 104:17] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 104:28] + node _T_520 = andr(_T_519) @[lib.scala 104:36] + node _T_521 = and(_T_520, _T_325) @[lib.scala 104:41] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 104:74] + node _T_523 = bits(lsu_match_data_1, 28, 28) @[lib.scala 104:86] + node _T_524 = eq(_T_522, _T_523) @[lib.scala 104:78] + node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 104:23] + _T_322[28] <= _T_525 @[lib.scala 104:17] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 104:28] + node _T_527 = andr(_T_526) @[lib.scala 104:36] + node _T_528 = and(_T_527, _T_325) @[lib.scala 104:41] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 104:74] + node _T_530 = bits(lsu_match_data_1, 29, 29) @[lib.scala 104:86] + node _T_531 = eq(_T_529, _T_530) @[lib.scala 104:78] + node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 104:23] + _T_322[29] <= _T_532 @[lib.scala 104:17] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 104:28] + node _T_534 = andr(_T_533) @[lib.scala 104:36] + node _T_535 = and(_T_534, _T_325) @[lib.scala 104:41] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 104:74] + node _T_537 = bits(lsu_match_data_1, 30, 30) @[lib.scala 104:86] + node _T_538 = eq(_T_536, _T_537) @[lib.scala 104:78] + node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 104:23] + _T_322[30] <= _T_539 @[lib.scala 104:17] + node _T_540 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 104:28] + node _T_541 = andr(_T_540) @[lib.scala 104:36] + node _T_542 = and(_T_541, _T_325) @[lib.scala 104:41] + node _T_543 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 104:74] + node _T_544 = bits(lsu_match_data_1, 31, 31) @[lib.scala 104:86] + node _T_545 = eq(_T_543, _T_544) @[lib.scala 104:78] + node _T_546 = mux(_T_542, UInt<1>("h01"), _T_545) @[lib.scala 104:23] + _T_322[31] <= _T_546 @[lib.scala 104:17] + node _T_547 = cat(_T_322[1], _T_322[0]) @[lib.scala 105:14] + node _T_548 = cat(_T_322[3], _T_322[2]) @[lib.scala 105:14] + node _T_549 = cat(_T_548, _T_547) @[lib.scala 105:14] + node _T_550 = cat(_T_322[5], _T_322[4]) @[lib.scala 105:14] + node _T_551 = cat(_T_322[7], _T_322[6]) @[lib.scala 105:14] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 105:14] + node _T_553 = cat(_T_552, _T_549) @[lib.scala 105:14] + node _T_554 = cat(_T_322[9], _T_322[8]) @[lib.scala 105:14] + node _T_555 = cat(_T_322[11], _T_322[10]) @[lib.scala 105:14] + node _T_556 = cat(_T_555, _T_554) @[lib.scala 105:14] + node _T_557 = cat(_T_322[13], _T_322[12]) @[lib.scala 105:14] + node _T_558 = cat(_T_322[15], _T_322[14]) @[lib.scala 105:14] + node _T_559 = cat(_T_558, _T_557) @[lib.scala 105:14] + node _T_560 = cat(_T_559, _T_556) @[lib.scala 105:14] + node _T_561 = cat(_T_560, _T_553) @[lib.scala 105:14] + node _T_562 = cat(_T_322[17], _T_322[16]) @[lib.scala 105:14] + node _T_563 = cat(_T_322[19], _T_322[18]) @[lib.scala 105:14] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 105:14] + node _T_565 = cat(_T_322[21], _T_322[20]) @[lib.scala 105:14] + node _T_566 = cat(_T_322[23], _T_322[22]) @[lib.scala 105:14] + node _T_567 = cat(_T_566, _T_565) @[lib.scala 105:14] + node _T_568 = cat(_T_567, _T_564) @[lib.scala 105:14] + node _T_569 = cat(_T_322[25], _T_322[24]) @[lib.scala 105:14] + node _T_570 = cat(_T_322[27], _T_322[26]) @[lib.scala 105:14] + node _T_571 = cat(_T_570, _T_569) @[lib.scala 105:14] + node _T_572 = cat(_T_322[29], _T_322[28]) @[lib.scala 105:14] + node _T_573 = cat(_T_322[31], _T_322[30]) @[lib.scala 105:14] + node _T_574 = cat(_T_573, _T_572) @[lib.scala 105:14] + node _T_575 = cat(_T_574, _T_571) @[lib.scala 105:14] + node _T_576 = cat(_T_575, _T_568) @[lib.scala 105:14] + node _T_577 = cat(_T_576, _T_561) @[lib.scala 105:14] + node _T_578 = andr(_T_577) @[lib.scala 105:25] + node _T_579 = and(_T_320, _T_578) @[lsu_trigger.scala 21:92] + node _T_580 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_581 = and(io.lsu_pkt_m.valid, _T_580) @[lsu_trigger.scala 20:68] + node _T_582 = and(_T_581, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_583 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_584 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_585 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_586 = and(_T_584, _T_585) @[lsu_trigger.scala 21:58] + node _T_587 = or(_T_583, _T_586) @[lsu_trigger.scala 20:168] + node _T_588 = and(_T_582, _T_587) @[lsu_trigger.scala 20:110] + node _T_589 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_590 : UInt<1>[32] @[lib.scala 100:24] + node _T_591 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 101:45] + node _T_592 = not(_T_591) @[lib.scala 101:39] + node _T_593 = and(_T_589, _T_592) @[lib.scala 101:37] + node _T_594 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 102:48] + node _T_595 = bits(lsu_match_data_2, 0, 0) @[lib.scala 102:60] + node _T_596 = eq(_T_594, _T_595) @[lib.scala 102:52] + node _T_597 = or(_T_593, _T_596) @[lib.scala 102:41] + _T_590[0] <= _T_597 @[lib.scala 102:18] + node _T_598 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 104:28] + node _T_599 = andr(_T_598) @[lib.scala 104:36] + node _T_600 = and(_T_599, _T_593) @[lib.scala 104:41] + node _T_601 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 104:74] + node _T_602 = bits(lsu_match_data_2, 1, 1) @[lib.scala 104:86] + node _T_603 = eq(_T_601, _T_602) @[lib.scala 104:78] + node _T_604 = mux(_T_600, UInt<1>("h01"), _T_603) @[lib.scala 104:23] + _T_590[1] <= _T_604 @[lib.scala 104:17] + node _T_605 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 104:28] + node _T_606 = andr(_T_605) @[lib.scala 104:36] + node _T_607 = and(_T_606, _T_593) @[lib.scala 104:41] + node _T_608 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 104:74] + node _T_609 = bits(lsu_match_data_2, 2, 2) @[lib.scala 104:86] + node _T_610 = eq(_T_608, _T_609) @[lib.scala 104:78] + node _T_611 = mux(_T_607, UInt<1>("h01"), _T_610) @[lib.scala 104:23] + _T_590[2] <= _T_611 @[lib.scala 104:17] + node _T_612 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 104:28] + node _T_613 = andr(_T_612) @[lib.scala 104:36] + node _T_614 = and(_T_613, _T_593) @[lib.scala 104:41] + node _T_615 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 104:74] + node _T_616 = bits(lsu_match_data_2, 3, 3) @[lib.scala 104:86] + node _T_617 = eq(_T_615, _T_616) @[lib.scala 104:78] + node _T_618 = mux(_T_614, UInt<1>("h01"), _T_617) @[lib.scala 104:23] + _T_590[3] <= _T_618 @[lib.scala 104:17] + node _T_619 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 104:28] + node _T_620 = andr(_T_619) @[lib.scala 104:36] + node _T_621 = and(_T_620, _T_593) @[lib.scala 104:41] + node _T_622 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 104:74] + node _T_623 = bits(lsu_match_data_2, 4, 4) @[lib.scala 104:86] + node _T_624 = eq(_T_622, _T_623) @[lib.scala 104:78] + node _T_625 = mux(_T_621, UInt<1>("h01"), _T_624) @[lib.scala 104:23] + _T_590[4] <= _T_625 @[lib.scala 104:17] + node _T_626 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 104:28] + node _T_627 = andr(_T_626) @[lib.scala 104:36] + node _T_628 = and(_T_627, _T_593) @[lib.scala 104:41] + node _T_629 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 104:74] + node _T_630 = bits(lsu_match_data_2, 5, 5) @[lib.scala 104:86] + node _T_631 = eq(_T_629, _T_630) @[lib.scala 104:78] + node _T_632 = mux(_T_628, UInt<1>("h01"), _T_631) @[lib.scala 104:23] + _T_590[5] <= _T_632 @[lib.scala 104:17] + node _T_633 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 104:28] + node _T_634 = andr(_T_633) @[lib.scala 104:36] + node _T_635 = and(_T_634, _T_593) @[lib.scala 104:41] + node _T_636 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 104:74] + node _T_637 = bits(lsu_match_data_2, 6, 6) @[lib.scala 104:86] + node _T_638 = eq(_T_636, _T_637) @[lib.scala 104:78] + node _T_639 = mux(_T_635, UInt<1>("h01"), _T_638) @[lib.scala 104:23] + _T_590[6] <= _T_639 @[lib.scala 104:17] + node _T_640 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 104:28] + node _T_641 = andr(_T_640) @[lib.scala 104:36] + node _T_642 = and(_T_641, _T_593) @[lib.scala 104:41] + node _T_643 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 104:74] + node _T_644 = bits(lsu_match_data_2, 7, 7) @[lib.scala 104:86] + node _T_645 = eq(_T_643, _T_644) @[lib.scala 104:78] + node _T_646 = mux(_T_642, UInt<1>("h01"), _T_645) @[lib.scala 104:23] + _T_590[7] <= _T_646 @[lib.scala 104:17] + node _T_647 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 104:28] + node _T_648 = andr(_T_647) @[lib.scala 104:36] + node _T_649 = and(_T_648, _T_593) @[lib.scala 104:41] + node _T_650 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 104:74] + node _T_651 = bits(lsu_match_data_2, 8, 8) @[lib.scala 104:86] + node _T_652 = eq(_T_650, _T_651) @[lib.scala 104:78] + node _T_653 = mux(_T_649, UInt<1>("h01"), _T_652) @[lib.scala 104:23] + _T_590[8] <= _T_653 @[lib.scala 104:17] + node _T_654 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 104:28] + node _T_655 = andr(_T_654) @[lib.scala 104:36] + node _T_656 = and(_T_655, _T_593) @[lib.scala 104:41] + node _T_657 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 104:74] + node _T_658 = bits(lsu_match_data_2, 9, 9) @[lib.scala 104:86] + node _T_659 = eq(_T_657, _T_658) @[lib.scala 104:78] + node _T_660 = mux(_T_656, UInt<1>("h01"), _T_659) @[lib.scala 104:23] + _T_590[9] <= _T_660 @[lib.scala 104:17] + node _T_661 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 104:28] + node _T_662 = andr(_T_661) @[lib.scala 104:36] + node _T_663 = and(_T_662, _T_593) @[lib.scala 104:41] + node _T_664 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 104:74] + node _T_665 = bits(lsu_match_data_2, 10, 10) @[lib.scala 104:86] + node _T_666 = eq(_T_664, _T_665) @[lib.scala 104:78] + node _T_667 = mux(_T_663, UInt<1>("h01"), _T_666) @[lib.scala 104:23] + _T_590[10] <= _T_667 @[lib.scala 104:17] + node _T_668 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 104:28] + node _T_669 = andr(_T_668) @[lib.scala 104:36] + node _T_670 = and(_T_669, _T_593) @[lib.scala 104:41] + node _T_671 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 104:74] + node _T_672 = bits(lsu_match_data_2, 11, 11) @[lib.scala 104:86] + node _T_673 = eq(_T_671, _T_672) @[lib.scala 104:78] + node _T_674 = mux(_T_670, UInt<1>("h01"), _T_673) @[lib.scala 104:23] + _T_590[11] <= _T_674 @[lib.scala 104:17] + node _T_675 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 104:28] + node _T_676 = andr(_T_675) @[lib.scala 104:36] + node _T_677 = and(_T_676, _T_593) @[lib.scala 104:41] + node _T_678 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 104:74] + node _T_679 = bits(lsu_match_data_2, 12, 12) @[lib.scala 104:86] + node _T_680 = eq(_T_678, _T_679) @[lib.scala 104:78] + node _T_681 = mux(_T_677, UInt<1>("h01"), _T_680) @[lib.scala 104:23] + _T_590[12] <= _T_681 @[lib.scala 104:17] + node _T_682 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 104:28] + node _T_683 = andr(_T_682) @[lib.scala 104:36] + node _T_684 = and(_T_683, _T_593) @[lib.scala 104:41] + node _T_685 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 104:74] + node _T_686 = bits(lsu_match_data_2, 13, 13) @[lib.scala 104:86] + node _T_687 = eq(_T_685, _T_686) @[lib.scala 104:78] + node _T_688 = mux(_T_684, UInt<1>("h01"), _T_687) @[lib.scala 104:23] + _T_590[13] <= _T_688 @[lib.scala 104:17] + node _T_689 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 104:28] + node _T_690 = andr(_T_689) @[lib.scala 104:36] + node _T_691 = and(_T_690, _T_593) @[lib.scala 104:41] + node _T_692 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 104:74] + node _T_693 = bits(lsu_match_data_2, 14, 14) @[lib.scala 104:86] + node _T_694 = eq(_T_692, _T_693) @[lib.scala 104:78] + node _T_695 = mux(_T_691, UInt<1>("h01"), _T_694) @[lib.scala 104:23] + _T_590[14] <= _T_695 @[lib.scala 104:17] + node _T_696 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 104:28] + node _T_697 = andr(_T_696) @[lib.scala 104:36] + node _T_698 = and(_T_697, _T_593) @[lib.scala 104:41] + node _T_699 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 104:74] + node _T_700 = bits(lsu_match_data_2, 15, 15) @[lib.scala 104:86] + node _T_701 = eq(_T_699, _T_700) @[lib.scala 104:78] + node _T_702 = mux(_T_698, UInt<1>("h01"), _T_701) @[lib.scala 104:23] + _T_590[15] <= _T_702 @[lib.scala 104:17] + node _T_703 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 104:28] + node _T_704 = andr(_T_703) @[lib.scala 104:36] + node _T_705 = and(_T_704, _T_593) @[lib.scala 104:41] + node _T_706 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 104:74] + node _T_707 = bits(lsu_match_data_2, 16, 16) @[lib.scala 104:86] + node _T_708 = eq(_T_706, _T_707) @[lib.scala 104:78] + node _T_709 = mux(_T_705, UInt<1>("h01"), _T_708) @[lib.scala 104:23] + _T_590[16] <= _T_709 @[lib.scala 104:17] + node _T_710 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 104:28] + node _T_711 = andr(_T_710) @[lib.scala 104:36] + node _T_712 = and(_T_711, _T_593) @[lib.scala 104:41] + node _T_713 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 104:74] + node _T_714 = bits(lsu_match_data_2, 17, 17) @[lib.scala 104:86] + node _T_715 = eq(_T_713, _T_714) @[lib.scala 104:78] + node _T_716 = mux(_T_712, UInt<1>("h01"), _T_715) @[lib.scala 104:23] + _T_590[17] <= _T_716 @[lib.scala 104:17] + node _T_717 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 104:28] + node _T_718 = andr(_T_717) @[lib.scala 104:36] + node _T_719 = and(_T_718, _T_593) @[lib.scala 104:41] + node _T_720 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 104:74] + node _T_721 = bits(lsu_match_data_2, 18, 18) @[lib.scala 104:86] + node _T_722 = eq(_T_720, _T_721) @[lib.scala 104:78] + node _T_723 = mux(_T_719, UInt<1>("h01"), _T_722) @[lib.scala 104:23] + _T_590[18] <= _T_723 @[lib.scala 104:17] + node _T_724 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 104:28] + node _T_725 = andr(_T_724) @[lib.scala 104:36] + node _T_726 = and(_T_725, _T_593) @[lib.scala 104:41] + node _T_727 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 104:74] + node _T_728 = bits(lsu_match_data_2, 19, 19) @[lib.scala 104:86] + node _T_729 = eq(_T_727, _T_728) @[lib.scala 104:78] + node _T_730 = mux(_T_726, UInt<1>("h01"), _T_729) @[lib.scala 104:23] + _T_590[19] <= _T_730 @[lib.scala 104:17] + node _T_731 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 104:28] + node _T_732 = andr(_T_731) @[lib.scala 104:36] + node _T_733 = and(_T_732, _T_593) @[lib.scala 104:41] + node _T_734 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 104:74] + node _T_735 = bits(lsu_match_data_2, 20, 20) @[lib.scala 104:86] + node _T_736 = eq(_T_734, _T_735) @[lib.scala 104:78] + node _T_737 = mux(_T_733, UInt<1>("h01"), _T_736) @[lib.scala 104:23] + _T_590[20] <= _T_737 @[lib.scala 104:17] + node _T_738 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 104:28] + node _T_739 = andr(_T_738) @[lib.scala 104:36] + node _T_740 = and(_T_739, _T_593) @[lib.scala 104:41] + node _T_741 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 104:74] + node _T_742 = bits(lsu_match_data_2, 21, 21) @[lib.scala 104:86] + node _T_743 = eq(_T_741, _T_742) @[lib.scala 104:78] + node _T_744 = mux(_T_740, UInt<1>("h01"), _T_743) @[lib.scala 104:23] + _T_590[21] <= _T_744 @[lib.scala 104:17] + node _T_745 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 104:28] + node _T_746 = andr(_T_745) @[lib.scala 104:36] + node _T_747 = and(_T_746, _T_593) @[lib.scala 104:41] + node _T_748 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 104:74] + node _T_749 = bits(lsu_match_data_2, 22, 22) @[lib.scala 104:86] + node _T_750 = eq(_T_748, _T_749) @[lib.scala 104:78] + node _T_751 = mux(_T_747, UInt<1>("h01"), _T_750) @[lib.scala 104:23] + _T_590[22] <= _T_751 @[lib.scala 104:17] + node _T_752 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 104:28] + node _T_753 = andr(_T_752) @[lib.scala 104:36] + node _T_754 = and(_T_753, _T_593) @[lib.scala 104:41] + node _T_755 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 104:74] + node _T_756 = bits(lsu_match_data_2, 23, 23) @[lib.scala 104:86] + node _T_757 = eq(_T_755, _T_756) @[lib.scala 104:78] + node _T_758 = mux(_T_754, UInt<1>("h01"), _T_757) @[lib.scala 104:23] + _T_590[23] <= _T_758 @[lib.scala 104:17] + node _T_759 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 104:28] + node _T_760 = andr(_T_759) @[lib.scala 104:36] + node _T_761 = and(_T_760, _T_593) @[lib.scala 104:41] + node _T_762 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 104:74] + node _T_763 = bits(lsu_match_data_2, 24, 24) @[lib.scala 104:86] + node _T_764 = eq(_T_762, _T_763) @[lib.scala 104:78] + node _T_765 = mux(_T_761, UInt<1>("h01"), _T_764) @[lib.scala 104:23] + _T_590[24] <= _T_765 @[lib.scala 104:17] + node _T_766 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 104:28] + node _T_767 = andr(_T_766) @[lib.scala 104:36] + node _T_768 = and(_T_767, _T_593) @[lib.scala 104:41] + node _T_769 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 104:74] + node _T_770 = bits(lsu_match_data_2, 25, 25) @[lib.scala 104:86] + node _T_771 = eq(_T_769, _T_770) @[lib.scala 104:78] + node _T_772 = mux(_T_768, UInt<1>("h01"), _T_771) @[lib.scala 104:23] + _T_590[25] <= _T_772 @[lib.scala 104:17] + node _T_773 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 104:28] + node _T_774 = andr(_T_773) @[lib.scala 104:36] + node _T_775 = and(_T_774, _T_593) @[lib.scala 104:41] + node _T_776 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 104:74] + node _T_777 = bits(lsu_match_data_2, 26, 26) @[lib.scala 104:86] + node _T_778 = eq(_T_776, _T_777) @[lib.scala 104:78] + node _T_779 = mux(_T_775, UInt<1>("h01"), _T_778) @[lib.scala 104:23] + _T_590[26] <= _T_779 @[lib.scala 104:17] + node _T_780 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 104:28] + node _T_781 = andr(_T_780) @[lib.scala 104:36] + node _T_782 = and(_T_781, _T_593) @[lib.scala 104:41] + node _T_783 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 104:74] + node _T_784 = bits(lsu_match_data_2, 27, 27) @[lib.scala 104:86] + node _T_785 = eq(_T_783, _T_784) @[lib.scala 104:78] + node _T_786 = mux(_T_782, UInt<1>("h01"), _T_785) @[lib.scala 104:23] + _T_590[27] <= _T_786 @[lib.scala 104:17] + node _T_787 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 104:28] + node _T_788 = andr(_T_787) @[lib.scala 104:36] + node _T_789 = and(_T_788, _T_593) @[lib.scala 104:41] + node _T_790 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 104:74] + node _T_791 = bits(lsu_match_data_2, 28, 28) @[lib.scala 104:86] + node _T_792 = eq(_T_790, _T_791) @[lib.scala 104:78] + node _T_793 = mux(_T_789, UInt<1>("h01"), _T_792) @[lib.scala 104:23] + _T_590[28] <= _T_793 @[lib.scala 104:17] + node _T_794 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 104:28] + node _T_795 = andr(_T_794) @[lib.scala 104:36] + node _T_796 = and(_T_795, _T_593) @[lib.scala 104:41] + node _T_797 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 104:74] + node _T_798 = bits(lsu_match_data_2, 29, 29) @[lib.scala 104:86] + node _T_799 = eq(_T_797, _T_798) @[lib.scala 104:78] + node _T_800 = mux(_T_796, UInt<1>("h01"), _T_799) @[lib.scala 104:23] + _T_590[29] <= _T_800 @[lib.scala 104:17] + node _T_801 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 104:28] + node _T_802 = andr(_T_801) @[lib.scala 104:36] + node _T_803 = and(_T_802, _T_593) @[lib.scala 104:41] + node _T_804 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 104:74] + node _T_805 = bits(lsu_match_data_2, 30, 30) @[lib.scala 104:86] + node _T_806 = eq(_T_804, _T_805) @[lib.scala 104:78] + node _T_807 = mux(_T_803, UInt<1>("h01"), _T_806) @[lib.scala 104:23] + _T_590[30] <= _T_807 @[lib.scala 104:17] + node _T_808 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 104:28] + node _T_809 = andr(_T_808) @[lib.scala 104:36] + node _T_810 = and(_T_809, _T_593) @[lib.scala 104:41] + node _T_811 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 104:74] + node _T_812 = bits(lsu_match_data_2, 31, 31) @[lib.scala 104:86] + node _T_813 = eq(_T_811, _T_812) @[lib.scala 104:78] + node _T_814 = mux(_T_810, UInt<1>("h01"), _T_813) @[lib.scala 104:23] + _T_590[31] <= _T_814 @[lib.scala 104:17] + node _T_815 = cat(_T_590[1], _T_590[0]) @[lib.scala 105:14] + node _T_816 = cat(_T_590[3], _T_590[2]) @[lib.scala 105:14] + node _T_817 = cat(_T_816, _T_815) @[lib.scala 105:14] + node _T_818 = cat(_T_590[5], _T_590[4]) @[lib.scala 105:14] + node _T_819 = cat(_T_590[7], _T_590[6]) @[lib.scala 105:14] + node _T_820 = cat(_T_819, _T_818) @[lib.scala 105:14] + node _T_821 = cat(_T_820, _T_817) @[lib.scala 105:14] + node _T_822 = cat(_T_590[9], _T_590[8]) @[lib.scala 105:14] + node _T_823 = cat(_T_590[11], _T_590[10]) @[lib.scala 105:14] + node _T_824 = cat(_T_823, _T_822) @[lib.scala 105:14] + node _T_825 = cat(_T_590[13], _T_590[12]) @[lib.scala 105:14] + node _T_826 = cat(_T_590[15], _T_590[14]) @[lib.scala 105:14] + node _T_827 = cat(_T_826, _T_825) @[lib.scala 105:14] + node _T_828 = cat(_T_827, _T_824) @[lib.scala 105:14] + node _T_829 = cat(_T_828, _T_821) @[lib.scala 105:14] + node _T_830 = cat(_T_590[17], _T_590[16]) @[lib.scala 105:14] + node _T_831 = cat(_T_590[19], _T_590[18]) @[lib.scala 105:14] + node _T_832 = cat(_T_831, _T_830) @[lib.scala 105:14] + node _T_833 = cat(_T_590[21], _T_590[20]) @[lib.scala 105:14] + node _T_834 = cat(_T_590[23], _T_590[22]) @[lib.scala 105:14] + node _T_835 = cat(_T_834, _T_833) @[lib.scala 105:14] + node _T_836 = cat(_T_835, _T_832) @[lib.scala 105:14] + node _T_837 = cat(_T_590[25], _T_590[24]) @[lib.scala 105:14] + node _T_838 = cat(_T_590[27], _T_590[26]) @[lib.scala 105:14] + node _T_839 = cat(_T_838, _T_837) @[lib.scala 105:14] + node _T_840 = cat(_T_590[29], _T_590[28]) @[lib.scala 105:14] + node _T_841 = cat(_T_590[31], _T_590[30]) @[lib.scala 105:14] + node _T_842 = cat(_T_841, _T_840) @[lib.scala 105:14] + node _T_843 = cat(_T_842, _T_839) @[lib.scala 105:14] + node _T_844 = cat(_T_843, _T_836) @[lib.scala 105:14] + node _T_845 = cat(_T_844, _T_829) @[lib.scala 105:14] + node _T_846 = andr(_T_845) @[lib.scala 105:25] + node _T_847 = and(_T_588, _T_846) @[lsu_trigger.scala 21:92] + node _T_848 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 20:70] + node _T_849 = and(io.lsu_pkt_m.valid, _T_848) @[lsu_trigger.scala 20:68] + node _T_850 = and(_T_849, trigger_enable) @[lsu_trigger.scala 20:93] + node _T_851 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 20:142] + node _T_852 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 21:33] + node _T_853 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 21:60] + node _T_854 = and(_T_852, _T_853) @[lsu_trigger.scala 21:58] + node _T_855 = or(_T_851, _T_854) @[lsu_trigger.scala 20:168] + node _T_856 = and(_T_850, _T_855) @[lsu_trigger.scala 20:110] + node _T_857 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 22:107] + wire _T_858 : UInt<1>[32] @[lib.scala 100:24] + node _T_859 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 101:45] + node _T_860 = not(_T_859) @[lib.scala 101:39] + node _T_861 = and(_T_857, _T_860) @[lib.scala 101:37] + node _T_862 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 102:48] + node _T_863 = bits(lsu_match_data_3, 0, 0) @[lib.scala 102:60] + node _T_864 = eq(_T_862, _T_863) @[lib.scala 102:52] + node _T_865 = or(_T_861, _T_864) @[lib.scala 102:41] + _T_858[0] <= _T_865 @[lib.scala 102:18] + node _T_866 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 104:28] + node _T_867 = andr(_T_866) @[lib.scala 104:36] + node _T_868 = and(_T_867, _T_861) @[lib.scala 104:41] + node _T_869 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 104:74] + node _T_870 = bits(lsu_match_data_3, 1, 1) @[lib.scala 104:86] + node _T_871 = eq(_T_869, _T_870) @[lib.scala 104:78] + node _T_872 = mux(_T_868, UInt<1>("h01"), _T_871) @[lib.scala 104:23] + _T_858[1] <= _T_872 @[lib.scala 104:17] + node _T_873 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 104:28] + node _T_874 = andr(_T_873) @[lib.scala 104:36] + node _T_875 = and(_T_874, _T_861) @[lib.scala 104:41] + node _T_876 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 104:74] + node _T_877 = bits(lsu_match_data_3, 2, 2) @[lib.scala 104:86] + node _T_878 = eq(_T_876, _T_877) @[lib.scala 104:78] + node _T_879 = mux(_T_875, UInt<1>("h01"), _T_878) @[lib.scala 104:23] + _T_858[2] <= _T_879 @[lib.scala 104:17] + node _T_880 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 104:28] + node _T_881 = andr(_T_880) @[lib.scala 104:36] + node _T_882 = and(_T_881, _T_861) @[lib.scala 104:41] + node _T_883 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 104:74] + node _T_884 = bits(lsu_match_data_3, 3, 3) @[lib.scala 104:86] + node _T_885 = eq(_T_883, _T_884) @[lib.scala 104:78] + node _T_886 = mux(_T_882, UInt<1>("h01"), _T_885) @[lib.scala 104:23] + _T_858[3] <= _T_886 @[lib.scala 104:17] + node _T_887 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 104:28] + node _T_888 = andr(_T_887) @[lib.scala 104:36] + node _T_889 = and(_T_888, _T_861) @[lib.scala 104:41] + node _T_890 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 104:74] + node _T_891 = bits(lsu_match_data_3, 4, 4) @[lib.scala 104:86] + node _T_892 = eq(_T_890, _T_891) @[lib.scala 104:78] + node _T_893 = mux(_T_889, UInt<1>("h01"), _T_892) @[lib.scala 104:23] + _T_858[4] <= _T_893 @[lib.scala 104:17] + node _T_894 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 104:28] + node _T_895 = andr(_T_894) @[lib.scala 104:36] + node _T_896 = and(_T_895, _T_861) @[lib.scala 104:41] + node _T_897 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 104:74] + node _T_898 = bits(lsu_match_data_3, 5, 5) @[lib.scala 104:86] + node _T_899 = eq(_T_897, _T_898) @[lib.scala 104:78] + node _T_900 = mux(_T_896, UInt<1>("h01"), _T_899) @[lib.scala 104:23] + _T_858[5] <= _T_900 @[lib.scala 104:17] + node _T_901 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 104:28] + node _T_902 = andr(_T_901) @[lib.scala 104:36] + node _T_903 = and(_T_902, _T_861) @[lib.scala 104:41] + node _T_904 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 104:74] + node _T_905 = bits(lsu_match_data_3, 6, 6) @[lib.scala 104:86] + node _T_906 = eq(_T_904, _T_905) @[lib.scala 104:78] + node _T_907 = mux(_T_903, UInt<1>("h01"), _T_906) @[lib.scala 104:23] + _T_858[6] <= _T_907 @[lib.scala 104:17] + node _T_908 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 104:28] + node _T_909 = andr(_T_908) @[lib.scala 104:36] + node _T_910 = and(_T_909, _T_861) @[lib.scala 104:41] + node _T_911 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 104:74] + node _T_912 = bits(lsu_match_data_3, 7, 7) @[lib.scala 104:86] + node _T_913 = eq(_T_911, _T_912) @[lib.scala 104:78] + node _T_914 = mux(_T_910, UInt<1>("h01"), _T_913) @[lib.scala 104:23] + _T_858[7] <= _T_914 @[lib.scala 104:17] + node _T_915 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 104:28] + node _T_916 = andr(_T_915) @[lib.scala 104:36] + node _T_917 = and(_T_916, _T_861) @[lib.scala 104:41] + node _T_918 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 104:74] + node _T_919 = bits(lsu_match_data_3, 8, 8) @[lib.scala 104:86] + node _T_920 = eq(_T_918, _T_919) @[lib.scala 104:78] + node _T_921 = mux(_T_917, UInt<1>("h01"), _T_920) @[lib.scala 104:23] + _T_858[8] <= _T_921 @[lib.scala 104:17] + node _T_922 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 104:28] + node _T_923 = andr(_T_922) @[lib.scala 104:36] + node _T_924 = and(_T_923, _T_861) @[lib.scala 104:41] + node _T_925 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 104:74] + node _T_926 = bits(lsu_match_data_3, 9, 9) @[lib.scala 104:86] + node _T_927 = eq(_T_925, _T_926) @[lib.scala 104:78] + node _T_928 = mux(_T_924, UInt<1>("h01"), _T_927) @[lib.scala 104:23] + _T_858[9] <= _T_928 @[lib.scala 104:17] + node _T_929 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 104:28] + node _T_930 = andr(_T_929) @[lib.scala 104:36] + node _T_931 = and(_T_930, _T_861) @[lib.scala 104:41] + node _T_932 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 104:74] + node _T_933 = bits(lsu_match_data_3, 10, 10) @[lib.scala 104:86] + node _T_934 = eq(_T_932, _T_933) @[lib.scala 104:78] + node _T_935 = mux(_T_931, UInt<1>("h01"), _T_934) @[lib.scala 104:23] + _T_858[10] <= _T_935 @[lib.scala 104:17] + node _T_936 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 104:28] + node _T_937 = andr(_T_936) @[lib.scala 104:36] + node _T_938 = and(_T_937, _T_861) @[lib.scala 104:41] + node _T_939 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 104:74] + node _T_940 = bits(lsu_match_data_3, 11, 11) @[lib.scala 104:86] + node _T_941 = eq(_T_939, _T_940) @[lib.scala 104:78] + node _T_942 = mux(_T_938, UInt<1>("h01"), _T_941) @[lib.scala 104:23] + _T_858[11] <= _T_942 @[lib.scala 104:17] + node _T_943 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 104:28] + node _T_944 = andr(_T_943) @[lib.scala 104:36] + node _T_945 = and(_T_944, _T_861) @[lib.scala 104:41] + node _T_946 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 104:74] + node _T_947 = bits(lsu_match_data_3, 12, 12) @[lib.scala 104:86] + node _T_948 = eq(_T_946, _T_947) @[lib.scala 104:78] + node _T_949 = mux(_T_945, UInt<1>("h01"), _T_948) @[lib.scala 104:23] + _T_858[12] <= _T_949 @[lib.scala 104:17] + node _T_950 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 104:28] + node _T_951 = andr(_T_950) @[lib.scala 104:36] + node _T_952 = and(_T_951, _T_861) @[lib.scala 104:41] + node _T_953 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 104:74] + node _T_954 = bits(lsu_match_data_3, 13, 13) @[lib.scala 104:86] + node _T_955 = eq(_T_953, _T_954) @[lib.scala 104:78] + node _T_956 = mux(_T_952, UInt<1>("h01"), _T_955) @[lib.scala 104:23] + _T_858[13] <= _T_956 @[lib.scala 104:17] + node _T_957 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 104:28] + node _T_958 = andr(_T_957) @[lib.scala 104:36] + node _T_959 = and(_T_958, _T_861) @[lib.scala 104:41] + node _T_960 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 104:74] + node _T_961 = bits(lsu_match_data_3, 14, 14) @[lib.scala 104:86] + node _T_962 = eq(_T_960, _T_961) @[lib.scala 104:78] + node _T_963 = mux(_T_959, UInt<1>("h01"), _T_962) @[lib.scala 104:23] + _T_858[14] <= _T_963 @[lib.scala 104:17] + node _T_964 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 104:28] + node _T_965 = andr(_T_964) @[lib.scala 104:36] + node _T_966 = and(_T_965, _T_861) @[lib.scala 104:41] + node _T_967 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 104:74] + node _T_968 = bits(lsu_match_data_3, 15, 15) @[lib.scala 104:86] + node _T_969 = eq(_T_967, _T_968) @[lib.scala 104:78] + node _T_970 = mux(_T_966, UInt<1>("h01"), _T_969) @[lib.scala 104:23] + _T_858[15] <= _T_970 @[lib.scala 104:17] + node _T_971 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 104:28] + node _T_972 = andr(_T_971) @[lib.scala 104:36] + node _T_973 = and(_T_972, _T_861) @[lib.scala 104:41] + node _T_974 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 104:74] + node _T_975 = bits(lsu_match_data_3, 16, 16) @[lib.scala 104:86] + node _T_976 = eq(_T_974, _T_975) @[lib.scala 104:78] + node _T_977 = mux(_T_973, UInt<1>("h01"), _T_976) @[lib.scala 104:23] + _T_858[16] <= _T_977 @[lib.scala 104:17] + node _T_978 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 104:28] + node _T_979 = andr(_T_978) @[lib.scala 104:36] + node _T_980 = and(_T_979, _T_861) @[lib.scala 104:41] + node _T_981 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 104:74] + node _T_982 = bits(lsu_match_data_3, 17, 17) @[lib.scala 104:86] + node _T_983 = eq(_T_981, _T_982) @[lib.scala 104:78] + node _T_984 = mux(_T_980, UInt<1>("h01"), _T_983) @[lib.scala 104:23] + _T_858[17] <= _T_984 @[lib.scala 104:17] + node _T_985 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 104:28] + node _T_986 = andr(_T_985) @[lib.scala 104:36] + node _T_987 = and(_T_986, _T_861) @[lib.scala 104:41] + node _T_988 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 104:74] + node _T_989 = bits(lsu_match_data_3, 18, 18) @[lib.scala 104:86] + node _T_990 = eq(_T_988, _T_989) @[lib.scala 104:78] + node _T_991 = mux(_T_987, UInt<1>("h01"), _T_990) @[lib.scala 104:23] + _T_858[18] <= _T_991 @[lib.scala 104:17] + node _T_992 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 104:28] + node _T_993 = andr(_T_992) @[lib.scala 104:36] + node _T_994 = and(_T_993, _T_861) @[lib.scala 104:41] + node _T_995 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 104:74] + node _T_996 = bits(lsu_match_data_3, 19, 19) @[lib.scala 104:86] + node _T_997 = eq(_T_995, _T_996) @[lib.scala 104:78] + node _T_998 = mux(_T_994, UInt<1>("h01"), _T_997) @[lib.scala 104:23] + _T_858[19] <= _T_998 @[lib.scala 104:17] + node _T_999 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 104:28] + node _T_1000 = andr(_T_999) @[lib.scala 104:36] + node _T_1001 = and(_T_1000, _T_861) @[lib.scala 104:41] + node _T_1002 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 104:74] + node _T_1003 = bits(lsu_match_data_3, 20, 20) @[lib.scala 104:86] + node _T_1004 = eq(_T_1002, _T_1003) @[lib.scala 104:78] + node _T_1005 = mux(_T_1001, UInt<1>("h01"), _T_1004) @[lib.scala 104:23] + _T_858[20] <= _T_1005 @[lib.scala 104:17] + node _T_1006 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 104:28] + node _T_1007 = andr(_T_1006) @[lib.scala 104:36] + node _T_1008 = and(_T_1007, _T_861) @[lib.scala 104:41] + node _T_1009 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 104:74] + node _T_1010 = bits(lsu_match_data_3, 21, 21) @[lib.scala 104:86] + node _T_1011 = eq(_T_1009, _T_1010) @[lib.scala 104:78] + node _T_1012 = mux(_T_1008, UInt<1>("h01"), _T_1011) @[lib.scala 104:23] + _T_858[21] <= _T_1012 @[lib.scala 104:17] + node _T_1013 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 104:28] + node _T_1014 = andr(_T_1013) @[lib.scala 104:36] + node _T_1015 = and(_T_1014, _T_861) @[lib.scala 104:41] + node _T_1016 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 104:74] + node _T_1017 = bits(lsu_match_data_3, 22, 22) @[lib.scala 104:86] + node _T_1018 = eq(_T_1016, _T_1017) @[lib.scala 104:78] + node _T_1019 = mux(_T_1015, UInt<1>("h01"), _T_1018) @[lib.scala 104:23] + _T_858[22] <= _T_1019 @[lib.scala 104:17] + node _T_1020 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 104:28] + node _T_1021 = andr(_T_1020) @[lib.scala 104:36] + node _T_1022 = and(_T_1021, _T_861) @[lib.scala 104:41] + node _T_1023 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 104:74] + node _T_1024 = bits(lsu_match_data_3, 23, 23) @[lib.scala 104:86] + node _T_1025 = eq(_T_1023, _T_1024) @[lib.scala 104:78] + node _T_1026 = mux(_T_1022, UInt<1>("h01"), _T_1025) @[lib.scala 104:23] + _T_858[23] <= _T_1026 @[lib.scala 104:17] + node _T_1027 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 104:28] + node _T_1028 = andr(_T_1027) @[lib.scala 104:36] + node _T_1029 = and(_T_1028, _T_861) @[lib.scala 104:41] + node _T_1030 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 104:74] + node _T_1031 = bits(lsu_match_data_3, 24, 24) @[lib.scala 104:86] + node _T_1032 = eq(_T_1030, _T_1031) @[lib.scala 104:78] + node _T_1033 = mux(_T_1029, UInt<1>("h01"), _T_1032) @[lib.scala 104:23] + _T_858[24] <= _T_1033 @[lib.scala 104:17] + node _T_1034 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 104:28] + node _T_1035 = andr(_T_1034) @[lib.scala 104:36] + node _T_1036 = and(_T_1035, _T_861) @[lib.scala 104:41] + node _T_1037 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 104:74] + node _T_1038 = bits(lsu_match_data_3, 25, 25) @[lib.scala 104:86] + node _T_1039 = eq(_T_1037, _T_1038) @[lib.scala 104:78] + node _T_1040 = mux(_T_1036, UInt<1>("h01"), _T_1039) @[lib.scala 104:23] + _T_858[25] <= _T_1040 @[lib.scala 104:17] + node _T_1041 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 104:28] + node _T_1042 = andr(_T_1041) @[lib.scala 104:36] + node _T_1043 = and(_T_1042, _T_861) @[lib.scala 104:41] + node _T_1044 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 104:74] + node _T_1045 = bits(lsu_match_data_3, 26, 26) @[lib.scala 104:86] + node _T_1046 = eq(_T_1044, _T_1045) @[lib.scala 104:78] + node _T_1047 = mux(_T_1043, UInt<1>("h01"), _T_1046) @[lib.scala 104:23] + _T_858[26] <= _T_1047 @[lib.scala 104:17] + node _T_1048 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 104:28] + node _T_1049 = andr(_T_1048) @[lib.scala 104:36] + node _T_1050 = and(_T_1049, _T_861) @[lib.scala 104:41] + node _T_1051 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 104:74] + node _T_1052 = bits(lsu_match_data_3, 27, 27) @[lib.scala 104:86] + node _T_1053 = eq(_T_1051, _T_1052) @[lib.scala 104:78] + node _T_1054 = mux(_T_1050, UInt<1>("h01"), _T_1053) @[lib.scala 104:23] + _T_858[27] <= _T_1054 @[lib.scala 104:17] + node _T_1055 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 104:28] + node _T_1056 = andr(_T_1055) @[lib.scala 104:36] + node _T_1057 = and(_T_1056, _T_861) @[lib.scala 104:41] + node _T_1058 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 104:74] + node _T_1059 = bits(lsu_match_data_3, 28, 28) @[lib.scala 104:86] + node _T_1060 = eq(_T_1058, _T_1059) @[lib.scala 104:78] + node _T_1061 = mux(_T_1057, UInt<1>("h01"), _T_1060) @[lib.scala 104:23] + _T_858[28] <= _T_1061 @[lib.scala 104:17] + node _T_1062 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 104:28] + node _T_1063 = andr(_T_1062) @[lib.scala 104:36] + node _T_1064 = and(_T_1063, _T_861) @[lib.scala 104:41] + node _T_1065 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 104:74] + node _T_1066 = bits(lsu_match_data_3, 29, 29) @[lib.scala 104:86] + node _T_1067 = eq(_T_1065, _T_1066) @[lib.scala 104:78] + node _T_1068 = mux(_T_1064, UInt<1>("h01"), _T_1067) @[lib.scala 104:23] + _T_858[29] <= _T_1068 @[lib.scala 104:17] + node _T_1069 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 104:28] + node _T_1070 = andr(_T_1069) @[lib.scala 104:36] + node _T_1071 = and(_T_1070, _T_861) @[lib.scala 104:41] + node _T_1072 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 104:74] + node _T_1073 = bits(lsu_match_data_3, 30, 30) @[lib.scala 104:86] + node _T_1074 = eq(_T_1072, _T_1073) @[lib.scala 104:78] + node _T_1075 = mux(_T_1071, UInt<1>("h01"), _T_1074) @[lib.scala 104:23] + _T_858[30] <= _T_1075 @[lib.scala 104:17] + node _T_1076 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 104:28] + node _T_1077 = andr(_T_1076) @[lib.scala 104:36] + node _T_1078 = and(_T_1077, _T_861) @[lib.scala 104:41] + node _T_1079 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 104:74] + node _T_1080 = bits(lsu_match_data_3, 31, 31) @[lib.scala 104:86] + node _T_1081 = eq(_T_1079, _T_1080) @[lib.scala 104:78] + node _T_1082 = mux(_T_1078, UInt<1>("h01"), _T_1081) @[lib.scala 104:23] + _T_858[31] <= _T_1082 @[lib.scala 104:17] + node _T_1083 = cat(_T_858[1], _T_858[0]) @[lib.scala 105:14] + node _T_1084 = cat(_T_858[3], _T_858[2]) @[lib.scala 105:14] + node _T_1085 = cat(_T_1084, _T_1083) @[lib.scala 105:14] + node _T_1086 = cat(_T_858[5], _T_858[4]) @[lib.scala 105:14] + node _T_1087 = cat(_T_858[7], _T_858[6]) @[lib.scala 105:14] + node _T_1088 = cat(_T_1087, _T_1086) @[lib.scala 105:14] + node _T_1089 = cat(_T_1088, _T_1085) @[lib.scala 105:14] + node _T_1090 = cat(_T_858[9], _T_858[8]) @[lib.scala 105:14] + node _T_1091 = cat(_T_858[11], _T_858[10]) @[lib.scala 105:14] + node _T_1092 = cat(_T_1091, _T_1090) @[lib.scala 105:14] + node _T_1093 = cat(_T_858[13], _T_858[12]) @[lib.scala 105:14] + node _T_1094 = cat(_T_858[15], _T_858[14]) @[lib.scala 105:14] + node _T_1095 = cat(_T_1094, _T_1093) @[lib.scala 105:14] + node _T_1096 = cat(_T_1095, _T_1092) @[lib.scala 105:14] + node _T_1097 = cat(_T_1096, _T_1089) @[lib.scala 105:14] + node _T_1098 = cat(_T_858[17], _T_858[16]) @[lib.scala 105:14] + node _T_1099 = cat(_T_858[19], _T_858[18]) @[lib.scala 105:14] + node _T_1100 = cat(_T_1099, _T_1098) @[lib.scala 105:14] + node _T_1101 = cat(_T_858[21], _T_858[20]) @[lib.scala 105:14] + node _T_1102 = cat(_T_858[23], _T_858[22]) @[lib.scala 105:14] + node _T_1103 = cat(_T_1102, _T_1101) @[lib.scala 105:14] + node _T_1104 = cat(_T_1103, _T_1100) @[lib.scala 105:14] + node _T_1105 = cat(_T_858[25], _T_858[24]) @[lib.scala 105:14] + node _T_1106 = cat(_T_858[27], _T_858[26]) @[lib.scala 105:14] + node _T_1107 = cat(_T_1106, _T_1105) @[lib.scala 105:14] + node _T_1108 = cat(_T_858[29], _T_858[28]) @[lib.scala 105:14] + node _T_1109 = cat(_T_858[31], _T_858[30]) @[lib.scala 105:14] + node _T_1110 = cat(_T_1109, _T_1108) @[lib.scala 105:14] + node _T_1111 = cat(_T_1110, _T_1107) @[lib.scala 105:14] + node _T_1112 = cat(_T_1111, _T_1104) @[lib.scala 105:14] + node _T_1113 = cat(_T_1112, _T_1097) @[lib.scala 105:14] + node _T_1114 = andr(_T_1113) @[lib.scala 105:25] + node _T_1115 = and(_T_856, _T_1114) @[lsu_trigger.scala 21:92] + node _T_1116 = cat(_T_1115, _T_847) @[Cat.scala 29:58] + node _T_1117 = cat(_T_1116, _T_579) @[Cat.scala 29:58] + node _T_1118 = cat(_T_1117, _T_311) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1118 @[lsu_trigger.scala 20:25] + diff --git a/lsu_trigger.v b/lsu_trigger.v new file mode 100644 index 00000000..74d9e418 --- /dev/null +++ b/lsu_trigger.v @@ -0,0 +1,645 @@ +module lsu_trigger( + input clock, + input reset, + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input [31:0] io_lsu_addr_m, + input [31:0] io_store_data_m, + output [3:0] io_lsu_trigger_match_m +); + wire _T = io_trigger_pkt_any_0_m | io_trigger_pkt_any_1_m; // @[lsu_trigger.scala 16:73] + wire _T_1 = _T | io_trigger_pkt_any_2_m; // @[lsu_trigger.scala 16:73] + wire trigger_enable = _T_1 | io_trigger_pkt_any_3_m; // @[lsu_trigger.scala 16:73] + wire [15:0] _T_4 = io_lsu_pkt_m_bits_word ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_6 = _T_4 & io_store_data_m[31:16]; // @[lsu_trigger.scala 17:66] + wire _T_7 = io_lsu_pkt_m_bits_half | io_lsu_pkt_m_bits_word; // @[lsu_trigger.scala 17:124] + wire [7:0] _T_9 = _T_7 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_11 = _T_9 & io_store_data_m[15:8]; // @[lsu_trigger.scala 17:151] + wire [31:0] store_data_trigger_m = {_T_6,_T_11,io_store_data_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_15 = trigger_enable ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] ldst_addr_trigger_m = io_lsu_addr_m & _T_15; // @[lsu_trigger.scala 18:43] + wire _T_17 = ~io_trigger_pkt_any_0_select; // @[lsu_trigger.scala 19:53] + wire _T_18 = io_trigger_pkt_any_0_select & io_trigger_pkt_any_0_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_20 = _T_17 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_21 = _T_18 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_0 = _T_20 | _T_21; // @[Mux.scala 27:72] + wire _T_24 = ~io_trigger_pkt_any_1_select; // @[lsu_trigger.scala 19:53] + wire _T_25 = io_trigger_pkt_any_1_select & io_trigger_pkt_any_1_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_27 = _T_24 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_28 = _T_25 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_1 = _T_27 | _T_28; // @[Mux.scala 27:72] + wire _T_31 = ~io_trigger_pkt_any_2_select; // @[lsu_trigger.scala 19:53] + wire _T_32 = io_trigger_pkt_any_2_select & io_trigger_pkt_any_2_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_34 = _T_31 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_35 = _T_32 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_2 = _T_34 | _T_35; // @[Mux.scala 27:72] + wire _T_38 = ~io_trigger_pkt_any_3_select; // @[lsu_trigger.scala 19:53] + wire _T_39 = io_trigger_pkt_any_3_select & io_trigger_pkt_any_3_store; // @[lsu_trigger.scala 19:143] + wire [31:0] _T_41 = _T_38 ? ldst_addr_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_42 = _T_39 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_3 = _T_41 | _T_42; // @[Mux.scala 27:72] + wire _T_44 = ~io_lsu_pkt_m_bits_dma; // @[lsu_trigger.scala 20:70] + wire _T_45 = io_lsu_pkt_m_valid & _T_44; // @[lsu_trigger.scala 20:68] + wire _T_46 = _T_45 & trigger_enable; // @[lsu_trigger.scala 20:93] + wire _T_47 = io_trigger_pkt_any_0_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_48 = io_trigger_pkt_any_0_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_50 = _T_48 & _T_17; // @[lsu_trigger.scala 21:58] + wire _T_51 = _T_47 | _T_50; // @[lsu_trigger.scala 20:168] + wire _T_52 = _T_46 & _T_51; // @[lsu_trigger.scala 20:110] + wire _T_55 = &io_trigger_pkt_any_0_tdata2; // @[lib.scala 101:45] + wire _T_56 = ~_T_55; // @[lib.scala 101:39] + wire _T_57 = io_trigger_pkt_any_0_match_pkt & _T_56; // @[lib.scala 101:37] + wire _T_60 = io_trigger_pkt_any_0_tdata2[0] == lsu_match_data_0[0]; // @[lib.scala 102:52] + wire _T_61 = _T_57 | _T_60; // @[lib.scala 102:41] + wire _T_63 = &io_trigger_pkt_any_0_tdata2[0]; // @[lib.scala 104:36] + wire _T_64 = _T_63 & _T_57; // @[lib.scala 104:41] + wire _T_67 = io_trigger_pkt_any_0_tdata2[1] == lsu_match_data_0[1]; // @[lib.scala 104:78] + wire _T_68 = _T_64 | _T_67; // @[lib.scala 104:23] + wire _T_70 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_71 = _T_70 & _T_57; // @[lib.scala 104:41] + wire _T_74 = io_trigger_pkt_any_0_tdata2[2] == lsu_match_data_0[2]; // @[lib.scala 104:78] + wire _T_75 = _T_71 | _T_74; // @[lib.scala 104:23] + wire _T_77 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_78 = _T_77 & _T_57; // @[lib.scala 104:41] + wire _T_81 = io_trigger_pkt_any_0_tdata2[3] == lsu_match_data_0[3]; // @[lib.scala 104:78] + wire _T_82 = _T_78 | _T_81; // @[lib.scala 104:23] + wire _T_84 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_85 = _T_84 & _T_57; // @[lib.scala 104:41] + wire _T_88 = io_trigger_pkt_any_0_tdata2[4] == lsu_match_data_0[4]; // @[lib.scala 104:78] + wire _T_89 = _T_85 | _T_88; // @[lib.scala 104:23] + wire _T_91 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_92 = _T_91 & _T_57; // @[lib.scala 104:41] + wire _T_95 = io_trigger_pkt_any_0_tdata2[5] == lsu_match_data_0[5]; // @[lib.scala 104:78] + wire _T_96 = _T_92 | _T_95; // @[lib.scala 104:23] + wire _T_98 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_99 = _T_98 & _T_57; // @[lib.scala 104:41] + wire _T_102 = io_trigger_pkt_any_0_tdata2[6] == lsu_match_data_0[6]; // @[lib.scala 104:78] + wire _T_103 = _T_99 | _T_102; // @[lib.scala 104:23] + wire _T_105 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_106 = _T_105 & _T_57; // @[lib.scala 104:41] + wire _T_109 = io_trigger_pkt_any_0_tdata2[7] == lsu_match_data_0[7]; // @[lib.scala 104:78] + wire _T_110 = _T_106 | _T_109; // @[lib.scala 104:23] + wire _T_112 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_113 = _T_112 & _T_57; // @[lib.scala 104:41] + wire _T_116 = io_trigger_pkt_any_0_tdata2[8] == lsu_match_data_0[8]; // @[lib.scala 104:78] + wire _T_117 = _T_113 | _T_116; // @[lib.scala 104:23] + wire _T_119 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_120 = _T_119 & _T_57; // @[lib.scala 104:41] + wire _T_123 = io_trigger_pkt_any_0_tdata2[9] == lsu_match_data_0[9]; // @[lib.scala 104:78] + wire _T_124 = _T_120 | _T_123; // @[lib.scala 104:23] + wire _T_126 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_127 = _T_126 & _T_57; // @[lib.scala 104:41] + wire _T_130 = io_trigger_pkt_any_0_tdata2[10] == lsu_match_data_0[10]; // @[lib.scala 104:78] + wire _T_131 = _T_127 | _T_130; // @[lib.scala 104:23] + wire _T_133 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_134 = _T_133 & _T_57; // @[lib.scala 104:41] + wire _T_137 = io_trigger_pkt_any_0_tdata2[11] == lsu_match_data_0[11]; // @[lib.scala 104:78] + wire _T_138 = _T_134 | _T_137; // @[lib.scala 104:23] + wire _T_140 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_141 = _T_140 & _T_57; // @[lib.scala 104:41] + wire _T_144 = io_trigger_pkt_any_0_tdata2[12] == lsu_match_data_0[12]; // @[lib.scala 104:78] + wire _T_145 = _T_141 | _T_144; // @[lib.scala 104:23] + wire _T_147 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_148 = _T_147 & _T_57; // @[lib.scala 104:41] + wire _T_151 = io_trigger_pkt_any_0_tdata2[13] == lsu_match_data_0[13]; // @[lib.scala 104:78] + wire _T_152 = _T_148 | _T_151; // @[lib.scala 104:23] + wire _T_154 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_155 = _T_154 & _T_57; // @[lib.scala 104:41] + wire _T_158 = io_trigger_pkt_any_0_tdata2[14] == lsu_match_data_0[14]; // @[lib.scala 104:78] + wire _T_159 = _T_155 | _T_158; // @[lib.scala 104:23] + wire _T_161 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_162 = _T_161 & _T_57; // @[lib.scala 104:41] + wire _T_165 = io_trigger_pkt_any_0_tdata2[15] == lsu_match_data_0[15]; // @[lib.scala 104:78] + wire _T_166 = _T_162 | _T_165; // @[lib.scala 104:23] + wire _T_168 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_169 = _T_168 & _T_57; // @[lib.scala 104:41] + wire _T_172 = io_trigger_pkt_any_0_tdata2[16] == lsu_match_data_0[16]; // @[lib.scala 104:78] + wire _T_173 = _T_169 | _T_172; // @[lib.scala 104:23] + wire _T_175 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_176 = _T_175 & _T_57; // @[lib.scala 104:41] + wire _T_179 = io_trigger_pkt_any_0_tdata2[17] == lsu_match_data_0[17]; // @[lib.scala 104:78] + wire _T_180 = _T_176 | _T_179; // @[lib.scala 104:23] + wire _T_182 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_183 = _T_182 & _T_57; // @[lib.scala 104:41] + wire _T_186 = io_trigger_pkt_any_0_tdata2[18] == lsu_match_data_0[18]; // @[lib.scala 104:78] + wire _T_187 = _T_183 | _T_186; // @[lib.scala 104:23] + wire _T_189 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_190 = _T_189 & _T_57; // @[lib.scala 104:41] + wire _T_193 = io_trigger_pkt_any_0_tdata2[19] == lsu_match_data_0[19]; // @[lib.scala 104:78] + wire _T_194 = _T_190 | _T_193; // @[lib.scala 104:23] + wire _T_196 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_197 = _T_196 & _T_57; // @[lib.scala 104:41] + wire _T_200 = io_trigger_pkt_any_0_tdata2[20] == lsu_match_data_0[20]; // @[lib.scala 104:78] + wire _T_201 = _T_197 | _T_200; // @[lib.scala 104:23] + wire _T_203 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_204 = _T_203 & _T_57; // @[lib.scala 104:41] + wire _T_207 = io_trigger_pkt_any_0_tdata2[21] == lsu_match_data_0[21]; // @[lib.scala 104:78] + wire _T_208 = _T_204 | _T_207; // @[lib.scala 104:23] + wire _T_210 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_211 = _T_210 & _T_57; // @[lib.scala 104:41] + wire _T_214 = io_trigger_pkt_any_0_tdata2[22] == lsu_match_data_0[22]; // @[lib.scala 104:78] + wire _T_215 = _T_211 | _T_214; // @[lib.scala 104:23] + wire _T_217 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_218 = _T_217 & _T_57; // @[lib.scala 104:41] + wire _T_221 = io_trigger_pkt_any_0_tdata2[23] == lsu_match_data_0[23]; // @[lib.scala 104:78] + wire _T_222 = _T_218 | _T_221; // @[lib.scala 104:23] + wire _T_224 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_225 = _T_224 & _T_57; // @[lib.scala 104:41] + wire _T_228 = io_trigger_pkt_any_0_tdata2[24] == lsu_match_data_0[24]; // @[lib.scala 104:78] + wire _T_229 = _T_225 | _T_228; // @[lib.scala 104:23] + wire _T_231 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_232 = _T_231 & _T_57; // @[lib.scala 104:41] + wire _T_235 = io_trigger_pkt_any_0_tdata2[25] == lsu_match_data_0[25]; // @[lib.scala 104:78] + wire _T_236 = _T_232 | _T_235; // @[lib.scala 104:23] + wire _T_238 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_239 = _T_238 & _T_57; // @[lib.scala 104:41] + wire _T_242 = io_trigger_pkt_any_0_tdata2[26] == lsu_match_data_0[26]; // @[lib.scala 104:78] + wire _T_243 = _T_239 | _T_242; // @[lib.scala 104:23] + wire _T_245 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_246 = _T_245 & _T_57; // @[lib.scala 104:41] + wire _T_249 = io_trigger_pkt_any_0_tdata2[27] == lsu_match_data_0[27]; // @[lib.scala 104:78] + wire _T_250 = _T_246 | _T_249; // @[lib.scala 104:23] + wire _T_252 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_253 = _T_252 & _T_57; // @[lib.scala 104:41] + wire _T_256 = io_trigger_pkt_any_0_tdata2[28] == lsu_match_data_0[28]; // @[lib.scala 104:78] + wire _T_257 = _T_253 | _T_256; // @[lib.scala 104:23] + wire _T_259 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_260 = _T_259 & _T_57; // @[lib.scala 104:41] + wire _T_263 = io_trigger_pkt_any_0_tdata2[29] == lsu_match_data_0[29]; // @[lib.scala 104:78] + wire _T_264 = _T_260 | _T_263; // @[lib.scala 104:23] + wire _T_266 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_267 = _T_266 & _T_57; // @[lib.scala 104:41] + wire _T_270 = io_trigger_pkt_any_0_tdata2[30] == lsu_match_data_0[30]; // @[lib.scala 104:78] + wire _T_271 = _T_267 | _T_270; // @[lib.scala 104:23] + wire _T_273 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_274 = _T_273 & _T_57; // @[lib.scala 104:41] + wire _T_277 = io_trigger_pkt_any_0_tdata2[31] == lsu_match_data_0[31]; // @[lib.scala 104:78] + wire _T_278 = _T_274 | _T_277; // @[lib.scala 104:23] + wire [7:0] _T_285 = {_T_110,_T_103,_T_96,_T_89,_T_82,_T_75,_T_68,_T_61}; // @[lib.scala 105:14] + wire [15:0] _T_293 = {_T_166,_T_159,_T_152,_T_145,_T_138,_T_131,_T_124,_T_117,_T_285}; // @[lib.scala 105:14] + wire [7:0] _T_300 = {_T_222,_T_215,_T_208,_T_201,_T_194,_T_187,_T_180,_T_173}; // @[lib.scala 105:14] + wire [31:0] _T_309 = {_T_278,_T_271,_T_264,_T_257,_T_250,_T_243,_T_236,_T_229,_T_300,_T_293}; // @[lib.scala 105:14] + wire _T_310 = &_T_309; // @[lib.scala 105:25] + wire _T_311 = _T_52 & _T_310; // @[lsu_trigger.scala 21:92] + wire _T_315 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_316 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_318 = _T_316 & _T_24; // @[lsu_trigger.scala 21:58] + wire _T_319 = _T_315 | _T_318; // @[lsu_trigger.scala 20:168] + wire _T_320 = _T_46 & _T_319; // @[lsu_trigger.scala 20:110] + wire _T_323 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 101:45] + wire _T_324 = ~_T_323; // @[lib.scala 101:39] + wire _T_325 = io_trigger_pkt_any_1_match_pkt & _T_324; // @[lib.scala 101:37] + wire _T_328 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 102:52] + wire _T_329 = _T_325 | _T_328; // @[lib.scala 102:41] + wire _T_331 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 104:36] + wire _T_332 = _T_331 & _T_325; // @[lib.scala 104:41] + wire _T_335 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 104:78] + wire _T_336 = _T_332 | _T_335; // @[lib.scala 104:23] + wire _T_338 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_339 = _T_338 & _T_325; // @[lib.scala 104:41] + wire _T_342 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 104:78] + wire _T_343 = _T_339 | _T_342; // @[lib.scala 104:23] + wire _T_345 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_346 = _T_345 & _T_325; // @[lib.scala 104:41] + wire _T_349 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 104:78] + wire _T_350 = _T_346 | _T_349; // @[lib.scala 104:23] + wire _T_352 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_353 = _T_352 & _T_325; // @[lib.scala 104:41] + wire _T_356 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 104:78] + wire _T_357 = _T_353 | _T_356; // @[lib.scala 104:23] + wire _T_359 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_360 = _T_359 & _T_325; // @[lib.scala 104:41] + wire _T_363 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 104:78] + wire _T_364 = _T_360 | _T_363; // @[lib.scala 104:23] + wire _T_366 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_367 = _T_366 & _T_325; // @[lib.scala 104:41] + wire _T_370 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 104:78] + wire _T_371 = _T_367 | _T_370; // @[lib.scala 104:23] + wire _T_373 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_374 = _T_373 & _T_325; // @[lib.scala 104:41] + wire _T_377 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 104:78] + wire _T_378 = _T_374 | _T_377; // @[lib.scala 104:23] + wire _T_380 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_381 = _T_380 & _T_325; // @[lib.scala 104:41] + wire _T_384 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 104:78] + wire _T_385 = _T_381 | _T_384; // @[lib.scala 104:23] + wire _T_387 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_388 = _T_387 & _T_325; // @[lib.scala 104:41] + wire _T_391 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 104:78] + wire _T_392 = _T_388 | _T_391; // @[lib.scala 104:23] + wire _T_394 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_395 = _T_394 & _T_325; // @[lib.scala 104:41] + wire _T_398 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 104:78] + wire _T_399 = _T_395 | _T_398; // @[lib.scala 104:23] + wire _T_401 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_402 = _T_401 & _T_325; // @[lib.scala 104:41] + wire _T_405 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 104:78] + wire _T_406 = _T_402 | _T_405; // @[lib.scala 104:23] + wire _T_408 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_409 = _T_408 & _T_325; // @[lib.scala 104:41] + wire _T_412 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 104:78] + wire _T_413 = _T_409 | _T_412; // @[lib.scala 104:23] + wire _T_415 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_416 = _T_415 & _T_325; // @[lib.scala 104:41] + wire _T_419 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 104:78] + wire _T_420 = _T_416 | _T_419; // @[lib.scala 104:23] + wire _T_422 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_423 = _T_422 & _T_325; // @[lib.scala 104:41] + wire _T_426 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 104:78] + wire _T_427 = _T_423 | _T_426; // @[lib.scala 104:23] + wire _T_429 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_430 = _T_429 & _T_325; // @[lib.scala 104:41] + wire _T_433 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 104:78] + wire _T_434 = _T_430 | _T_433; // @[lib.scala 104:23] + wire _T_436 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_437 = _T_436 & _T_325; // @[lib.scala 104:41] + wire _T_440 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 104:78] + wire _T_441 = _T_437 | _T_440; // @[lib.scala 104:23] + wire _T_443 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_444 = _T_443 & _T_325; // @[lib.scala 104:41] + wire _T_447 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 104:78] + wire _T_448 = _T_444 | _T_447; // @[lib.scala 104:23] + wire _T_450 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_451 = _T_450 & _T_325; // @[lib.scala 104:41] + wire _T_454 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 104:78] + wire _T_455 = _T_451 | _T_454; // @[lib.scala 104:23] + wire _T_457 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_458 = _T_457 & _T_325; // @[lib.scala 104:41] + wire _T_461 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 104:78] + wire _T_462 = _T_458 | _T_461; // @[lib.scala 104:23] + wire _T_464 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_465 = _T_464 & _T_325; // @[lib.scala 104:41] + wire _T_468 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 104:78] + wire _T_469 = _T_465 | _T_468; // @[lib.scala 104:23] + wire _T_471 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_472 = _T_471 & _T_325; // @[lib.scala 104:41] + wire _T_475 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 104:78] + wire _T_476 = _T_472 | _T_475; // @[lib.scala 104:23] + wire _T_478 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_479 = _T_478 & _T_325; // @[lib.scala 104:41] + wire _T_482 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 104:78] + wire _T_483 = _T_479 | _T_482; // @[lib.scala 104:23] + wire _T_485 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_486 = _T_485 & _T_325; // @[lib.scala 104:41] + wire _T_489 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 104:78] + wire _T_490 = _T_486 | _T_489; // @[lib.scala 104:23] + wire _T_492 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_493 = _T_492 & _T_325; // @[lib.scala 104:41] + wire _T_496 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 104:78] + wire _T_497 = _T_493 | _T_496; // @[lib.scala 104:23] + wire _T_499 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_500 = _T_499 & _T_325; // @[lib.scala 104:41] + wire _T_503 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 104:78] + wire _T_504 = _T_500 | _T_503; // @[lib.scala 104:23] + wire _T_506 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_507 = _T_506 & _T_325; // @[lib.scala 104:41] + wire _T_510 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 104:78] + wire _T_511 = _T_507 | _T_510; // @[lib.scala 104:23] + wire _T_513 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_514 = _T_513 & _T_325; // @[lib.scala 104:41] + wire _T_517 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 104:78] + wire _T_518 = _T_514 | _T_517; // @[lib.scala 104:23] + wire _T_520 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_521 = _T_520 & _T_325; // @[lib.scala 104:41] + wire _T_524 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 104:78] + wire _T_525 = _T_521 | _T_524; // @[lib.scala 104:23] + wire _T_527 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_528 = _T_527 & _T_325; // @[lib.scala 104:41] + wire _T_531 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 104:78] + wire _T_532 = _T_528 | _T_531; // @[lib.scala 104:23] + wire _T_534 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_535 = _T_534 & _T_325; // @[lib.scala 104:41] + wire _T_538 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 104:78] + wire _T_539 = _T_535 | _T_538; // @[lib.scala 104:23] + wire _T_541 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_542 = _T_541 & _T_325; // @[lib.scala 104:41] + wire _T_545 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 104:78] + wire _T_546 = _T_542 | _T_545; // @[lib.scala 104:23] + wire [7:0] _T_553 = {_T_378,_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329}; // @[lib.scala 105:14] + wire [15:0] _T_561 = {_T_434,_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_553}; // @[lib.scala 105:14] + wire [7:0] _T_568 = {_T_490,_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441}; // @[lib.scala 105:14] + wire [31:0] _T_577 = {_T_546,_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_568,_T_561}; // @[lib.scala 105:14] + wire _T_578 = &_T_577; // @[lib.scala 105:25] + wire _T_579 = _T_320 & _T_578; // @[lsu_trigger.scala 21:92] + wire _T_583 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_584 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_586 = _T_584 & _T_31; // @[lsu_trigger.scala 21:58] + wire _T_587 = _T_583 | _T_586; // @[lsu_trigger.scala 20:168] + wire _T_588 = _T_46 & _T_587; // @[lsu_trigger.scala 20:110] + wire _T_591 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 101:45] + wire _T_592 = ~_T_591; // @[lib.scala 101:39] + wire _T_593 = io_trigger_pkt_any_2_match_pkt & _T_592; // @[lib.scala 101:37] + wire _T_596 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 102:52] + wire _T_597 = _T_593 | _T_596; // @[lib.scala 102:41] + wire _T_599 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 104:36] + wire _T_600 = _T_599 & _T_593; // @[lib.scala 104:41] + wire _T_603 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 104:78] + wire _T_604 = _T_600 | _T_603; // @[lib.scala 104:23] + wire _T_606 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_607 = _T_606 & _T_593; // @[lib.scala 104:41] + wire _T_610 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 104:78] + wire _T_611 = _T_607 | _T_610; // @[lib.scala 104:23] + wire _T_613 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_614 = _T_613 & _T_593; // @[lib.scala 104:41] + wire _T_617 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 104:78] + wire _T_618 = _T_614 | _T_617; // @[lib.scala 104:23] + wire _T_620 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_621 = _T_620 & _T_593; // @[lib.scala 104:41] + wire _T_624 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 104:78] + wire _T_625 = _T_621 | _T_624; // @[lib.scala 104:23] + wire _T_627 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_628 = _T_627 & _T_593; // @[lib.scala 104:41] + wire _T_631 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 104:78] + wire _T_632 = _T_628 | _T_631; // @[lib.scala 104:23] + wire _T_634 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_635 = _T_634 & _T_593; // @[lib.scala 104:41] + wire _T_638 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 104:78] + wire _T_639 = _T_635 | _T_638; // @[lib.scala 104:23] + wire _T_641 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_642 = _T_641 & _T_593; // @[lib.scala 104:41] + wire _T_645 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 104:78] + wire _T_646 = _T_642 | _T_645; // @[lib.scala 104:23] + wire _T_648 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_649 = _T_648 & _T_593; // @[lib.scala 104:41] + wire _T_652 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 104:78] + wire _T_653 = _T_649 | _T_652; // @[lib.scala 104:23] + wire _T_655 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_656 = _T_655 & _T_593; // @[lib.scala 104:41] + wire _T_659 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 104:78] + wire _T_660 = _T_656 | _T_659; // @[lib.scala 104:23] + wire _T_662 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_663 = _T_662 & _T_593; // @[lib.scala 104:41] + wire _T_666 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 104:78] + wire _T_667 = _T_663 | _T_666; // @[lib.scala 104:23] + wire _T_669 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_670 = _T_669 & _T_593; // @[lib.scala 104:41] + wire _T_673 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 104:78] + wire _T_674 = _T_670 | _T_673; // @[lib.scala 104:23] + wire _T_676 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_677 = _T_676 & _T_593; // @[lib.scala 104:41] + wire _T_680 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 104:78] + wire _T_681 = _T_677 | _T_680; // @[lib.scala 104:23] + wire _T_683 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_684 = _T_683 & _T_593; // @[lib.scala 104:41] + wire _T_687 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 104:78] + wire _T_688 = _T_684 | _T_687; // @[lib.scala 104:23] + wire _T_690 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_691 = _T_690 & _T_593; // @[lib.scala 104:41] + wire _T_694 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 104:78] + wire _T_695 = _T_691 | _T_694; // @[lib.scala 104:23] + wire _T_697 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_698 = _T_697 & _T_593; // @[lib.scala 104:41] + wire _T_701 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 104:78] + wire _T_702 = _T_698 | _T_701; // @[lib.scala 104:23] + wire _T_704 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_705 = _T_704 & _T_593; // @[lib.scala 104:41] + wire _T_708 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 104:78] + wire _T_709 = _T_705 | _T_708; // @[lib.scala 104:23] + wire _T_711 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_712 = _T_711 & _T_593; // @[lib.scala 104:41] + wire _T_715 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 104:78] + wire _T_716 = _T_712 | _T_715; // @[lib.scala 104:23] + wire _T_718 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_719 = _T_718 & _T_593; // @[lib.scala 104:41] + wire _T_722 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 104:78] + wire _T_723 = _T_719 | _T_722; // @[lib.scala 104:23] + wire _T_725 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_726 = _T_725 & _T_593; // @[lib.scala 104:41] + wire _T_729 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 104:78] + wire _T_730 = _T_726 | _T_729; // @[lib.scala 104:23] + wire _T_732 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_733 = _T_732 & _T_593; // @[lib.scala 104:41] + wire _T_736 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 104:78] + wire _T_737 = _T_733 | _T_736; // @[lib.scala 104:23] + wire _T_739 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_740 = _T_739 & _T_593; // @[lib.scala 104:41] + wire _T_743 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 104:78] + wire _T_744 = _T_740 | _T_743; // @[lib.scala 104:23] + wire _T_746 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_747 = _T_746 & _T_593; // @[lib.scala 104:41] + wire _T_750 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 104:78] + wire _T_751 = _T_747 | _T_750; // @[lib.scala 104:23] + wire _T_753 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_754 = _T_753 & _T_593; // @[lib.scala 104:41] + wire _T_757 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 104:78] + wire _T_758 = _T_754 | _T_757; // @[lib.scala 104:23] + wire _T_760 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_761 = _T_760 & _T_593; // @[lib.scala 104:41] + wire _T_764 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 104:78] + wire _T_765 = _T_761 | _T_764; // @[lib.scala 104:23] + wire _T_767 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_768 = _T_767 & _T_593; // @[lib.scala 104:41] + wire _T_771 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 104:78] + wire _T_772 = _T_768 | _T_771; // @[lib.scala 104:23] + wire _T_774 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_775 = _T_774 & _T_593; // @[lib.scala 104:41] + wire _T_778 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 104:78] + wire _T_779 = _T_775 | _T_778; // @[lib.scala 104:23] + wire _T_781 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_782 = _T_781 & _T_593; // @[lib.scala 104:41] + wire _T_785 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 104:78] + wire _T_786 = _T_782 | _T_785; // @[lib.scala 104:23] + wire _T_788 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_789 = _T_788 & _T_593; // @[lib.scala 104:41] + wire _T_792 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 104:78] + wire _T_793 = _T_789 | _T_792; // @[lib.scala 104:23] + wire _T_795 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_796 = _T_795 & _T_593; // @[lib.scala 104:41] + wire _T_799 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 104:78] + wire _T_800 = _T_796 | _T_799; // @[lib.scala 104:23] + wire _T_802 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_803 = _T_802 & _T_593; // @[lib.scala 104:41] + wire _T_806 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 104:78] + wire _T_807 = _T_803 | _T_806; // @[lib.scala 104:23] + wire _T_809 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_810 = _T_809 & _T_593; // @[lib.scala 104:41] + wire _T_813 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 104:78] + wire _T_814 = _T_810 | _T_813; // @[lib.scala 104:23] + wire [7:0] _T_821 = {_T_646,_T_639,_T_632,_T_625,_T_618,_T_611,_T_604,_T_597}; // @[lib.scala 105:14] + wire [15:0] _T_829 = {_T_702,_T_695,_T_688,_T_681,_T_674,_T_667,_T_660,_T_653,_T_821}; // @[lib.scala 105:14] + wire [7:0] _T_836 = {_T_758,_T_751,_T_744,_T_737,_T_730,_T_723,_T_716,_T_709}; // @[lib.scala 105:14] + wire [31:0] _T_845 = {_T_814,_T_807,_T_800,_T_793,_T_786,_T_779,_T_772,_T_765,_T_836,_T_829}; // @[lib.scala 105:14] + wire _T_846 = &_T_845; // @[lib.scala 105:25] + wire _T_847 = _T_588 & _T_846; // @[lsu_trigger.scala 21:92] + wire _T_851 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 20:142] + wire _T_852 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 21:33] + wire _T_854 = _T_852 & _T_38; // @[lsu_trigger.scala 21:58] + wire _T_855 = _T_851 | _T_854; // @[lsu_trigger.scala 20:168] + wire _T_856 = _T_46 & _T_855; // @[lsu_trigger.scala 20:110] + wire _T_859 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 101:45] + wire _T_860 = ~_T_859; // @[lib.scala 101:39] + wire _T_861 = io_trigger_pkt_any_3_match_pkt & _T_860; // @[lib.scala 101:37] + wire _T_864 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 102:52] + wire _T_865 = _T_861 | _T_864; // @[lib.scala 102:41] + wire _T_867 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 104:36] + wire _T_868 = _T_867 & _T_861; // @[lib.scala 104:41] + wire _T_871 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 104:78] + wire _T_872 = _T_868 | _T_871; // @[lib.scala 104:23] + wire _T_874 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_875 = _T_874 & _T_861; // @[lib.scala 104:41] + wire _T_878 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 104:78] + wire _T_879 = _T_875 | _T_878; // @[lib.scala 104:23] + wire _T_881 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_882 = _T_881 & _T_861; // @[lib.scala 104:41] + wire _T_885 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 104:78] + wire _T_886 = _T_882 | _T_885; // @[lib.scala 104:23] + wire _T_888 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_889 = _T_888 & _T_861; // @[lib.scala 104:41] + wire _T_892 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 104:78] + wire _T_893 = _T_889 | _T_892; // @[lib.scala 104:23] + wire _T_895 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_896 = _T_895 & _T_861; // @[lib.scala 104:41] + wire _T_899 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 104:78] + wire _T_900 = _T_896 | _T_899; // @[lib.scala 104:23] + wire _T_902 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_903 = _T_902 & _T_861; // @[lib.scala 104:41] + wire _T_906 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 104:78] + wire _T_907 = _T_903 | _T_906; // @[lib.scala 104:23] + wire _T_909 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_910 = _T_909 & _T_861; // @[lib.scala 104:41] + wire _T_913 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 104:78] + wire _T_914 = _T_910 | _T_913; // @[lib.scala 104:23] + wire _T_916 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_917 = _T_916 & _T_861; // @[lib.scala 104:41] + wire _T_920 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 104:78] + wire _T_921 = _T_917 | _T_920; // @[lib.scala 104:23] + wire _T_923 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_924 = _T_923 & _T_861; // @[lib.scala 104:41] + wire _T_927 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 104:78] + wire _T_928 = _T_924 | _T_927; // @[lib.scala 104:23] + wire _T_930 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_931 = _T_930 & _T_861; // @[lib.scala 104:41] + wire _T_934 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 104:78] + wire _T_935 = _T_931 | _T_934; // @[lib.scala 104:23] + wire _T_937 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_938 = _T_937 & _T_861; // @[lib.scala 104:41] + wire _T_941 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 104:78] + wire _T_942 = _T_938 | _T_941; // @[lib.scala 104:23] + wire _T_944 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_945 = _T_944 & _T_861; // @[lib.scala 104:41] + wire _T_948 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 104:78] + wire _T_949 = _T_945 | _T_948; // @[lib.scala 104:23] + wire _T_951 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_952 = _T_951 & _T_861; // @[lib.scala 104:41] + wire _T_955 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 104:78] + wire _T_956 = _T_952 | _T_955; // @[lib.scala 104:23] + wire _T_958 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_959 = _T_958 & _T_861; // @[lib.scala 104:41] + wire _T_962 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 104:78] + wire _T_963 = _T_959 | _T_962; // @[lib.scala 104:23] + wire _T_965 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_966 = _T_965 & _T_861; // @[lib.scala 104:41] + wire _T_969 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 104:78] + wire _T_970 = _T_966 | _T_969; // @[lib.scala 104:23] + wire _T_972 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_973 = _T_972 & _T_861; // @[lib.scala 104:41] + wire _T_976 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 104:78] + wire _T_977 = _T_973 | _T_976; // @[lib.scala 104:23] + wire _T_979 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_980 = _T_979 & _T_861; // @[lib.scala 104:41] + wire _T_983 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 104:78] + wire _T_984 = _T_980 | _T_983; // @[lib.scala 104:23] + wire _T_986 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_987 = _T_986 & _T_861; // @[lib.scala 104:41] + wire _T_990 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 104:78] + wire _T_991 = _T_987 | _T_990; // @[lib.scala 104:23] + wire _T_993 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_994 = _T_993 & _T_861; // @[lib.scala 104:41] + wire _T_997 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 104:78] + wire _T_998 = _T_994 | _T_997; // @[lib.scala 104:23] + wire _T_1000 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_1001 = _T_1000 & _T_861; // @[lib.scala 104:41] + wire _T_1004 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 104:78] + wire _T_1005 = _T_1001 | _T_1004; // @[lib.scala 104:23] + wire _T_1007 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_1008 = _T_1007 & _T_861; // @[lib.scala 104:41] + wire _T_1011 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 104:78] + wire _T_1012 = _T_1008 | _T_1011; // @[lib.scala 104:23] + wire _T_1014 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_1015 = _T_1014 & _T_861; // @[lib.scala 104:41] + wire _T_1018 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 104:78] + wire _T_1019 = _T_1015 | _T_1018; // @[lib.scala 104:23] + wire _T_1021 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_1022 = _T_1021 & _T_861; // @[lib.scala 104:41] + wire _T_1025 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 104:78] + wire _T_1026 = _T_1022 | _T_1025; // @[lib.scala 104:23] + wire _T_1028 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_1029 = _T_1028 & _T_861; // @[lib.scala 104:41] + wire _T_1032 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 104:78] + wire _T_1033 = _T_1029 | _T_1032; // @[lib.scala 104:23] + wire _T_1035 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_1036 = _T_1035 & _T_861; // @[lib.scala 104:41] + wire _T_1039 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 104:78] + wire _T_1040 = _T_1036 | _T_1039; // @[lib.scala 104:23] + wire _T_1042 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_1043 = _T_1042 & _T_861; // @[lib.scala 104:41] + wire _T_1046 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 104:78] + wire _T_1047 = _T_1043 | _T_1046; // @[lib.scala 104:23] + wire _T_1049 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_1050 = _T_1049 & _T_861; // @[lib.scala 104:41] + wire _T_1053 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 104:78] + wire _T_1054 = _T_1050 | _T_1053; // @[lib.scala 104:23] + wire _T_1056 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_1057 = _T_1056 & _T_861; // @[lib.scala 104:41] + wire _T_1060 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 104:78] + wire _T_1061 = _T_1057 | _T_1060; // @[lib.scala 104:23] + wire _T_1063 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_1064 = _T_1063 & _T_861; // @[lib.scala 104:41] + wire _T_1067 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 104:78] + wire _T_1068 = _T_1064 | _T_1067; // @[lib.scala 104:23] + wire _T_1070 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_1071 = _T_1070 & _T_861; // @[lib.scala 104:41] + wire _T_1074 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 104:78] + wire _T_1075 = _T_1071 | _T_1074; // @[lib.scala 104:23] + wire _T_1077 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_1078 = _T_1077 & _T_861; // @[lib.scala 104:41] + wire _T_1081 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 104:78] + wire _T_1082 = _T_1078 | _T_1081; // @[lib.scala 104:23] + wire [7:0] _T_1089 = {_T_914,_T_907,_T_900,_T_893,_T_886,_T_879,_T_872,_T_865}; // @[lib.scala 105:14] + wire [15:0] _T_1097 = {_T_970,_T_963,_T_956,_T_949,_T_942,_T_935,_T_928,_T_921,_T_1089}; // @[lib.scala 105:14] + wire [7:0] _T_1104 = {_T_1026,_T_1019,_T_1012,_T_1005,_T_998,_T_991,_T_984,_T_977}; // @[lib.scala 105:14] + wire [31:0] _T_1113 = {_T_1082,_T_1075,_T_1068,_T_1061,_T_1054,_T_1047,_T_1040,_T_1033,_T_1104,_T_1097}; // @[lib.scala 105:14] + wire _T_1114 = &_T_1113; // @[lib.scala 105:25] + wire _T_1115 = _T_856 & _T_1114; // @[lsu_trigger.scala 21:92] + wire [2:0] _T_1117 = {_T_1115,_T_847,_T_579}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = {_T_1117,_T_311}; // @[lsu_trigger.scala 20:25] +endmodule diff --git a/src/main/scala/lsu/lsu.scala b/src/main/scala/lsu/lsu.scala index 77c056e6..f87da91c 100644 --- a/src/main/scala/lsu/lsu.scala +++ b/src/main/scala/lsu/lsu.scala @@ -37,6 +37,7 @@ class lsu extends Module with RequireAsyncReset with param with lib { val lsu_store_stall_any = Output(Bool()) val lsu_fastint_stall_any = Output(Bool()) val lsu_idle_any = Output(Bool()) + val lsu_active = Output(Bool()) val lsu_fir_addr = Output(UInt(31.W)) val lsu_fir_error = Output(UInt(2.W)) val lsu_single_ecc_error_incr = Output(Bool()) @@ -47,7 +48,7 @@ class lsu extends Module with RequireAsyncReset with param with lib { val lsu_bus_clk_en = Input(Bool()) val scan_mode = Input(Bool()) - val free_clk = Input(Clock()) + val active_clk = Input(Clock()) }) val dma_dccm_wdata = WireInit(0.U(64.W)) @@ -56,6 +57,10 @@ class lsu extends Module with RequireAsyncReset with param with lib { val dma_mem_tag_m = WireInit(0.U(3.W)) val lsu_raw_fwd_lo_r = WireInit(0.U(1.W)) val lsu_raw_fwd_hi_r = WireInit(0.U(1.W)) + val lsu_busm_clken = WireInit(0.U(1.W)) + val lsu_bus_obuf_c1_clken = WireInit(0.U(1.W)) + + val lsu_lsc_ctl = Module(new lsu_lsc_ctl()) io.lsu_result_m := lsu_lsc_ctl.io.lsu_result_m @@ -80,7 +85,7 @@ class lsu extends Module with RequireAsyncReset with param with lib { val dma_mem_tag_d = io.lsu_dma.dma_mem_tag val ldst_nodma_mtor = lsu_lsc_ctl.io.lsu_pkt_m.valid & !lsu_lsc_ctl.io.lsu_pkt_m.bits.dma & (lsu_lsc_ctl.io.addr_in_dccm_m | lsu_lsc_ctl.io.addr_in_pic_m) & lsu_lsc_ctl.io.lsu_pkt_m.bits.store io.lsu_dma.dccm_ready := !(io.dec_lsu_valid_raw_d | ldst_nodma_mtor | dccm_ctl.io.ld_single_ecc_error_r_ff) - val dma_dccm_wen = io.lsu_dma.dma_lsc_ctl.dma_dccm_req & io.lsu_dma.dma_lsc_ctl.dma_mem_write & lsu_lsc_ctl.io.addr_in_dccm_d + val dma_dccm_wen = io.lsu_dma.dma_lsc_ctl.dma_dccm_req & io.lsu_dma.dma_lsc_ctl.dma_mem_write & lsu_lsc_ctl.io.addr_in_dccm_d & io.lsu_dma.dma_lsc_ctl.dma_mem_sz(1) val dma_pic_wen = io.lsu_dma.dma_lsc_ctl.dma_dccm_req & io.lsu_dma.dma_lsc_ctl.dma_mem_write & lsu_lsc_ctl.io.addr_in_pic_d dma_dccm_wdata := io.lsu_dma.dma_lsc_ctl.dma_mem_wdata >> Cat(io.lsu_dma.dma_lsc_ctl.dma_mem_addr(2,0), 0.U(3.W)) // Shift the dma data to lower bits to make it consistent to lsu stores dma_dccm_wdata_hi := dma_dccm_wdata(63,32) @@ -93,13 +98,18 @@ class lsu extends Module with RequireAsyncReset with param with lib { // Indicates non-idle if there is a instruction valid in d-r or read/write buffers are non-empty since they can come with error // Store buffer now have only non-dma dccm stores // stbuf_empty not needed since it has only dccm stores - io.lsu_idle_any := !((lsu_lsc_ctl.io.lsu_pkt_m.valid & !lsu_lsc_ctl.io.lsu_pkt_m.bits.dma) | (lsu_lsc_ctl.io.lsu_pkt_r.valid & !lsu_lsc_ctl.io.lsu_pkt_r.bits.dma)) & bus_intf.io.lsu_bus_buffer_empty_any & bus_intf.io.lsu_bus_idle_any + io.lsu_idle_any := !((lsu_lsc_ctl.io.lsu_pkt_m.valid & !lsu_lsc_ctl.io.lsu_pkt_m.bits.dma) | (lsu_lsc_ctl.io.lsu_pkt_r.valid & !lsu_lsc_ctl.io.lsu_pkt_r.bits.dma)) & bus_intf.io.lsu_bus_buffer_empty_any + io.lsu_active := (lsu_lsc_ctl.io.lsu_pkt_m.valid | lsu_lsc_ctl.io.lsu_pkt_r.valid | dccm_ctl.io.ld_single_ecc_error_r_ff) | !bus_intf.io.lsu_bus_buffer_empty_any // This includes DMA. Used for gating top clock // Instantiate the store buffer - val store_stbuf_reqvld_r = lsu_lsc_ctl.io.lsu_pkt_r.valid & lsu_lsc_ctl.io.lsu_pkt_r.bits.store & lsu_lsc_ctl.io.addr_in_dccm_r & !flush_r & !lsu_lsc_ctl.io.lsu_pkt_r.bits.dma + val store_stbuf_reqvld_r = lsu_lsc_ctl.io.lsu_pkt_r.valid & lsu_lsc_ctl.io.lsu_pkt_r.bits.store & lsu_lsc_ctl.io.addr_in_dccm_r & !flush_r & (!lsu_lsc_ctl.io.lsu_pkt_r.bits.dma | ((lsu_lsc_ctl.io.lsu_pkt_r.bits.by | lsu_lsc_ctl.io.lsu_pkt_r.bits.half) & !ecc.io.lsu_double_ecc_error_r)) // Disable Forwarding for now val lsu_cmpen_m = lsu_lsc_ctl.io.lsu_pkt_m.valid & (lsu_lsc_ctl.io.lsu_pkt_m.bits.load | lsu_lsc_ctl.io.lsu_pkt_m.bits.store) & (lsu_lsc_ctl.io.addr_in_dccm_m | lsu_lsc_ctl.io.addr_in_pic_m) // Bus signals val lsu_busreq_m = lsu_lsc_ctl.io.lsu_pkt_m.valid & ((lsu_lsc_ctl.io.lsu_pkt_m.bits.load | lsu_lsc_ctl.io.lsu_pkt_m.bits.store) & lsu_lsc_ctl.io.addr_external_m) & !flush_m_up & !lsu_lsc_ctl.io.lsu_exc_m & !lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int + // Dual signals + val ldst_dual_d = lsu_lsc_ctl.io.lsu_addr_d(2) =/= lsu_lsc_ctl.io.end_addr_d(2) + val ldst_dual_m = lsu_lsc_ctl.io.lsu_addr_m(2) =/= lsu_lsc_ctl.io.end_addr_m(2) + val ldst_dual_r = lsu_lsc_ctl.io.lsu_addr_r(2) =/= lsu_lsc_ctl.io.end_addr_r(2) // PMU signals io.lsu_pmu_misaligned_m := lsu_lsc_ctl.io.lsu_pkt_m.valid & ((lsu_lsc_ctl.io.lsu_pkt_m.bits.half & lsu_lsc_ctl.io.lsu_addr_m(0)) | (lsu_lsc_ctl.io.lsu_pkt_m.bits.word & lsu_lsc_ctl.io.lsu_addr_m(1,0).orR)) io.lsu_tlu.lsu_pmu_load_external_m := lsu_lsc_ctl.io.lsu_pkt_m.valid & lsu_lsc_ctl.io.lsu_pkt_m.bits.load & lsu_lsc_ctl.io.addr_external_m @@ -107,6 +117,7 @@ class lsu extends Module with RequireAsyncReset with param with lib { //LSU_LSC_Control //Inputs + lsu_lsc_ctl.io.clk_override := io.clk_override lsu_lsc_ctl.io.lsu_c1_m_clk := clkdomain.io.lsu_c1_m_clk lsu_lsc_ctl.io.lsu_c1_r_clk := clkdomain.io.lsu_c1_r_clk lsu_lsc_ctl.io.lsu_c2_m_clk := clkdomain.io.lsu_c2_m_clk @@ -121,6 +132,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { lsu_lsc_ctl.io.lsu_double_ecc_error_m := ecc.io.lsu_double_ecc_error_m lsu_lsc_ctl.io.flush_m_up := flush_m_up lsu_lsc_ctl.io.flush_r := flush_r + lsu_lsc_ctl.io.ldst_dual_d := ldst_dual_d + lsu_lsc_ctl.io.ldst_dual_m := ldst_dual_m + lsu_lsc_ctl.io.ldst_dual_r := ldst_dual_r lsu_lsc_ctl.io.lsu_exu <> io.lsu_exu lsu_lsc_ctl.io.lsu_p <> io.lsu_p lsu_lsc_ctl.io.dec_lsu_valid_raw_d := io.dec_lsu_valid_raw_d @@ -138,6 +152,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { io.lsu_fir_error <> lsu_lsc_ctl.io.lsu_fir_error // DCCM Control //Inputs + dccm_ctl.io.clk_override := io.clk_override + dccm_ctl.io.ldst_dual_m := ldst_dual_m + dccm_ctl.io.ldst_dual_r := ldst_dual_r dccm_ctl.io.lsu_c2_m_clk := clkdomain.io.lsu_c2_m_clk dccm_ctl.io.lsu_c2_r_clk := clkdomain.io.lsu_c2_r_clk dccm_ctl.io.lsu_free_c2_clk := clkdomain.io.lsu_free_c2_clk @@ -196,8 +213,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { io.lsu_pic <> dccm_ctl.io.lsu_pic //Store Buffer //Inputs - stbuf.io.lsu_c1_m_clk := clkdomain.io.lsu_c1_m_clk - stbuf.io.lsu_c1_r_clk := clkdomain.io.lsu_c1_r_clk + stbuf.io.ldst_dual_d := ldst_dual_d + stbuf.io.ldst_dual_m := ldst_dual_m + stbuf.io.ldst_dual_r := ldst_dual_r stbuf.io.lsu_stbuf_c1_clk := clkdomain.io.lsu_stbuf_c1_clk stbuf.io.lsu_free_c2_clk := clkdomain.io.lsu_free_c2_clk stbuf.io.lsu_pkt_m <> lsu_lsc_ctl.io.lsu_pkt_m @@ -223,6 +241,7 @@ class lsu extends Module with RequireAsyncReset with param with lib { // ECC //Inputs + ecc.io.clk_override := io.clk_override ecc.io.lsu_c2_r_clk := clkdomain.io.lsu_c2_r_clk ecc.io.lsu_pkt_m <> lsu_lsc_ctl.io.lsu_pkt_m ecc.io.lsu_pkt_r <> lsu_lsc_ctl.io.lsu_pkt_r @@ -262,9 +281,9 @@ class lsu extends Module with RequireAsyncReset with param with lib { //Clock Domain //Inputs - clkdomain.io.free_clk := io.free_clk + clkdomain.io.active_clk := io.active_clk clkdomain.io.clk_override := io.clk_override - clkdomain.io.addr_in_dccm_m := lsu_lsc_ctl.io.addr_in_dccm_m + clkdomain.io.dec_tlu_force_halt := io.dec_tlu_force_halt clkdomain.io.dma_dccm_req := io.lsu_dma.dma_lsc_ctl.dma_dccm_req clkdomain.io.ldst_stbuf_reqvld_r := stbuf.io.ldst_stbuf_reqvld_r clkdomain.io.stbuf_reqvld_any := stbuf.io.stbuf_reqvld_any @@ -284,21 +303,24 @@ class lsu extends Module with RequireAsyncReset with param with lib { //Inputs bus_intf.io.scan_mode := io.scan_mode io.lsu_dec.tlu_busbuff <> bus_intf.io.tlu_busbuff - bus_intf.io.lsu_c1_m_clk := clkdomain.io.lsu_c1_m_clk + bus_intf.io.clk_override := io.clk_override bus_intf.io.lsu_c1_r_clk := clkdomain.io.lsu_c1_r_clk bus_intf.io.lsu_c2_r_clk := clkdomain.io.lsu_c2_r_clk + bus_intf.io.lsu_busm_clken := lsu_busm_clken + bus_intf.io.lsu_bus_obuf_c1_clken := lsu_bus_obuf_c1_clken bus_intf.io.lsu_bus_ibuf_c1_clk := clkdomain.io.lsu_bus_ibuf_c1_clk bus_intf.io.lsu_bus_obuf_c1_clk := clkdomain.io.lsu_bus_obuf_c1_clk bus_intf.io.lsu_bus_buf_c1_clk := clkdomain.io.lsu_bus_buf_c1_clk bus_intf.io.lsu_free_c2_clk := clkdomain.io.lsu_free_c2_clk - bus_intf.io.free_clk := io.free_clk + bus_intf.io.active_clk := io.active_clk bus_intf.io.lsu_busm_clk := clkdomain.io.lsu_busm_clk bus_intf.io.dec_lsu_valid_raw_d := io.dec_lsu_valid_raw_d bus_intf.io.lsu_busreq_m := lsu_busreq_m - bus_intf.io.lsu_addr_d := lsu_lsc_ctl.io.lsu_addr_d + bus_intf.io.ldst_dual_d := ldst_dual_d + bus_intf.io.ldst_dual_m := ldst_dual_m + bus_intf.io.ldst_dual_r := ldst_dual_r bus_intf.io.lsu_addr_m := lsu_lsc_ctl.io.lsu_addr_m bus_intf.io.lsu_addr_r := lsu_lsc_ctl.io.lsu_addr_r - bus_intf.io.end_addr_d := lsu_lsc_ctl.io.end_addr_d bus_intf.io.end_addr_m := lsu_lsc_ctl.io.end_addr_m bus_intf.io.end_addr_r := lsu_lsc_ctl.io.end_addr_r bus_intf.io.store_data_r := dccm_ctl.io.store_data_r @@ -319,3 +341,6 @@ class lsu extends Module with RequireAsyncReset with param with lib { withClock(clkdomain.io.lsu_c2_r_clk){lsu_raw_fwd_lo_r := RegNext(lsu_raw_fwd_lo_m,0.U)} } +object lsu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new lsu())) +} \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_addrcheck.scala b/src/main/scala/lsu/lsu_addrcheck.scala index da7752e9..c5218d07 100644 --- a/src/main/scala/lsu/lsu_addrcheck.scala +++ b/src/main/scala/lsu/lsu_addrcheck.scala @@ -52,7 +52,7 @@ class lsu_addrcheck extends Module with RequireAsyncReset with lib val (end_addr_in_pic_d,end_addr_in_pic_region_d) = rvrangecheck_ch(io.end_addr_d(31,0) ,aslong(PIC_BASE_ADDR).U ,PIC_SIZE) val start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_pic_region_d - val base_reg_dccm_or_pic = (io.rs1_region_d(3,0) === DCCM_REGION.U) | (io.rs1_region_d(3,0) === PIC_REGION.U) //base region + val base_reg_dccm_or_pic = ((io.rs1_region_d(3,0) === DCCM_REGION.U) & DCCM_ENABLE.U) | (io.rs1_region_d(3,0) === PIC_REGION.U)//base region io.addr_in_dccm_d := (start_addr_in_dccm_d & end_addr_in_dccm_d) io.addr_in_pic_d := (start_addr_in_pic_d & end_addr_in_pic_d) @@ -87,7 +87,7 @@ class lsu_addrcheck extends Module with RequireAsyncReset with lib val unmapped_access_fault_d = WireInit(1.U(1.W)) val mpu_access_fault_d = WireInit(1.U(1.W)) - if(DCCM_REGION == PIC_REGION){ + if(DCCM_ENABLE & (DCCM_REGION == PIC_REGION)){ unmapped_access_fault_d := ((start_addr_in_dccm_region_d & !(start_addr_in_dccm_d | start_addr_in_pic_d)) | // 0. Addr in dccm/pic region but not in dccm/pic offset (end_addr_in_dccm_region_d & !(end_addr_in_dccm_d | end_addr_in_pic_d)) | @@ -119,5 +119,4 @@ class lsu_addrcheck extends Module with RequireAsyncReset with lib io.fir_nondccm_access_error_d := !(start_addr_in_dccm_region_d & end_addr_in_dccm_region_d) & io.lsu_pkt_d.valid & io.lsu_pkt_d.bits.fast_int withClock(io.lsu_c2_m_clk){io.is_sideeffects_m := RegNext(is_sideeffects_d,0.U)} //TBD for clock and reset -} - +} \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 71e229bf..2d08a2e2 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -10,10 +10,13 @@ import ifu._ @chiselName class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val io = IO(new Bundle { + val clk_override = Input(Bool()) val scan_mode = Input(Bool()) val tlu_busbuff = new tlu_busbuff() val dctl_busbuff = new dctl_busbuff() val dec_tlu_force_halt = Input(Bool()) + val lsu_bus_obuf_c1_clken = Input(Bool()) + val lsu_busm_clken = Input(Bool()) val lsu_c2_r_clk = Input(Clock()) val lsu_bus_ibuf_c1_clk = Input(Clock()) val lsu_bus_obuf_c1_clk = Input(Clock()) @@ -48,7 +51,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val lsu_bus_buffer_pend_any = Output(Bool()) val lsu_bus_buffer_full_any = Output(Bool()) val lsu_bus_buffer_empty_any = Output(Bool()) - val lsu_bus_idle_any = Output(Bool()) + // val lsu_bus_idle_any = Output(Bool()) val ld_byte_hit_buf_lo = Output((UInt(4.W))) val ld_byte_hit_buf_hi = Output((UInt(4.W))) val ld_fwddata_buf_lo = Output((UInt(32.W))) @@ -92,7 +95,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val buf_ldfwd_in = Wire(Vec(DEPTH, Bool())) buf_ldfwd_in := buf_ldfwd_in.map(i=> false.B) val buf_ldfwd_en = Wire(Vec(DEPTH, Bool())) - buf_ldfwd_en := buf_ldfwd_en.map(i=> false.B) + buf_ldfwd_en := buf_ldfwd_en.map(i=> io.dec_tlu_force_halt) val buf_data_in = Wire(Vec(DEPTH, UInt(32.W))) buf_data_in := buf_data_in.map(i=> 0.U) val buf_ldfwdtag_in = Wire(Vec(DEPTH, UInt(DEPTH_LOG2.W))) @@ -113,7 +116,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val buf_ldfwdtag = Wire(Vec(DEPTH, UInt(DEPTH_LOG2.W))) buf_ldfwdtag := buf_ldfwdtag.map(i=> 0.U) val buf_rst = Wire(Vec(DEPTH, Bool())) - buf_rst := buf_rst.map(i=> false.B) + buf_rst := buf_rst.map(i=> io.dec_tlu_force_halt) val ibuf_drainvec_vld = WireInit(UInt(DEPTH.W), 0.U) val buf_byteen_in = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_byteen_in := buf_byteen_in.map(i=> 0.U) @@ -279,13 +282,13 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val bus_cmd_ready = WireInit(Bool(), false.B) val obuf_valid = WireInit(Bool(), false.B) val obuf_nosend = WireInit(Bool(), false.B) - val lsu_bus_cntr_overflow = WireInit(Bool(), false.B) + // val lsu_bus_cntr_overflow = WireInit(Bool(), false.B) val bus_addr_match_pending = WireInit(Bool(), false.B) obuf_wr_en := ((ibuf_buf_byp & io.lsu_commit_r & !(io.is_sideeffects_r & bus_sideeffect_pend)) | ((indexing(buf_state, CmdPtr0) === cmd_C) & found_cmdptr0 & !indexing(buf_cmd_state_bus_en.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !(indexing(buf_sideeffect, CmdPtr0) & bus_sideeffect_pend) & (!(indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_write, CmdPtr0)) | found_cmdptr1 | indexing(buf_nomerge.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) | - obuf_force_wr_en))) & (bus_cmd_ready | !obuf_valid | obuf_nosend) & !obuf_wr_wait & !lsu_bus_cntr_overflow & !bus_addr_match_pending & io.lsu_bus_clk_en + obuf_force_wr_en))) & (bus_cmd_ready | !obuf_valid | obuf_nosend) & !obuf_wr_wait & !bus_addr_match_pending & io.lsu_bus_clk_en val bus_cmd_sent = WireInit(Bool(), false.B) val obuf_rst = ((bus_cmd_sent | (obuf_valid & obuf_nosend)) & !obuf_wr_en & io.lsu_bus_clk_en) | io.dec_tlu_force_halt val obuf_write_in = Mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, indexing(buf_write, CmdPtr0)) @@ -313,8 +316,9 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val bus_rsp_read_tag = WireInit(UInt(LSU_BUS_TAG.W), 0.U) val obuf_rdrsp_tag = WireInit(UInt(LSU_BUS_TAG.W), 0.U) val obuf_write = WireInit(Bool(), false.B) - val obuf_rdrsp_pend_in = (!(obuf_wr_en & !obuf_nosend_in) & obuf_rdrsp_pend & !(bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag))) | - ((bus_cmd_sent & !obuf_write) & !io.dec_tlu_force_halt) + val obuf_rdrsp_pend_in = ((!(obuf_wr_en & !obuf_nosend_in) & obuf_rdrsp_pend & !(bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag))) | + (bus_cmd_sent & !obuf_write)) & !io.dec_tlu_force_halt + val obuf_rdrsp_pend_en = io.lsu_bus_clk_en | io.dec_tlu_force_halt val obuf_tag0 = WireInit(UInt(LSU_BUS_TAG.W), 0.U) val obuf_rdrsp_tag_in = Mux(bus_cmd_sent & !obuf_write, obuf_tag0, obuf_rdrsp_tag) val obuf_addr = WireInit(UInt(32.W), 0.U) @@ -328,7 +332,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val obuf_data0_in = Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(store_data_lo_r, 0.U(32.W)), Cat(0.U(32.W), store_data_lo_r)), Mux(indexing(buf_addr, CmdPtr0)(2).asBool(), Cat(indexing(buf_data, CmdPtr0), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, CmdPtr0)))) - val obuf_data1_in = Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(store_data_hi_r, 0.U(32.W)), Cat(0.U(32.W), store_data_hi_r)), + val obuf_data1_in = Mux(ibuf_buf_byp, Mux(io.end_addr_r(2), Cat(store_data_hi_r, 0.U(32.W)), Cat(0.U(32.W), store_data_hi_r)), Mux(indexing(buf_addr, CmdPtr1)(2).asBool(), Cat(indexing(buf_data, CmdPtr1), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, CmdPtr1)))) val obuf_byteen_in = (0 until 8).map(i=>(obuf_byteen0_in(i) | (obuf_merge_en & obuf_byteen1_in(i))).asUInt).reverse.reduce(Cat(_,_)) val obuf_data_in = (0 until 8).map(i=>Mux(obuf_merge_en & obuf_byteen1_in(i), obuf_data1_in((8*i)+7, 8*i), obuf_data0_in((8*i)+7, 8*i))).reverse.reduce(Cat(_,_)) @@ -337,9 +341,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_dualhi := buf_dualhi.map(i=> false.B) obuf_merge_en := ((CmdPtr0 =/= CmdPtr1) & found_cmdptr0 & found_cmdptr1 & (indexing(buf_state, CmdPtr0) === cmd_C) & (indexing(buf_state, CmdPtr1) === cmd_C) & !indexing(buf_cmd_state_bus_en.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_sideeffect, CmdPtr0) & - ((indexing(buf_write, CmdPtr0) & indexing(buf_write, CmdPtr1) & - (indexing(buf_addr, CmdPtr0)(31,3)===indexing(buf_addr, CmdPtr1)(31,3)) & !bus_coalescing_disable & !BUILD_AXI_NATIVE.B) | - (!indexing(buf_write, CmdPtr0) & indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_dualhi.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0)))) | + (!indexing(buf_write, CmdPtr0) & indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_dualhi.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0))) | (ibuf_buf_byp & ldst_samedw_r & io.ldst_dual_r) val obuf_wr_enQ = withClock(io.lsu_busm_clk){RegNext(obuf_wr_en, false.B)} @@ -409,7 +411,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (ibuf_byp & io.lsu_busreq_r & io.ldst_dual_r & (WrPtr1_r === i.U) & (WrPtr0_r === j.U)))) | buf_age(i)(j)).asUInt).reverse.reduce(Cat(_,_))) val buf_ageQ = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_ageQ := buf_ageQ.map(i=> 0.U) - buf_age := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_ageQ(i)(j) & !((buf_state(j)===cmd_C) & buf_cmd_state_bus_en(j))).asUInt).reverse.reduce(Cat(_,_))) + buf_age := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_ageQ(i)(j) & !((buf_state(j)===cmd_C) & buf_cmd_state_bus_en(j))).asUInt & !io.dec_tlu_force_halt).reverse.reduce(Cat(_,_))) buf_age_younger := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(Mux(i.U===j.U, 0.U, !buf_age(i)(j) & (buf_state(j)=/=idle_C))).asUInt).reverse.reduce(Cat(_,_))) buf_rsp_pickage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & (buf_state(j)===done_wait_C)).asUInt).reverse.reduce(Cat(_,_))) @@ -418,7 +420,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (ibuf_drain_vld & io.lsu_busreq_r & (ibuf_byp | io.ldst_dual_r) & (WrPtr0_r===i.U) & (ibuf_tag===j.U)) | (ibuf_byp & io.lsu_busreq_r & io.ldst_dual_r & (WrPtr1_r===i.U) & (WrPtr0_r===j.U)))).asUInt).reverse.reduce(Cat(_,_))) buf_rspage_in := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspage_set(i)(j) | buf_rspage(i)(j)).asUInt).reverse.reduce(Cat(_,_))) - buf_rspage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & !((buf_state(j)===done_C) | (buf_state(j)===idle_C))).asUInt).reverse.reduce(Cat(_,_))) + buf_rspage := (0 until DEPTH).map(i=>(0 until DEPTH).map(j=>(buf_rspageQ(i)(j) & !((buf_state(j)===done_C) | (buf_state(j)===idle_C))).asUInt & !io.dec_tlu_force_halt).reverse.reduce(Cat(_,_))) @@ -445,10 +447,12 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_wr_en(i) := buf_state_en(i) buf_data_en(i) := buf_state_en(i) buf_data_in(i) := Mux((ibuf_drain_vld & (i === ibuf_tag)).asBool(), ibuf_data_out(31, 0), store_data_lo_r(31, 0)) + buf_cmd_state_bus_en(i) := 0.U } is(wait_C) { buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, cmd_C) buf_state_en(i) := io.lsu_bus_clk_en | io.dec_tlu_force_halt + buf_cmd_state_bus_en(i) := 0.U } is(cmd_C) { buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, Mux((obuf_nosend & bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag)), done_wait_C, resp_C)) @@ -463,7 +467,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_data_in(i) := Mux(buf_error_en(i), bus_rsp_rdata(31, 0), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0))) } is(resp_C) { - buf_nxtstate(i) := Mux((io.dec_tlu_force_halt | (buf_write(i) & !(BUILD_AXI_NATIVE.B & bus_rsp_write_error))).asBool(), idle_C, + buf_nxtstate(i) := Mux((io.dec_tlu_force_halt | (buf_write(i) & bus_rsp_write_error)).asBool(), idle_C, Mux((buf_dual(i) & !buf_samedw(i) & !buf_write(i) & (buf_state(buf_dualtag(i)) =/= done_partial_C)), done_partial_C, Mux((buf_ldfwd(i) | any_done_wait_state | (buf_dual(i) & !buf_samedw(i) & !buf_write(i) & indexing(buf_ldfwd,buf_dualtag(i)) & (buf_state(buf_dualtag(i)) === done_partial_C) & any_done_wait_state)), done_wait_C, done_C))) buf_resp_state_bus_en(i) := (bus_rsp_write & (bus_rsp_write_tag === (i.asUInt(LSU_BUS_TAG.W)))) | @@ -475,18 +479,21 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_data_en(i) := buf_state_bus_en(i) & bus_rsp_read & io.lsu_bus_clk_en buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & ((bus_rsp_read_error & (bus_rsp_read_tag === (i.asUInt(LSU_BUS_TAG.W)))) | (bus_rsp_read_error & buf_ldfwd(i) & (bus_rsp_read_tag === buf_ldfwdtag(i))) | - (bus_rsp_write_error & BUILD_AXI_NATIVE.B & (bus_rsp_write_tag === i.asUInt(LSU_BUS_TAG.W)))) + (bus_rsp_write_error & (bus_rsp_write_tag === i.asUInt(LSU_BUS_TAG.W)))) buf_data_in(i) := Mux((buf_state_en(i) & !buf_error_en(i)), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0)), bus_rsp_rdata(31, 0)) + buf_cmd_state_bus_en(i) := 0.U } is(done_partial_C) { // Other part of dual load hasn't returned buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, Mux((buf_ldfwd(i) | buf_ldfwd(buf_dualtag(i)) | any_done_wait_state), done_wait_C, done_C)) buf_state_bus_en(i) := bus_rsp_read & ((bus_rsp_read_tag === buf_dualtag(i).asUInt()) | (buf_ldfwd(buf_dualtag(i)) & (bus_rsp_read_tag === buf_ldfwdtag(buf_dualtag(i)).asUInt()))) buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt + buf_cmd_state_bus_en(i) := 0.U } is(done_wait_C) { // WAIT state if there are multiple outstanding nb returns buf_nxtstate(i) := Mux(io.dec_tlu_force_halt.asBool(), idle_C, done_C) buf_state_en(i) := ((RspPtr === i.asUInt(DEPTH_LOG2.W)) | (buf_dual(i) & (buf_dualtag(i) === RspPtr))) | io.dec_tlu_force_halt + buf_cmd_state_bus_en(i) := 0.U } is(done_C) { buf_nxtstate(i) := idle_C @@ -494,6 +501,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_state_en(i) := 1.U buf_ldfwd_in(i) := false.B buf_ldfwd_en(i) := buf_state_en(i) + buf_cmd_state_bus_en(i) := 0.U } } buf_state(i) := withClock(io.lsu_bus_buf_c1_clk){RegEnable(buf_nxtstate(i), 0.U, buf_state_en(i).asBool())} @@ -517,7 +525,6 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) - val buf_numvld_any = (Mux(io.ldst_dual_m, Cat(io.lsu_busreq_m, 0.U),io.lsu_busreq_m) +& Mux(io.ldst_dual_r, Cat(io.lsu_busreq_r, 0.U),io.lsu_busreq_r) +& ibuf_valid) + buf_state.map(i=>(i=/=idle_C).asUInt).reduce(_+&_) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) @@ -532,7 +539,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val lsu_nonblock_load_valid_r = WireInit(Bool(), false.B) io.dctl_busbuff.lsu_nonblock_load_inv_r := lsu_nonblock_load_valid_r & !io.lsu_commit_r io.dctl_busbuff.lsu_nonblock_load_inv_tag_r := WrPtr0_r - val lsu_nonblock_load_data_ready = Mux1H((0 until DEPTH).map(i=>(buf_state(i)===done_C) -> (!(BUILD_AXI_NATIVE.B & buf_write(i))))) + val lsu_nonblock_load_data_ready = Mux1H((0 until DEPTH).map(i=>(buf_state(i)===done_C) -> (!(buf_write(i))))) io.dctl_busbuff.lsu_nonblock_load_data_error := Mux1H((0 until DEPTH).map(i=>(buf_state(i)===done_C) -> (buf_error(i) & !buf_write(i)))) io.dctl_busbuff.lsu_nonblock_load_data_tag := Mux1H((0 until DEPTH).map(i=>((buf_state(i)===done_C) & !buf_write(i) & (!buf_dual(i) | !buf_dualhi(i))) -> i.U)) val lsu_nonblock_load_data_lo = Mux1H((0 until DEPTH).map(i=>((buf_state(i)===done_C) & !buf_write(i) & (!buf_dual(i) | !buf_dualhi(i))) -> buf_data(i))) @@ -540,7 +547,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val lsu_nonblock_addr_offset = indexing(buf_addr, io.dctl_busbuff.lsu_nonblock_load_data_tag)(1,0) val lsu_nonblock_sz = indexing(buf_sz, io.dctl_busbuff.lsu_nonblock_load_data_tag) val lsu_nonblock_unsign = indexing(buf_unsign, io.dctl_busbuff.lsu_nonblock_load_data_tag) - val lsu_nonblock_dual = indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), io.dctl_busbuff.lsu_nonblock_load_data_tag) + // val lsu_nonblock_dual = indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), io.dctl_busbuff.lsu_nonblock_load_data_tag) val lsu_nonblock_data_unalgn = Cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) >> (lsu_nonblock_addr_offset * 8.U) io.dctl_busbuff.lsu_nonblock_load_data_valid := lsu_nonblock_load_data_ready & !io.dctl_busbuff.lsu_nonblock_load_data_error @@ -551,7 +558,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (lsu_nonblock_sz===2.U) -> lsu_nonblock_data_unalgn)) bus_sideeffect_pend := (0 until DEPTH).map(i=>(buf_state(i)===resp_C) & buf_sideeffect(i) & io.tlu_busbuff.dec_tlu_sideeffect_posted_disable).reduce(_|_) | (obuf_valid & obuf_sideeffect & io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) bus_addr_match_pending := Mux1H((0 until DEPTH).map(i=>(buf_state(i)===resp_C)-> - (BUILD_AXI_NATIVE.B & obuf_valid & (obuf_addr(31,3)===buf_addr(i)(31,3)) & !((obuf_tag0===i.U) | (obuf_merge & (obuf_tag1===i.U)))))) + ( obuf_valid & (obuf_addr(31,3)===buf_addr(i)(31,3)) & !((obuf_tag0===i.U) | (obuf_merge & (obuf_tag1===i.U)))))) bus_cmd_ready := Mux(obuf_write, Mux(obuf_cmd_done | obuf_data_done, Mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready), io.lsu_axi.aw.ready & io.lsu_axi.w.ready), io.lsu_axi.ar.ready) bus_wcmd_sent := io.lsu_axi.aw.valid & io.lsu_axi.aw.ready @@ -570,7 +577,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { io.lsu_axi.aw.bits.id := obuf_tag0 io.lsu_axi.aw.bits.addr := Mux(obuf_sideeffect, obuf_addr, Cat(obuf_addr(31,3), 0.U(3.W))) io.lsu_axi.aw.bits.size := Mux(obuf_sideeffect, Cat(0.U, obuf_sz), 3.U(3.W)) - io.lsu_axi.aw.bits.prot := 0.U + io.lsu_axi.aw.bits.prot := 1.U io.lsu_axi.aw.bits.cache := Mux(obuf_sideeffect, 0.U, 15.U) io.lsu_axi.aw.bits.region := obuf_addr(31,28) io.lsu_axi.aw.bits.len := 0.U @@ -587,7 +594,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { io.lsu_axi.ar.bits.id := obuf_tag0 io.lsu_axi.ar.bits.addr := Mux(obuf_sideeffect, obuf_addr, Cat(obuf_addr(31,3),0.U(3.W))) io.lsu_axi.ar.bits.size := Mux(obuf_sideeffect, Cat(0.U, obuf_sz), 3.U(3.W)) - io.lsu_axi.ar.bits.prot := 0.U + io.lsu_axi.ar.bits.prot := 1.U io.lsu_axi.ar.bits.cache := Mux(obuf_sideeffect, 0.U(4.W), 15.U) io.lsu_axi.ar.bits.region := obuf_addr(31,28) io.lsu_axi.ar.bits.len := 0.U @@ -601,9 +608,9 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { io.tlu_busbuff.lsu_imprecise_error_load_any := io.dctl_busbuff.lsu_nonblock_load_data_error & !io.tlu_busbuff.lsu_imprecise_error_store_any io.tlu_busbuff.lsu_imprecise_error_addr_any := Mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr(lsu_imprecise_error_store_tag), buf_addr(io.dctl_busbuff.lsu_nonblock_load_data_tag)) - lsu_bus_cntr_overflow := 0.U + //lsu_bus_cntr_overflow := 0.U - io.lsu_bus_idle_any := 1.U + // io.lsu_bus_idle_any := 1.U // PMU signals io.tlu_busbuff.lsu_pmu_bus_trxn := (io.lsu_axi.aw.valid & io.lsu_axi.aw.ready) | (io.lsu_axi.w.valid & io.lsu_axi.w.ready) | (io.lsu_axi.ar.valid & io.lsu_axi.ar.ready) diff --git a/src/main/scala/lsu/lsu_bus_intf.scala b/src/main/scala/lsu/lsu_bus_intf.scala index 12692f41..0d77680b 100644 --- a/src/main/scala/lsu/lsu_bus_intf.scala +++ b/src/main/scala/lsu/lsu_bus_intf.scala @@ -7,15 +7,17 @@ import include._ class lsu_bus_intf extends Module with RequireAsyncReset with lib { val io = IO (new Bundle { val scan_mode = Input(Bool()) + val clk_override = Input(Bool()) val tlu_busbuff = new tlu_busbuff() - val lsu_c1_m_clk = Input(Clock()) + val lsu_bus_obuf_c1_clken = Input(Bool())// obuf clock enable + val lsu_busm_clken = Input(Bool()) val lsu_c1_r_clk = Input(Clock()) val lsu_c2_r_clk = Input(Clock()) val lsu_bus_ibuf_c1_clk = Input(Clock()) val lsu_bus_obuf_c1_clk = Input(Clock()) val lsu_bus_buf_c1_clk = Input(Clock()) val lsu_free_c2_clk = Input(Clock()) - val free_clk = Input(Clock()) + val active_clk = Input(Clock()) val lsu_busm_clk = Input(Clock()) val axi = new axi_channels(LSU_BUS_TAG) val dec_lsu_valid_raw_d = Input(Bool()) @@ -24,13 +26,14 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { val lsu_pkt_m = Flipped(Valid(new lsu_pkt_t())) val lsu_pkt_r = Flipped(Valid(new lsu_pkt_t())) - val lsu_addr_d = Input(UInt(32.W)) val lsu_addr_m = Input(UInt(32.W)) val lsu_addr_r = Input(UInt(32.W)) - val end_addr_d = Input(UInt(32.W)) val end_addr_m = Input(UInt(32.W)) val end_addr_r = Input(UInt(32.W)) + val ldst_dual_d = Input(Bool()) + val ldst_dual_m = Input(Bool()) + val ldst_dual_r = Input(Bool()) val store_data_r = Input(UInt(32.W)) val dec_tlu_force_halt = Input(Bool()) @@ -44,7 +47,7 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { val lsu_bus_buffer_pend_any = Output(Bool()) val lsu_bus_buffer_full_any = Output(Bool()) val lsu_bus_buffer_empty_any = Output(Bool()) - val lsu_bus_idle_any = Output(Bool()) + //val lsu_bus_idle_any = Output(Bool()) val bus_read_data_m = Output(UInt(32.W)) val dctl_busbuff = new dctl_busbuff() @@ -53,9 +56,6 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { }) val lsu_bus_clk_en_q = WireInit(Bool(), init = false.B) - val ldst_dual_d = WireInit(Bool(), init = false.B) - val ldst_dual_m = WireInit(Bool(), init = false.B) - val ldst_dual_r = WireInit(Bool(), init = false.B) val ldst_byteen_m = WireInit(UInt(4.W), init = 0.U) val ldst_byteen_r = WireInit(UInt(4.W), init = 0.U) val ldst_byteen_ext_m = WireInit(UInt(8.W), init = 0.U) @@ -101,7 +101,9 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { bus_buffer.io.scan_mode := io.scan_mode io.tlu_busbuff <> bus_buffer.io.tlu_busbuff - + bus_buffer.io.clk_override := io.clk_override + bus_buffer.io.lsu_bus_obuf_c1_clken := io.lsu_bus_obuf_c1_clken + bus_buffer.io.lsu_busm_clken := io.lsu_busm_clken bus_buffer.io.dec_tlu_force_halt := io.dec_tlu_force_halt bus_buffer.io.lsu_c2_r_clk := io.lsu_c2_r_clk bus_buffer.io.lsu_bus_ibuf_c1_clk := io.lsu_bus_ibuf_c1_clk @@ -126,14 +128,14 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { bus_buffer.io.flush_m_up := io.flush_m_up bus_buffer.io.flush_r := io.flush_r bus_buffer.io.lsu_commit_r := io.lsu_commit_r - bus_buffer.io.lsu_axi <> io.axi + bus_buffer.io.lsu_axi <> io.axi bus_buffer.io.lsu_bus_clk_en := io.lsu_bus_clk_en io.lsu_busreq_r := bus_buffer.io.lsu_busreq_r io.lsu_bus_buffer_pend_any := bus_buffer.io.lsu_bus_buffer_pend_any io.lsu_bus_buffer_full_any := bus_buffer.io.lsu_bus_buffer_full_any io.lsu_bus_buffer_empty_any := bus_buffer.io.lsu_bus_buffer_empty_any - io.lsu_bus_idle_any := bus_buffer.io.lsu_bus_idle_any + //io.lsu_bus_idle_any := bus_buffer.io.lsu_bus_idle_any ld_byte_hit_buf_lo := bus_buffer.io.ld_byte_hit_buf_lo ld_byte_hit_buf_hi := bus_buffer.io.ld_byte_hit_buf_hi ld_fwddata_buf_lo := bus_buffer.io.ld_fwddata_buf_lo @@ -142,19 +144,18 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { bus_buffer.io.no_word_merge_r := no_word_merge_r bus_buffer.io.no_dword_merge_r := no_dword_merge_r bus_buffer.io.is_sideeffects_r := is_sideeffects_r - bus_buffer.io.ldst_dual_d := ldst_dual_d - bus_buffer.io.ldst_dual_m := ldst_dual_m - bus_buffer.io.ldst_dual_r := ldst_dual_r + bus_buffer.io.ldst_dual_d := io.ldst_dual_d + bus_buffer.io.ldst_dual_m := io.ldst_dual_m + bus_buffer.io.ldst_dual_r := io.ldst_dual_r bus_buffer.io.ldst_byteen_ext_m := ldst_byteen_ext_m bus_buffer.io.ld_full_hit_m := ld_full_hit_m bus_buffer.io.lsu_bus_clk_en_q := lsu_bus_clk_en_q ldst_byteen_m := Mux1H(Seq(io.lsu_pkt_m.bits.word.asBool -> 15.U(4.W), io.lsu_pkt_m.bits.half.asBool -> 3.U(4.W), io.lsu_pkt_m.bits.by.asBool -> 1.U(4.W))) - ldst_dual_d := io.lsu_addr_d(2) =/= io.end_addr_d(2) addr_match_dw_lo_r_m := (io.lsu_addr_r(31,3) === io.lsu_addr_m(31,3)) addr_match_word_lo_r_m := addr_match_dw_lo_r_m & !(io.lsu_addr_r(2)^io.lsu_addr_m(2)) - no_word_merge_r := io.lsu_busreq_r & !ldst_dual_r & io.lsu_busreq_m & (io.lsu_pkt_m.bits.load | !addr_match_word_lo_r_m) - no_dword_merge_r := io.lsu_busreq_r & !ldst_dual_r & io.lsu_busreq_m & (io.lsu_pkt_m.bits.load | !addr_match_dw_lo_r_m) + no_word_merge_r := io.lsu_busreq_r & !io.ldst_dual_r & io.lsu_busreq_m & (io.lsu_pkt_m.bits.load | !addr_match_word_lo_r_m) + no_dword_merge_r := io.lsu_busreq_r & !io.ldst_dual_r & io.lsu_busreq_m & (io.lsu_pkt_m.bits.load | !addr_match_dw_lo_r_m) ldst_byteen_ext_m := ldst_byteen_m(3,0) << io.lsu_addr_m(1,0) ldst_byteen_ext_r := ldst_byteen_r(3,0) << io.lsu_addr_r(1,0) @@ -190,14 +191,11 @@ class lsu_bus_intf extends Module with RequireAsyncReset with lib { ld_fwddata_m := Cat(ld_fwddata_hi(31,0), ld_fwddata_lo(31,0)) >> (8.U*io.lsu_addr_m(1,0)) io.bus_read_data_m := ld_fwddata_m(31,0) - withClock(io.free_clk) { + withClock(io.active_clk) { lsu_bus_clk_en_q := RegNext(io.lsu_bus_clk_en, init = 0.U) } - withClock(io.lsu_c1_m_clk) { - ldst_dual_m := RegNext(ldst_dual_d, init = 0.U) - } + withClock(io.lsu_c1_r_clk) { - ldst_dual_r := RegNext(ldst_dual_m, init = 0.U) is_sideeffects_r := RegNext(io.is_sideeffects_m, init = 0.U) ldst_byteen_r := RegNext(ldst_byteen_m, init = 0.U(4.W)) } diff --git a/src/main/scala/lsu/lsu_clkdomain.scala b/src/main/scala/lsu/lsu_clkdomain.scala index 65462f77..44a22a5a 100644 --- a/src/main/scala/lsu/lsu_clkdomain.scala +++ b/src/main/scala/lsu/lsu_clkdomain.scala @@ -9,10 +9,10 @@ import include._ class lsu_clkdomain extends Module with RequireAsyncReset with lib{ val io = IO (new Bundle { - val free_clk = Input(Clock()) // clock + val active_clk = Input(Clock()) // clock // Inputs val clk_override = Input(Bool()) // chciken bit to turn off clock gating - val addr_in_dccm_m = Input(Bool()) // address in dccm + val dec_tlu_force_halt = Input(Bool()) val dma_dccm_req = Input(Bool()) // dma is active val ldst_stbuf_reqvld_r = Input(Bool()) // allocating in to the store queue @@ -31,6 +31,8 @@ class lsu_clkdomain extends Module with RequireAsyncReset with lib{ val lsu_pkt_r = Flipped(Valid(new lsu_pkt_t)) // lsu packet in r // Outputs + val lsu_bus_obuf_c1_clken = Output(Bool())// obuf clock enable + val lsu_busm_clken = Output(Bool()) // bus clock enable val lsu_c1_m_clk = Output(Clock()) // m pipe single pulse clock val lsu_c1_r_clk = Output(Clock()) // r pipe single pulse clock @@ -54,13 +56,12 @@ class lsu_clkdomain extends Module with RequireAsyncReset with lib{ //------------------------------------------------------------------------------------------- // Clock Enable Logic //------------------------------------------------------------------------------------------- - val lsu_c1_d_clken_q = Wire(Bool()) + val lsu_c1_m_clken_q = Wire(Bool()) val lsu_c1_r_clken_q = Wire(Bool()) val lsu_free_c1_clken_q = Wire(Bool()) - val lsu_c1_d_clken = io.lsu_p.valid | io.dma_dccm_req | io.clk_override - val lsu_c1_m_clken = io.lsu_pkt_d.valid | lsu_c1_d_clken_q | io.clk_override + val lsu_c1_m_clken = io.lsu_p.valid | io.dma_dccm_req | io.clk_override val lsu_c1_r_clken = io.lsu_pkt_m.valid | lsu_c1_m_clken_q | io.clk_override val lsu_c2_m_clken = lsu_c1_m_clken | lsu_c1_m_clken_q | io.clk_override @@ -70,15 +71,16 @@ class lsu_clkdomain extends Module with RequireAsyncReset with lib{ val lsu_store_c1_r_clken = ((lsu_c1_r_clken & io.lsu_pkt_m.bits.store) | io.clk_override) val lsu_stbuf_c1_clken = io.ldst_stbuf_reqvld_r | io.stbuf_reqvld_any | io.stbuf_reqvld_flushed_any | io.clk_override val lsu_bus_ibuf_c1_clken = io.lsu_busreq_r | io.clk_override - val lsu_bus_obuf_c1_clken = (io.lsu_bus_buffer_pend_any | io.lsu_busreq_r | io.clk_override) & io.lsu_bus_clk_en - val lsu_bus_buf_c1_clken = !io.lsu_bus_buffer_empty_any | io.lsu_busreq_r | io.clk_override + io.lsu_bus_obuf_c1_clken := (io.lsu_bus_buffer_pend_any | io.lsu_busreq_r | io.clk_override) & io.lsu_bus_clk_en + val lsu_bus_buf_c1_clken = !io.lsu_bus_buffer_empty_any | io.lsu_busreq_r | io.dec_tlu_force_halt | io.clk_override val lsu_free_c1_clken = (io.lsu_p.valid | io.lsu_pkt_d.valid | io.lsu_pkt_m.valid | io.lsu_pkt_r.valid) | !io.lsu_bus_buffer_empty_any | !io.lsu_stbuf_empty_any | io.clk_override val lsu_free_c2_clken = lsu_free_c1_clken | lsu_free_c1_clken_q | io.clk_override + io.lsu_busm_clken := (!io.lsu_bus_buffer_empty_any | io.lsu_busreq_r | io.clk_override) & io.lsu_bus_clk_en - lsu_free_c1_clken_q := withClock(io.free_clk) {RegNext(lsu_free_c1_clken,0.U)} - lsu_c1_d_clken_q := withClock(io.lsu_free_c2_clk) {RegNext(lsu_c1_d_clken, 0.U)} + lsu_free_c1_clken_q := withClock(io.active_clk) {RegNext(lsu_free_c1_clken,0.U)} + lsu_c1_m_clken_q := withClock(io.lsu_free_c2_clk) {RegNext(lsu_c1_m_clken, 0.U)} lsu_c1_r_clken_q := withClock(io.lsu_free_c2_clk) {RegNext(lsu_c1_r_clken, 0.U)} @@ -90,10 +92,11 @@ class lsu_clkdomain extends Module with RequireAsyncReset with lib{ io.lsu_store_c1_r_clk := rvclkhdr(clock,lsu_store_c1_r_clken.asBool,io.scan_mode) io.lsu_stbuf_c1_clk := rvclkhdr(clock,lsu_stbuf_c1_clken.asBool,io.scan_mode) io.lsu_bus_ibuf_c1_clk := rvclkhdr(clock,lsu_bus_ibuf_c1_clken.asBool,io.scan_mode) - io.lsu_bus_obuf_c1_clk := rvclkhdr(clock,lsu_bus_obuf_c1_clken.asBool,io.scan_mode) + io.lsu_bus_obuf_c1_clk := rvclkhdr(clock,io.lsu_bus_obuf_c1_clken.asBool,io.scan_mode) io.lsu_bus_buf_c1_clk := rvclkhdr(clock,lsu_bus_buf_c1_clken.asBool,io.scan_mode) - io.lsu_busm_clk := rvclkhdr(clock,io.lsu_bus_clk_en.asBool,io.scan_mode) + io.lsu_busm_clk := rvclkhdr(clock,io.lsu_busm_clken.asBool,io.scan_mode) io.lsu_free_c2_clk := rvclkhdr(clock,lsu_free_c2_clken.asBool,io.scan_mode) - } + + diff --git a/src/main/scala/lsu/lsu_dccm_ctl.scala b/src/main/scala/lsu/lsu_dccm_ctl.scala index 28e70047..7f59e7da 100644 --- a/src/main/scala/lsu/lsu_dccm_ctl.scala +++ b/src/main/scala/lsu/lsu_dccm_ctl.scala @@ -9,6 +9,7 @@ import chisel3.experimental.chiselName class lsu_dccm_ctl extends Module with RequireAsyncReset with lib { val io = IO(new Bundle{ + val clk_override = Input(Bool()) val lsu_c2_m_clk = Input(Clock()) val lsu_c2_r_clk = Input(Clock()) val lsu_free_c2_clk = Input(Clock()) //tbd @@ -29,6 +30,8 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib val lsu_raw_fwd_lo_r = Input(UInt(1.W)) val lsu_raw_fwd_hi_r = Input(UInt(1.W)) val lsu_commit_r = Input(UInt(1.W)) + val ldst_dual_m = Input(UInt(1.W)) + val ldst_dual_r = Input(UInt(1.W)) // lsu address down the pipe val lsu_addr_d = Input(UInt(32.W))//verify bits @@ -109,26 +112,31 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib val picm_rd_data_r_32 = WireInit(UInt(32.W),0.U) val picm_rd_data_r = WireInit(UInt(64.W),0.U) val lsu_ld_data_corr_m = WireInit(UInt(64.W),0.U) - + val stbuf_fwddata_en = WireInit(UInt(1.W),0.U) + val lsu_double_ecc_error_r_ff = WireInit(UInt(1.W),0.U) + val ld_single_ecc_error_hi_r_ff = WireInit(UInt(1.W),0.U) + val ld_single_ecc_error_lo_r_ff = WireInit(UInt(1.W),0.U) + val ld_sec_addr_hi_r_ff = WireInit(UInt(DCCM_BITS.W),0.U) + val ld_sec_addr_lo_r_ff = WireInit(UInt(DCCM_BITS.W),0.U) //Forwarding stbuf if (LOAD_TO_USE_PLUS1 == 1){ io.dma_dccm_ctl.dccm_dma_rvalid := io.lsu_pkt_r.valid & io.lsu_pkt_r.bits.load & io.lsu_pkt_r.bits.dma io.dma_dccm_ctl.dccm_dma_ecc_error := io.lsu_double_ecc_error_r //from ecc - io.dma_dccm_ctl.dccm_dma_rdata := lsu_rdata_corr_r + io.dma_dccm_ctl.dccm_dma_rdata := Mux(io.ldst_dual_r,lsu_rdata_corr_r, Fill(2,lsu_rdata_corr_r(31,0))) + stbuf_fwddata_en := io.stbuf_fwdbyteen_hi_m.orR | io.stbuf_fwdbyteen_lo_m.orR | io.clk_override //Registers - io.dccm_rdata_hi_r := rvdffe(io.dccm_rdata_hi_m,io.lsu_dccm_rden_m.asBool,clock,io.scan_mode.asBool) - io.dccm_rdata_lo_r := rvdffe(io.dccm_rdata_lo_m,io.lsu_dccm_rden_m.asBool,clock,io.scan_mode.asBool) - io.dccm_data_ecc_hi_r := rvdffe(io.dccm_data_ecc_hi_m,io.lsu_dccm_rden_m.asBool,clock,io.scan_mode.asBool) - io.dccm_data_ecc_lo_r := rvdffe(io.dccm_data_ecc_lo_m,io.lsu_dccm_rden_m.asBool,clock,io.scan_mode.asBool) + io.dccm_rdata_hi_r := rvdffe(io.dccm_rdata_hi_m,((io.lsu_dccm_rden_m & io.ldst_dual_m) | io.clk_override),clock,io.scan_mode.asBool) + io.dccm_rdata_lo_r := rvdffe(io.dccm_rdata_lo_m,(io.lsu_dccm_rden_m|io.clk_override).asBool,clock,io.scan_mode.asBool) + io.dccm_data_ecc_hi_r := rvdffe(io.dccm_data_ecc_hi_m,(io.lsu_dccm_rden_m|io.clk_override).asBool,clock,io.scan_mode.asBool) + io.dccm_data_ecc_lo_r := rvdffe(io.dccm_data_ecc_lo_m,(io.lsu_dccm_rden_m|io.clk_override).asBool,clock,io.scan_mode.asBool) stbuf_fwdbyteen_r := withClock(io.lsu_c2_r_clk){RegNext(Cat(io.stbuf_fwdbyteen_hi_m,io.stbuf_fwdbyteen_lo_m),0.U)} - stbuf_fwddata_r := withClock(io.lsu_c2_r_clk){RegNext(Cat(io.stbuf_fwddata_hi_m ,io.stbuf_fwddata_lo_m ),0.U)} - picm_rd_data_r_32 := withClock(io.lsu_c2_r_clk){RegNext(picm_rd_data_m(31,0),0.U)} + stbuf_fwddata_r := Cat (rvdffe (io.stbuf_fwddata_hi_m,stbuf_fwddata_en,clock,io.scan_mode),rvdffe(io.stbuf_fwddata_lo_m,stbuf_fwddata_en,clock,io.scan_mode)) + picm_rd_data_r_32 := rvdffe(picm_rd_data_m(31,0),(io.addr_in_pic_m | io.clk_override),clock,io.scan_mode) picm_rd_data_r := Cat(picm_rd_data_r_32,picm_rd_data_r_32) io.dma_dccm_ctl.dccm_dma_rtag := withClock(io.lsu_c1_r_clk){RegNext(io.dma_mem_tag_m,0.U)} - - lsu_rdata_corr_r := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(stbuf_fwdbyteen_r(i).asBool,stbuf_fwddata_r((8*i)+7,8*i),Mux(io.addr_in_pic_r.asBool,picm_rd_data_r((8*i)+7,8*i),dccm_rdata_corr_r((8*i)+7,8*i))))))) - lsu_rdata_r := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(stbuf_fwdbyteen_r(i).asBool,stbuf_fwddata_r((8*i)+7,8*i),Mux(io.addr_in_pic_r.asBool,picm_rd_data_r((8*i)+7,8*i),dccm_rdata_r((8*i)+7,8*i))))))) + lsu_rdata_corr_r := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(stbuf_fwdbyteen_r(i).asBool,stbuf_fwddata_r((8*i)+7,8*i), Mux(io.addr_in_pic_r.asBool,picm_rd_data_r((8*i)+7,8*i),(Fill(8,io.addr_in_dccm_r) & dccm_rdata_corr_r((8*i)+7,8*i)))))))) + lsu_rdata_r := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(stbuf_fwdbyteen_r(i).asBool,stbuf_fwddata_r((8*i)+7,8*i), Mux(io.addr_in_pic_r.asBool,picm_rd_data_r((8*i)+7,8*i),(Fill(8,io.addr_in_dccm_r) & dccm_rdata_r((8*i)+7,8*i)))))))) io.lsu_ld_data_r := lsu_rdata_r>> 8.U*io.lsu_addr_r(1,0) io.lsu_ld_data_corr_r := lsu_rdata_corr_r >> 8.U*io.lsu_addr_r(1,0) } @@ -136,7 +144,7 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib else{ io.dma_dccm_ctl.dccm_dma_rvalid := io.lsu_pkt_m.valid & io.lsu_pkt_m.bits.load & io.lsu_pkt_m.bits.dma io.dma_dccm_ctl.dccm_dma_ecc_error := io.lsu_double_ecc_error_m //from ecc - io.dma_dccm_ctl.dccm_dma_rdata := lsu_rdata_corr_m + io.dma_dccm_ctl.dccm_dma_rdata := Mux(io.ldst_dual_m,lsu_rdata_corr_m, Fill(2,lsu_rdata_corr_m)) io.dma_dccm_ctl.dccm_dma_rtag := io.dma_mem_tag_m io.dccm_rdata_lo_r := 0.U io.dccm_rdata_hi_r := 0.U @@ -144,9 +152,9 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib io.dccm_data_ecc_lo_r := 0.U io.lsu_ld_data_r := 0.U //Registers - io.lsu_ld_data_corr_r := withClock(io.lsu_c2_r_clk){RegNext(lsu_ld_data_corr_m,0.U)} - lsu_rdata_corr_m := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(((Cat(io.stbuf_fwdbyteen_hi_m,io.stbuf_fwdbyteen_lo_m))(i)).asBool,(Cat(io.stbuf_fwddata_hi_m,io.stbuf_fwddata_lo_m))((8*i)+7,8*i),Mux(io.addr_in_pic_m.asBool,picm_rd_data_m((8*i)+7,8*i),dccm_rdata_corr_m((8*i)+7,8*i))))))) - lsu_rdata_m := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(((Cat(io.stbuf_fwdbyteen_hi_m,io.stbuf_fwdbyteen_lo_m))(i)).asBool,(Cat(io.stbuf_fwddata_hi_m,io.stbuf_fwddata_lo_m))((8*i)+7,8*i),Mux(io.addr_in_pic_m.asBool,picm_rd_data_m((8*i)+7,8*i),dccm_rdata_m((8*i)+7,8*i))))))) + lsu_rdata_corr_m := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(((Cat(io.stbuf_fwdbyteen_hi_m,io.stbuf_fwdbyteen_lo_m))(i)).asBool,(Cat(io.stbuf_fwddata_hi_m,io.stbuf_fwddata_lo_m))((8*i)+7,8*i), Mux(io.addr_in_pic_m.asBool,picm_rd_data_m((8*i)+7,8*i),(Fill(2,io.addr_in_dccm_m) & dccm_rdata_corr_m((8*i)+7,8*i)))))))) + lsu_rdata_m := Reverse(Cat(VecInit.tabulate(8)(i=> Reverse(Mux(((Cat(io.stbuf_fwdbyteen_hi_m,io.stbuf_fwdbyteen_lo_m))(i)).asBool,(Cat(io.stbuf_fwddata_hi_m,io.stbuf_fwddata_lo_m))((8*i)+7,8*i), Mux(io.addr_in_pic_m.asBool,picm_rd_data_m((8*i)+7,8*i),(Fill(2,io.addr_in_dccm_m) & dccm_rdata_m((8*i)+7,8*i)))))))) + io.lsu_ld_data_corr_r := rvdffe(lsu_ld_data_corr_m,((io.lsu_pkt_m.valid & io.lsu_pkt_m.bits.load & (io.addr_in_pic_m | io.addr_in_dccm_m)) | io.clk_override),clock,io.scan_mode) io.lsu_ld_data_m := lsu_rdata_m >> 8.U*io.lsu_addr_m(1,0) lsu_ld_data_corr_m := lsu_rdata_corr_m >> 8.U*io.lsu_addr_m(1,0) } @@ -164,12 +172,6 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib val ld_single_ecc_error_lo_r_ns = ld_single_ecc_error_lo_r & (io.lsu_commit_r | io.lsu_pkt_r.bits.dma) & !kill_ecc_corr_lo_r val ld_single_ecc_error_hi_r_ns = ld_single_ecc_error_hi_r & (io.lsu_commit_r | io.lsu_pkt_r.bits.dma) & !kill_ecc_corr_hi_r - val lsu_double_ecc_error_r_ff = withClock(io.lsu_free_c2_clk){RegNext(io.lsu_double_ecc_error_r,0.U)} - val ld_single_ecc_error_hi_r_ff = withClock(io.lsu_free_c2_clk){RegNext(ld_single_ecc_error_hi_r_ns,0.U)} - val ld_single_ecc_error_lo_r_ff = withClock(io.lsu_free_c2_clk){RegNext(ld_single_ecc_error_lo_r_ns,0.U)} - - val ld_sec_addr_hi_r_ff = rvdffe(io.end_addr_r(DCCM_BITS-1,0),io.ld_single_ecc_error_r.asBool,clock,io.scan_mode.asBool) - val ld_sec_addr_lo_r_ff = rvdffe(io.lsu_addr_r(DCCM_BITS-1,0),io.ld_single_ecc_error_r.asBool,clock,io.scan_mode.asBool) val lsu_dccm_rden_d = io.lsu_pkt_d.valid & (io.lsu_pkt_d.bits.load | (io.lsu_pkt_d.bits.store & (!(io.lsu_pkt_d.bits.word | io.lsu_pkt_d.bits.dword) | (io.lsu_addr_d(1,0) =/= 0.U(2.W))))) & io.addr_in_dccm_d val lsu_dccm_wren_d = io.dma_dccm_wen @@ -246,7 +248,7 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib io.store_datafn_lo_r := Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux(store_byteen_ext_r(i).asBool, store_data_pre_lo_r((8*i)+7,8*i), Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo).asBool,io.stbuf_data_any((8*i)+7,(8*i)),Mux((dccm_wren_Q & dccm_wr_bypass_d_m_lo_Q).asBool, dccm_wr_data_Q((8*i)+7,8*i),io.sec_data_lo_r((8*i)+7,8*i)))))))) io.store_datafn_hi_r := Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux(store_byteen_ext_r(i+4).asBool,store_data_pre_hi_r((8*i)+7,8*i), Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo).asBool,io.stbuf_data_any((8*i)+7,(8*i)),Mux((dccm_wren_Q & dccm_wr_bypass_d_m_hi_Q).asBool, dccm_wr_data_Q((8*i)+7,8*i),io.sec_data_hi_r((8*i)+7,8*i)))))))) dccm_wren_Q := withClock(io.lsu_free_c2_clk){RegNext(io.lsu_stbuf_commit_any,0.U)} - dccm_wr_data_Q := rvdffe(io.stbuf_data_any,io.lsu_stbuf_commit_any.asBool,clock,io.scan_mode.asBool) + dccm_wr_data_Q := rvdffe(io.stbuf_data_any,(io.lsu_stbuf_commit_any | io.clk_override).asBool,clock,io.scan_mode.asBool) dccm_wr_bypass_d_m_lo_Q := withClock(io.lsu_free_c2_clk){RegNext(dccm_wr_bypass_d_m_lo,0.U)} dccm_wr_bypass_d_m_hi_Q := withClock(io.lsu_free_c2_clk){RegNext(dccm_wr_bypass_d_m_hi,0.U)} io.store_data_r := withClock(io.lsu_store_c1_r_clk){RegNext(io.store_data_m,0.U)} @@ -257,7 +259,7 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib store_data_hi_m := store_data_pre_m(63,32) store_data_lo_m := store_data_pre_m(31, 0) io.store_data_lo_r := withClock(io.lsu_store_c1_r_clk){RegNext(Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux(store_byteen_ext_m(i).asBool, store_data_lo_m((8*i)+7,8*i), Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo).asBool, io.stbuf_data_any((8*i)+7,8*i),io.sec_data_lo_m((8*i)+7,8*i))))))),0.U)} - io.store_data_hi_r := withClock(io.lsu_store_c1_r_clk){RegNext(Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux(store_byteen_ext_m(i+4).asBool,store_data_hi_m((8*i)+7,8*i), Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi).asBool, io.stbuf_data_any((8*i)+7,8*i),io.sec_data_hi_m((8*i)+7,8*i))))))),0.U)} + io.store_data_hi_r := rvdffe(Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux(store_byteen_ext_m(i+4).asBool,store_data_hi_m((8*i)+7,8*i), Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi).asBool, io.stbuf_data_any((8*i)+7,8*i),io.sec_data_hi_m((8*i)+7,8*i))))))),((io.ldst_dual_m & io.lsu_pkt_m.valid & io.lsu_pkt_m.bits.store) | io.clk_override),clock,io.scan_mode) io.store_datafn_lo_r := Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo & !store_byteen_ext_r(i)).asBool,io.stbuf_data_any((8*i)+7,8*i),io.store_data_lo_r((8*i)+7,8*i)))))) io.store_datafn_hi_r := Reverse(Cat(VecInit.tabulate(4)(i=> Reverse(Mux((io.lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi & !store_byteen_ext_r(i+4)).asBool,io.stbuf_data_any((8*i)+7,8*i),io.store_data_hi_r((8*i)+7,8*i)))))) io.store_data_r := (Cat(io.store_data_hi_r(31,0),io.store_data_lo_r(31,0)) >> 8.U*io.lsu_addr_r(1,0)) & Reverse(Cat(VecInit.tabulate(4)(i=> Fill(8,store_byteen_r(i))))) @@ -274,14 +276,25 @@ class lsu_dccm_ctl extends Module with RequireAsyncReset with lib io.lsu_pic.picm_wraddr := aslong(PIC_BASE_ADDR).U | Cat(Fill(32-PIC_BITS,0.U),Mux(io.dma_pic_wen.asBool,io.dma_dccm_ctl.dma_mem_addr(PIC_BITS-1,0),io.lsu_addr_r(PIC_BITS-1,0))) io.picm_mask_data_m := picm_rd_data_m(31,0) io.lsu_pic.picm_wr_data := Mux(io.dma_pic_wen.asBool,io.dma_dccm_ctl.dma_mem_wdata(31,0),io.store_datafn_lo_r(31,0)) - if(DCCM_ENABLE){ io.lsu_dccm_rden_m := withClock(io.lsu_c2_m_clk){RegNext(lsu_dccm_rden_d,0.U)} io.lsu_dccm_rden_r := withClock(io.lsu_c2_r_clk){RegNext(io.lsu_dccm_rden_m,0.U)} + lsu_double_ecc_error_r_ff := withClock(io.lsu_free_c2_clk){RegNext(io.lsu_double_ecc_error_r,0.U)} + ld_single_ecc_error_hi_r_ff := withClock(io.lsu_free_c2_clk){RegNext(ld_single_ecc_error_hi_r_ns,0.U)} + ld_single_ecc_error_lo_r_ff := withClock(io.lsu_free_c2_clk){RegNext(ld_single_ecc_error_lo_r_ns,0.U)} + ld_sec_addr_hi_r_ff := rvdffe(io.end_addr_r(DCCM_BITS-1,0),(io.ld_single_ecc_error_r | io.clk_override),clock,io.scan_mode.asBool) + ld_sec_addr_lo_r_ff := rvdffe(io.lsu_addr_r(DCCM_BITS-1,0),(io.ld_single_ecc_error_r | io.clk_override),clock,io.scan_mode.asBool) + } else{ io.lsu_dccm_rden_m := 0.U - io.lsu_dccm_rden_r := 0.U} + io.lsu_dccm_rden_r := 0.U + lsu_double_ecc_error_r_ff := 0.U + ld_single_ecc_error_hi_r_ff := 0.U + ld_single_ecc_error_lo_r_ff := 0.U + ld_sec_addr_hi_r_ff := 0.U + ld_sec_addr_lo_r_ff := 0.U + } } diff --git a/src/main/scala/lsu/lsu_ecc.scala b/src/main/scala/lsu/lsu_ecc.scala index a9a6cc1e..7219575b 100644 --- a/src/main/scala/lsu/lsu_ecc.scala +++ b/src/main/scala/lsu/lsu_ecc.scala @@ -10,6 +10,7 @@ class lsu_ecc extends Module with lib with RequireAsyncReset { val io = IO(new Bundle{ val lsu_c2_r_clk = Input(Clock()) + val clk_override = Input(Bool()) val lsu_pkt_m = Flipped(Valid(new lsu_pkt_t)) val lsu_pkt_r = Flipped(Valid(new lsu_pkt_t)) val stbuf_data_any = Input(UInt(DCCM_DATA_WIDTH.W)) @@ -102,7 +103,7 @@ class lsu_ecc extends Module with lib with RequireAsyncReset { ldst_dual_r := io.lsu_addr_r(2) =/= io.end_addr_r(2) is_ldst_r := io.lsu_pkt_r.valid & (io.lsu_pkt_r.bits.load | io.lsu_pkt_r.bits.store) & io.addr_in_dccm_r & io.lsu_dccm_rden_r is_ldst_lo_r := is_ldst_r & !io.dec_tlu_core_ecc_disable - is_ldst_hi_r := is_ldst_r & (ldst_dual_r | io.lsu_pkt_r.bits.dma) & !io.dec_tlu_core_ecc_disable + is_ldst_hi_r := is_ldst_r & ldst_dual_r & !io.dec_tlu_core_ecc_disable is_ldst_hi_any := is_ldst_hi_r dccm_rdata_hi_any := io.dccm_rdata_hi_r dccm_data_ecc_hi_any := io.dccm_data_ecc_hi_r @@ -140,19 +141,18 @@ class lsu_ecc extends Module with lib with RequireAsyncReset { withClock(io.lsu_c2_r_clk) {io.lsu_double_ecc_error_r := RegNext(io.lsu_double_ecc_error_m,0.U)} withClock(io.lsu_c2_r_clk) {io.single_ecc_error_lo_r := RegNext(single_ecc_error_lo_any,0.U)} withClock(io.lsu_c2_r_clk) {io.single_ecc_error_hi_r := RegNext(single_ecc_error_hi_any,0.U)} - withClock(io.lsu_c2_r_clk) {io.sec_data_hi_r := RegNext(io.sec_data_hi_m,0.U)} - withClock(io.lsu_c2_r_clk) {io.sec_data_lo_r := RegNext(io.sec_data_lo_m,0.U)} - } + io.sec_data_hi_r := rvdffe(io.sec_data_hi_m,io.lsu_single_ecc_error_m | io.clk_override,clock,io.scan_mode) + io.sec_data_lo_r := rvdffe(io.sec_data_lo_m,io.lsu_single_ecc_error_m | io.clk_override,clock,io.scan_mode) + } // Logic for ECC generation during write dccm_wdata_lo_any := Mux(io.ld_single_ecc_error_r_ff.asBool, io.sec_data_lo_r_ff,Mux(io.dma_dccm_wen.asBool, io.dma_dccm_wdata_lo, io.stbuf_data_any)) - dccm_wdata_hi_any := Mux(io.ld_single_ecc_error_r_ff.asBool, io.sec_data_hi_r_ff,Mux(io.dma_dccm_wen.asBool, io.dma_dccm_wdata_hi, io.stbuf_data_any)) + dccm_wdata_hi_any := Mux(io.ld_single_ecc_error_r_ff.asBool, io.sec_data_hi_r_ff,Mux(io.dma_dccm_wen.asBool, io.dma_dccm_wdata_hi, 0.U)) io.sec_data_ecc_hi_r_ff := dccm_wdata_ecc_hi_any io.sec_data_ecc_lo_r_ff := dccm_wdata_ecc_lo_any io.stbuf_ecc_any := dccm_wdata_ecc_lo_any io.dma_dccm_wdata_ecc_hi := dccm_wdata_ecc_hi_any io.dma_dccm_wdata_ecc_lo := dccm_wdata_ecc_lo_any - io.sec_data_hi_r_ff := rvdffe(io.sec_data_hi_r, io.ld_single_ecc_error_r,clock,io.scan_mode) - io.sec_data_lo_r_ff := rvdffe(io.sec_data_lo_r, io.ld_single_ecc_error_r,clock,io.scan_mode) - + io.sec_data_hi_r_ff := rvdffe(io.sec_data_hi_r, io.ld_single_ecc_error_r| io.clk_override,clock,io.scan_mode) + io.sec_data_lo_r_ff := rvdffe(io.sec_data_lo_r, io.ld_single_ecc_error_r| io.clk_override,clock,io.scan_mode) } diff --git a/src/main/scala/lsu/lsu_lsc_ctl.scala b/src/main/scala/lsu/lsu_lsc_ctl.scala index 28d0dc78..3a6900e9 100644 --- a/src/main/scala/lsu/lsu_lsc_ctl.scala +++ b/src/main/scala/lsu/lsu_lsc_ctl.scala @@ -10,6 +10,7 @@ import chisel3.experimental.chiselName class lsu_lsc_ctl extends Module with RequireAsyncReset with lib { val io = IO(new Bundle{ + val clk_override = Input(Bool()) val lsu_c1_m_clk = Input(Clock()) val lsu_c1_r_clk = Input(Clock()) val lsu_c2_m_clk = Input(Clock()) @@ -27,6 +28,9 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib val flush_m_up = Input(UInt(1.W)) val flush_r = Input(UInt(1.W)) + val ldst_dual_d = Input(UInt(1.W)) + val ldst_dual_m = Input(UInt(1.W)) + val ldst_dual_r = Input(UInt(1.W)) val lsu_exu = new lsu_exu() @@ -86,7 +90,8 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib val scan_mode = Input(UInt(1.W)) }) - + val end_addr_pre_m =WireInit(0.U(29.W)) + val end_addr_pre_r =WireInit(0.U(29.W)) val dma_pkt_d = Wire(Valid(new lsu_pkt_t())) val lsu_pkt_m_in = Wire(Valid(new lsu_pkt_t())) val lsu_pkt_r_in = Wire(Valid(new lsu_pkt_t())) @@ -177,8 +182,10 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib lsu_error_pkt_m.bits.mscause := Mux(((io.lsu_double_ecc_error_m & !misaligned_fault_m & !access_fault_m)===1.U),1.U(4.W), exc_mscause_m(3,0)) lsu_error_pkt_m.bits.addr := io.lsu_addr_m(31,0)//lsu_addr_d->lsu_full_addr lsu_fir_error_m := Mux(fir_nondccm_access_error_m.asBool,3.U(2.W), Mux(fir_dccm_access_error_m.asBool,2.U(2.W), Mux((io.lsu_pkt_m.bits.fast_int & io.lsu_double_ecc_error_m).asBool,1.U(2.W),0.U(2.W)))) - io.lsu_error_pkt_r := withClock(io.lsu_c2_r_clk){RegNext(lsu_error_pkt_m,0.U.asTypeOf(lsu_error_pkt_m.cloneType))} - io.lsu_fir_error := withClock(io.lsu_c2_r_clk){RegNext(lsu_fir_error_m,0.U)} + io.lsu_error_pkt_r := rvdffe(lsu_error_pkt_m,(lsu_error_pkt_m.valid | lsu_error_pkt_m.bits.single_ecc_error | io.clk_override),clock,io.scan_mode) + io.lsu_error_pkt_r.bits.single_ecc_error := withClock(io.lsu_c2_r_clk){RegNext(lsu_error_pkt_m.bits.single_ecc_error, 0.U)} + io.lsu_error_pkt_r.valid := withClock(io.lsu_c2_r_clk){RegNext(lsu_error_pkt_m.valid, 0.U)} + io.lsu_fir_error := RegNext(lsu_fir_error_m,0.U) } dma_pkt_d.bits.unsign := 0.U dma_pkt_d.bits.fast_int := 0.U @@ -218,6 +225,14 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib val store_data_pre_m = withClock(io.lsu_store_c1_m_clk){RegNext(store_data_m_in,0.U)} io.lsu_addr_m := withClock(io.lsu_c1_m_clk){RegNext(io.lsu_addr_d,0.U)} io.lsu_addr_r := withClock(io.lsu_c1_r_clk){RegNext(io.lsu_addr_m,0.U)} + + io.end_addr_m := Cat(Mux(io.ldst_dual_m,end_addr_pre_m,io.lsu_addr_m(31,3)), withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d(2,0),0.U)}) + io.end_addr_r := Cat(Mux(io.ldst_dual_r,end_addr_pre_r,io.lsu_addr_r(31,3)), withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_m(2,0),0.U)}) + + end_addr_pre_m := rvdffe(io.end_addr_d(31,3),((io.lsu_pkt_d.valid & io.ldst_dual_d) | io.clk_override),clock,io.scan_mode) + end_addr_pre_r := rvdffe(io.end_addr_m(31,3),((io.lsu_pkt_m.valid & io.ldst_dual_m) | io.clk_override),clock,io.scan_mode) + + io.end_addr_m := withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d,0.U)} io.end_addr_r := withClock(io.lsu_c1_r_clk){RegNext(io.end_addr_m,0.U)} io.addr_in_dccm_m := withClock(io.lsu_c1_m_clk){RegNext(io.addr_in_dccm_d,0.U)} @@ -226,8 +241,9 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib io.addr_in_pic_r := withClock(io.lsu_c1_r_clk){RegNext(io.addr_in_pic_m,0.U)} io.addr_external_m := withClock(io.lsu_c1_m_clk){RegNext(addr_external_d,0.U)} val addr_external_r = withClock(io.lsu_c1_r_clk){RegNext(io.addr_external_m,0.U)} - val bus_read_data_r = withClock(io.lsu_c1_r_clk){RegNext(io.bus_read_data_m,0.U)} - // Fast interrupt address + val bus_read_data_r = rvdffe(io.bus_read_data_m,io.addr_external_m | io.clk_override,clock,io.scan_mode) + +// Fast interrupt address io.lsu_fir_addr := io.lsu_ld_data_corr_r(31,1) //original (31,1) TBD // absence load/store all 0's io.lsu_addr_d := full_addr_d diff --git a/src/main/scala/lsu/lsu_stbuf.scala b/src/main/scala/lsu/lsu_stbuf.scala index 45a1937c..1ae69c7b 100644 --- a/src/main/scala/lsu/lsu_stbuf.scala +++ b/src/main/scala/lsu/lsu_stbuf.scala @@ -8,8 +8,6 @@ import include._ @chiselName class lsu_stbuf extends Module with lib with RequireAsyncReset { val io = IO (new Bundle { - val lsu_c1_m_clk = Input(Clock()) - val lsu_c1_r_clk = Input(Clock()) val lsu_stbuf_c1_clk = Input(Clock()) val lsu_free_c2_clk = Input(Clock()) val lsu_pkt_m = Flipped(Valid(new lsu_pkt_t)) @@ -28,7 +26,9 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { val end_addr_d = Input(UInt(LSU_SB_BITS.W)) val end_addr_m = Input(UInt(32.W)) val end_addr_r = Input(UInt(32.W)) - + val ldst_dual_d = Input(Bool()) + val ldst_dual_m = Input(Bool()) + val ldst_dual_r = Input(Bool()) val addr_in_dccm_m = Input(Bool()) val addr_in_dccm_r = Input(Bool()) val lsu_cmpen_m = Input(Bool()) @@ -81,8 +81,6 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { stbuf_byteenin := (0 until LSU_STBUF_DEPTH).map(i => 0.U) val WrPtr = WireInit(UInt(log2Ceil(LSU_STBUF_DEPTH).W),init = 0.U) val RdPtr = WireInit(UInt(log2Ceil(LSU_STBUF_DEPTH).W),init = 0.U) - val ldst_dual_m = WireInit(Bool(),init = 0.U) - val ldst_dual_r = WireInit(Bool(),init = 0.U) val cmpaddr_hi_m = WireInit(0.U(16.W)) val stbuf_specvld_m = WireInit(0.U(2.W)) val stbuf_specvld_r = WireInit(0.U(2.W)) @@ -114,8 +112,7 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { io.lsu_pkt_r.bits.word.asBool -> "b00001111".U, io.lsu_pkt_r.bits.dword.asBool -> "b11111111".U )) - val ldst_dual_d = io.lsu_addr_d (2) =/= io.end_addr_d(2) - val dual_stbuf_write_r = ldst_dual_r & io.store_stbuf_reqvld_r + val dual_stbuf_write_r = io.ldst_dual_r & io.store_stbuf_reqvld_r store_byteen_ext_r := ldst_byteen_r << io.lsu_addr_r(1,0) val store_byteen_hi_r = store_byteen_ext_r (7,4) & Fill(4, io.lsu_pkt_r.bits.store) @@ -125,50 +122,62 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { val WrPtrPlus1 = WrPtr + "b01".U val WrPtrPlus2 = WrPtr + "b10".U - io.ldst_stbuf_reqvld_r := io.lsu_commit_r & io.store_stbuf_reqvld_r + io.ldst_stbuf_reqvld_r := (io.lsu_commit_r | io.lsu_pkt_r.bits.dma) & io.store_stbuf_reqvld_r val store_matchvec_lo_r = (0 until LSU_STBUF_DEPTH).map(i=> (stbuf_addr(i)(LSU_SB_BITS-1,log2Ceil(DCCM_BYTE_WIDTH)) === io.lsu_addr_r(LSU_SB_BITS-1,log2Ceil(DCCM_BYTE_WIDTH)) & stbuf_vld(i) & !stbuf_dma_kill(i) & !stbuf_reset(i)).asUInt).reverse.reduce(Cat(_,_)) val store_matchvec_hi_r = (0 until LSU_STBUF_DEPTH).map(i=> (stbuf_addr(i)(LSU_SB_BITS-1,log2Ceil(DCCM_BYTE_WIDTH)) === io.end_addr_r(LSU_SB_BITS-1,log2Ceil(DCCM_BYTE_WIDTH)) & stbuf_vld(i) & !stbuf_dma_kill(i) & dual_stbuf_write_r & !stbuf_reset(i)).asUInt).reverse.reduce(Cat(_,_)) val store_coalesce_lo_r = store_matchvec_lo_r.orR val store_coalesce_hi_r = store_matchvec_hi_r.orR + if (DCCM_ENABLE == 1) { + stbuf_wr_en := (0 until LSU_STBUF_DEPTH).map(i => (io.ldst_stbuf_reqvld_r & ( + ((i.asUInt === WrPtr) & !store_coalesce_lo_r) | + ((i.asUInt === WrPtr) & dual_stbuf_write_r & !store_coalesce_hi_r) | + ((i.asUInt === WrPtrPlus1) & dual_stbuf_write_r & !(store_coalesce_lo_r | store_coalesce_hi_r)) | + store_matchvec_lo_r(i) | store_matchvec_hi_r(i))).asUInt).reverse.reduce(Cat(_, _)) + stbuf_reset := (0 until LSU_STBUF_DEPTH).map(i => ((io.lsu_stbuf_commit_any | io.stbuf_reqvld_flushed_any) & (i.asUInt === RdPtr).asBool).asUInt).reverse.reduce(Cat(_, _)) + val sel_lo = (0 until LSU_STBUF_DEPTH).map(i => (((!io.ldst_dual_r | io.store_stbuf_reqvld_r) & (i.asUInt === WrPtr).asBool & !store_coalesce_lo_r) | store_matchvec_lo_r(i)).asUInt).reverse.reduce(Cat(_, _)) - stbuf_wr_en := (0 until LSU_STBUF_DEPTH).map(i=> (io.ldst_stbuf_reqvld_r & ( - ((i.asUInt === WrPtr) & !store_coalesce_lo_r) | - ((i.asUInt === WrPtr) & dual_stbuf_write_r & !store_coalesce_hi_r) | - ((i.asUInt === WrPtrPlus1) & dual_stbuf_write_r & !(store_coalesce_lo_r | store_coalesce_hi_r)) | - store_matchvec_lo_r(i) | store_matchvec_hi_r(i))).asUInt).reverse.reduce(Cat(_,_)) - stbuf_reset := (0 until LSU_STBUF_DEPTH).map(i=> ((io.lsu_stbuf_commit_any | io.stbuf_reqvld_flushed_any) & (i.asUInt === RdPtr).asBool).asUInt).reverse.reduce(Cat(_,_)) - val sel_lo = (0 until LSU_STBUF_DEPTH).map(i=> (((!ldst_dual_r | io.store_stbuf_reqvld_r) & (i.asUInt === WrPtr).asBool & !store_coalesce_lo_r) | store_matchvec_lo_r(i)).asUInt).reverse.reduce(Cat(_,_)) + stbuf_addrin := (0 until LSU_STBUF_DEPTH).map(i => Mux(sel_lo(i), io.lsu_addr_r(LSU_SB_BITS - 1, 0), io.end_addr_r(LSU_SB_BITS - 1, 0))) + stbuf_byteenin := (0 until LSU_STBUF_DEPTH).map(i => Mux(sel_lo(i), stbuf_byteen(i) | store_byteen_lo_r, stbuf_byteen(i) | store_byteen_hi_r).asUInt) - stbuf_addrin := (0 until LSU_STBUF_DEPTH).map(i=> Mux(sel_lo(i), io.lsu_addr_r(LSU_SB_BITS-1,0), io.end_addr_r(LSU_SB_BITS-1,0))) - stbuf_byteenin := (0 until LSU_STBUF_DEPTH).map(i=> Mux(sel_lo(i), stbuf_byteen(i) | store_byteen_lo_r, stbuf_byteen(i) | store_byteen_hi_r).asUInt) + datain1 := (0 until LSU_STBUF_DEPTH).map(i => Mux(sel_lo(i), Mux(!stbuf_byteen(i)(0) | store_byteen_lo_r(0), io.store_datafn_lo_r(7, 0), stbuf_data(i)(7, 0)), + Mux(!stbuf_byteen(i)(0) | store_byteen_hi_r(0), io.store_datafn_hi_r(7, 0), stbuf_data(i)(7, 0))).asUInt) - datain1 := (0 until LSU_STBUF_DEPTH).map(i=> Mux(sel_lo(i), Mux(!stbuf_byteen(i)(0) | store_byteen_lo_r(0), io.store_datafn_lo_r(7, 0), stbuf_data(i)(7, 0)), - Mux(!stbuf_byteen(i)(0) | store_byteen_hi_r(0), io.store_datafn_hi_r(7, 0), stbuf_data(i)(7, 0))).asUInt) + datain2 := (0 until LSU_STBUF_DEPTH).map(i => Mux(sel_lo(i), Mux(!stbuf_byteen(i)(1) | store_byteen_lo_r(1), io.store_datafn_lo_r(15, 8), stbuf_data(i)(15, 8)), + Mux(!stbuf_byteen(i)(1) | store_byteen_hi_r(1), io.store_datafn_hi_r(15, 8), stbuf_data(i)(15, 8))).asUInt) - datain2 := (0 until LSU_STBUF_DEPTH).map(i=> Mux(sel_lo(i), Mux(!stbuf_byteen(i)(1) | store_byteen_lo_r(1), io.store_datafn_lo_r(15, 8), stbuf_data(i)(15, 8)), - Mux(!stbuf_byteen(i)(1) | store_byteen_hi_r(1), io.store_datafn_hi_r(15, 8), stbuf_data(i)(15, 8))).asUInt) + datain3 := (0 until LSU_STBUF_DEPTH).map(i => Mux(sel_lo(i), Mux(!stbuf_byteen(i)(2) | store_byteen_lo_r(2), io.store_datafn_lo_r(23, 16), stbuf_data(i)(23, 16)), + Mux(!stbuf_byteen(i)(2) | store_byteen_hi_r(2), io.store_datafn_hi_r(23, 16), stbuf_data(i)(23, 16))).asUInt) - datain3 := (0 until LSU_STBUF_DEPTH).map(i=> Mux(sel_lo(i), Mux(!stbuf_byteen(i)(2) | store_byteen_lo_r(2), io.store_datafn_lo_r(23, 16), stbuf_data(i)(23, 16)), - Mux(!stbuf_byteen(i)(2) | store_byteen_hi_r(2), io.store_datafn_hi_r(23, 16), stbuf_data(i)(23, 16))).asUInt) + datain4 := (0 until LSU_STBUF_DEPTH).map(i => Mux(sel_lo(i), Mux(!stbuf_byteen(i)(3) | store_byteen_lo_r(3), io.store_datafn_lo_r(31, 24), stbuf_data(i)(31, 24)), + Mux(!stbuf_byteen(i)(3) | store_byteen_hi_r(3), io.store_datafn_hi_r(31, 24), stbuf_data(i)(31, 24))).asUInt) - datain4 := (0 until LSU_STBUF_DEPTH).map(i=> Mux(sel_lo(i), Mux(!stbuf_byteen(i)(3) | store_byteen_lo_r(3), io.store_datafn_lo_r(31, 24), stbuf_data(i)(31, 24)), - Mux(!stbuf_byteen(i)(3) | store_byteen_hi_r(3), io.store_datafn_hi_r(31, 24), stbuf_data(i)(31, 24))).asUInt) - - stbuf_datain := (0 until LSU_STBUF_DEPTH).map(i=>Cat(datain4(i), datain3(i), datain2(i), datain1(i))) + stbuf_datain := (0 until LSU_STBUF_DEPTH).map(i => Cat(datain4(i), datain3(i), datain2(i), datain1(i))) - - stbuf_vld := (0 until LSU_STBUF_DEPTH).map(i=> withClock(io.lsu_free_c2_clk){ RegNext(Mux(stbuf_wr_en(i).asBool(),1.U ,stbuf_vld(i)) & !stbuf_reset(i), 0.U)}).reverse.reduce(Cat(_,_)) - stbuf_dma_kill := (0 until LSU_STBUF_DEPTH).map(i=> withClock(io.lsu_free_c2_clk){RegNext(Mux(stbuf_dma_kill_en(i).asBool,1.U ,stbuf_dma_kill(i)) & !stbuf_reset(i), 0.U)}).reverse.reduce(Cat(_,_)) - stbuf_byteen := (0 until LSU_STBUF_DEPTH).map(i=> withClock(io.lsu_stbuf_c1_clk){ RegNext(Mux(stbuf_wr_en(i).asBool(),stbuf_byteenin(i) , stbuf_byteen(i)) & Fill(stbuf_byteenin(i).getWidth , !stbuf_reset(i)), 0.U)}) - for (i<- 0 until LSU_STBUF_DEPTH) { - stbuf_addr(i) := rvdffe(stbuf_addrin(i),stbuf_wr_en(i).asBool(),clock,io.scan_mode) - stbuf_data(i) := rvdffe(stbuf_datain(i),stbuf_wr_en(i).asBool(),clock,io.scan_mode) + stbuf_vld := (0 until LSU_STBUF_DEPTH).map(i => withClock(io.lsu_free_c2_clk) { + RegNext(Mux(stbuf_wr_en(i).asBool(), 1.U, stbuf_vld(i)) & !stbuf_reset(i), 0.U) + }).reverse.reduce(Cat(_, _)) + stbuf_dma_kill := (0 until LSU_STBUF_DEPTH).map(i => withClock(io.lsu_free_c2_clk) { + RegNext(Mux(stbuf_dma_kill_en(i).asBool, 1.U, stbuf_dma_kill(i)) & !stbuf_reset(i), 0.U) + }).reverse.reduce(Cat(_, _)) + stbuf_byteen := (0 until LSU_STBUF_DEPTH).map(i => withClock(io.lsu_stbuf_c1_clk) { + RegNext(Mux(stbuf_wr_en(i).asBool(), stbuf_byteenin(i), stbuf_byteen(i)) & Fill(stbuf_byteenin(i).getWidth, !stbuf_reset(i)), 0.U) + }) + for (i <- 0 until LSU_STBUF_DEPTH) { + stbuf_addr(i) := rvdffe(stbuf_addrin(i), stbuf_wr_en(i).asBool(), clock, io.scan_mode) + stbuf_data(i) := rvdffe(stbuf_datain(i), stbuf_wr_en(i).asBool(), clock, io.scan_mode) + } + } else { + stbuf_wr_en := 0.U + stbuf_reset := 0.U + stbuf_vld := 0.U + stbuf_dma_kill := 0.U + stbuf_addr := 0.U + stbuf_byteen := 0.U + stbuf_data := 0.U } - withClock(io.lsu_c1_m_clk){ldst_dual_m := RegNext(ldst_dual_d,0.U)} - withClock(io.lsu_c1_r_clk){ldst_dual_r := RegNext(ldst_dual_m,0.U)} // Store Buffer drain logic io.stbuf_reqvld_flushed_any := stbuf_vld(RdPtr) & stbuf_dma_kill(RdPtr) @@ -189,17 +198,14 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { val isdccmst_m = io.lsu_pkt_m.valid & io.lsu_pkt_m.bits.store & io.addr_in_dccm_m & !io.lsu_pkt_m.bits.dma val isdccmst_r = io.lsu_pkt_r.valid & io.lsu_pkt_r.bits.store & io.addr_in_dccm_r & !io.lsu_pkt_r.bits.dma - stbuf_specvld_m := Cat(0.U(1.W),isdccmst_m) << (isdccmst_m & ldst_dual_m) - stbuf_specvld_r := Cat(0.U(1.W),isdccmst_r) << (isdccmst_r & ldst_dual_r) + stbuf_specvld_m := Cat(0.U(1.W),isdccmst_m) << (isdccmst_m & io.ldst_dual_m) + stbuf_specvld_r := Cat(0.U(1.W),isdccmst_r) << (isdccmst_r & io.ldst_dual_r) val stbuf_specvld_any = stbuf_numvld_any + Cat(0.U(2.W), stbuf_specvld_m) + Cat(0.U(2.W), stbuf_specvld_r) - io.lsu_stbuf_full_any := Mux((!ldst_dual_d & io.dec_lsu_valid_raw_d).asBool,(stbuf_specvld_any >= LSU_STBUF_DEPTH.U),(stbuf_specvld_any >= (LSU_STBUF_DEPTH-1).U)) + io.lsu_stbuf_full_any := Mux((!io.ldst_dual_d & io.dec_lsu_valid_raw_d).asBool,(stbuf_specvld_any >= LSU_STBUF_DEPTH.U),(stbuf_specvld_any >= (LSU_STBUF_DEPTH-1).U)) io.lsu_stbuf_empty_any := stbuf_numvld_any === 0.U - val cmpen_hi_m = io.lsu_cmpen_m & ldst_dual_m cmpaddr_hi_m := io.end_addr_m(LSU_SB_BITS-1,log2Ceil(DCCM_BYTE_WIDTH)) - - val cmpen_lo_m = io.lsu_cmpen_m cmpaddr_lo_m := io.lsu_addr_m(LSU_SB_BITS-1,log2Ceil(DCCM_BYTE_WIDTH)) @@ -264,4 +270,4 @@ class lsu_stbuf extends Module with lib with RequireAsyncReset { val stbuf_fwdpipe3_hi = Mux(ld_byte_rhit_hi(2),ld_fwddata_rpipe_hi(23,16),stbuf_fwddata_hi_pre_m(23,16)) val stbuf_fwdpipe4_hi = Mux(ld_byte_rhit_hi(3),ld_fwddata_rpipe_hi(31,24),stbuf_fwddata_hi_pre_m(31,24)) io.stbuf_fwddata_hi_m := Cat(stbuf_fwdpipe4_hi,stbuf_fwdpipe3_hi,stbuf_fwdpipe2_hi,stbuf_fwdpipe1_hi) -} +} \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_trigger.scala b/src/main/scala/lsu/lsu_trigger.scala index 34d26aa4..9b4a4753 100644 --- a/src/main/scala/lsu/lsu_trigger.scala +++ b/src/main/scala/lsu/lsu_trigger.scala @@ -12,10 +12,12 @@ class lsu_trigger extends Module with RequireAsyncReset with lib { val lsu_trigger_match_m = Output(UInt(4.W)) }) - + val trigger_enable = WireInit(0.U(1.W)) + trigger_enable := (0 until 4).map(i=>io.trigger_pkt_any(i).m).reduce(_|_) val store_data_trigger_m= Cat((Fill(16,io.lsu_pkt_m.bits.word) & io.store_data_m(31,16)),(Fill(8,(io.lsu_pkt_m.bits.half | io.lsu_pkt_m.bits.word)) & io.store_data_m(15,8)), io.store_data_m(7,0)) - val lsu_match_data = (0 until 4).map(i=>Mux1H(Seq(!io.trigger_pkt_any(i).select.asBool->io.lsu_addr_m, (io.trigger_pkt_any(i).select & io.trigger_pkt_any(i).store).asBool->store_data_trigger_m))) - io.lsu_trigger_match_m := (0 until 4).map(i =>io.lsu_pkt_m.valid & !io.lsu_pkt_m.bits.dma & ((io.trigger_pkt_any(i).store & io.lsu_pkt_m.bits.store)| + val ldst_addr_trigger_m = io.lsu_addr_m & Fill(32, trigger_enable) + val lsu_match_data = (0 until 4).map(i=>Mux1H(Seq(!io.trigger_pkt_any(i).select.asBool-> ldst_addr_trigger_m, (io.trigger_pkt_any(i).select & io.trigger_pkt_any(i).store).asBool->store_data_trigger_m))) + io.lsu_trigger_match_m := (0 until 4).map(i =>io.lsu_pkt_m.valid & !io.lsu_pkt_m.bits.dma & trigger_enable & ((io.trigger_pkt_any(i).store & io.lsu_pkt_m.bits.store)| (io.trigger_pkt_any(i).load & io.lsu_pkt_m.bits.load & !io.trigger_pkt_any(i).select) )& rvmaskandmatch(io.trigger_pkt_any(i).tdata2, lsu_match_data(i), io.trigger_pkt_any(i).match_pkt.asBool())).reverse.reduce(Cat(_,_)) } diff --git a/target/scala-2.12/classes/QUASAR$delayedInit$body.class b/target/scala-2.12/classes/QUASAR$delayedInit$body.class deleted file mode 100644 index 08686436dfc52b0936146d5da4505c7ec95f9e18..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 697 zcmZ`%O>fgc5Pjn$Hc8zkEu|lPwSYlsD-kNeDF`VbDn)V%B~9;Zd)vA=-r%ee`B#AW zkT}2*i64cSbR_#Xrkg&d*$ISq0-(ua7Ls@}jq3Oq|+|S2>%nn76FtX$;w)9A3vB^tteyBzGdLSlc-Wo`K zs-l-76JyC!3A6XpW5xa!2zJ@TD!(k>V?5=Zg+*LKospZI zJ>p0l=e9p#?h6(_V)cDdw85)wj%X8`ym!ybu!eQch$p+oREA=}(c;+J`H33+*xvcf zY+rHX17j83Dps=bKjF%GQ%T6}g+9V|3c`U!U#!ykH& BjS~O> diff --git a/target/scala-2.12/classes/lsu/lsu$$anon$1.class b/target/scala-2.12/classes/lsu/lsu$$anon$1.class index de76b2abfe91424845d80a39cbab41f11ccd36cf..9468684f2feb052600320e3e7c539b9db1ac1dcf 100644 GIT binary patch literal 7467 zcma)B33yyp75?ue?PFeFvnO3?Xgh7wq;0w&TS}oTL{f^REfkR9W#%P$^kpW_(nUnX z3Wx|OA|ji(iwiE%)m?zCnPdZFECBXHMm{9sT^@lR8dWVmJmL++euKPRiIY3%DfKx z9?YaiXl7^n95X5WZ2(#`*Ib{c?kUWG}rD6O#% zxB_iONBJ2~4BM%c<7FvsrRg{|x)BD*uA-Uu4e;v>vI`*Xo#a2CCg47lmQDSkc)yEOUu7YX3BBC zspi1*ebSG3#@;WTuMfsQlCcx_pDp#~3Twpqq)?$t0&}rZT}#uHiJl z=cta{)DXdPZWKGtwM&^yDU%EtF><<#@hMG0DNIw<{Xn%y=IBU1KEg@&+@X|{lz~v= z3&J{L=Muw`TPKwV^4YlDW=@K^ig_v(A4$v2X8I$NGP+iDWV4q}?B&!obd;8Y+Km?G zVSW@5^l^XWm6 z=MtfOF=A4F9!J9d%Xh7XC0HtDudT$&eQRMEI;8v}Zmlxkr|ez}%h4&75*E7rC^!~Y z_^lxeEB%&hVU^!vNXrAL_MU}qtd>SN5p8ABEloYc*QFIqT@!Ng$kkwB4c1D7a&492 z{JqyPl~XbiER&L%WAQ3!W}k)QefJMoIKlVz^%hR_TW_#%lHYoxg&tq;O%~Sstv6dZ z*>AnY!Un%}rG-=c)>|!{>SNz#VWZ!AyM;}@^g4kxWzLr3QS!NRD_Gd<5B{ANw)%G7 zWnr6d``s2!^Lg*FaJt`mFV|~XFaA2W(2G~gvEL>zeZ8AAaj(Fv zqA)n+RRy7RJ`+V9?&AStkB)i=EsWy~lbpkHL`B#ZJ7@P4zrxNH1xiht_?*d(@0W!_ zP|)@nCLWWL zhQNt!o;y0~BpI-;^N=oj9k5k69*^Q1_@=;&vSei7TPB{wLncKW)RZAG7a91riDzU_ zEy3W*vyg%B(p+EvuK1>1{qda}PgCRVweUTB--Ll5FfVuX`T_WniD&U+-Gs?h6jL7l zG>T^YtZZ1poErE=`LF`E0{t?I=kUD1V)j%Vc%U)0yrceH{Mw}cZv+HW_rkK3%@fzj zq-?L7M`^MVsJ7qc!kjN&+{;G*e8MzAOza6vLHG=UF$psljx2ziRSi zb_{XUQJBW1(b0FYz0dCU?9@=VOg^cho?d_Xh#97c3QOR^j^3hQQ@3vQK6=^RE7;=l zkYZp&oypPhE;1wVo&rNOa(4ub9r>KfPNG>>93ifXP-|4Qh&EHS$Xzun2vg|HFvJ{F z%$0#Erag5A#0)XNJni{RWp_bT94*@A^xbN*wUFgr(7nlG(7`$qlEIXW1Eh#NpOpb!GMP>X1?Q zLWiIATX_LWXE{y2Dl0gDQn&F=NpZitg7&67b|9NRAlqH6uEHD&hd7&ta3q@I4D9XY@QI-{ovZzp$C556aC=_LBQ0#9D`(uHi z_$&_;WpSV=O9Mq&1duM`KAeX$h+M|6Zhi^UX`T0B`#o$#gbc=X#hf9Qvu*hbI1@Yg zB^cJTG~lztU`q>bN52NVD-52g1uw*I4fxzJxK0aRj6E9g`C)Lq7Q6%(XuubS!3|pQ zGQ>4tI}C2rf|p}J15Sp)O-E%=Hs zc&Qe=K?}Yr3|^)MpP~g{9R_!3!KZ4YeN7m=TnpZ)1z#HmcWS|#v~FJ?26t(}o3*>_ zhA?Fp4};ff!FS+&ep;%X1(PKUYR>N*!$-#P@x%BOTVrD=jN`LDYaA0t@Pz`lcTV8J zJ%{md0cW%M2%BFjU>BQ@viX$)&Smp4HosPYH21^=p5)h4ft9C^;K>3mq|!5Ff2RPO z&1d&?jpK)h@sk3Q)jA%mCK#?JxVW0Y^94U2!><~war|~1e;CJ~0}naQzZQ_D&J$yJ zaRM*V&wr2Ozk&SeBSI9ARW?MeF(Ib!IV?z`dzyvWFu&or!(!&7u4o8A6Guc-0sE_Y zbg;~$W2$&`N#~fDH3sE%g^rl5yuS1m=v?OOlufM!b80WICOA}0a78u2Rn-Jn`vNtH z_V7R_2XReFXId4NYpdD6o`HOELd@lq%;TIDa6>iC8%wm16h-1dZ zqOzHf6>$5##Zvk@KHGvD&cqD1xCv9LJMv~D+*}C+Cth!I*W7;>0DA~6#i=zEBIT>ml}wbY(;2k73tkV@an-FB={J4 zEB^$80d)@%e7U}W?;zkyK5HJq`0$5?tKfSxe^`a8dPeY-l`ZC8KFeT_x`qh8j`EK@ zycP()%TmDeQ1IoIKRlBK-*5TDl8%p>=vOC(;Oj1>EO7+iWhrIVAow;OS4V>2D=I%( KzX{PzpYcCRikAog literal 7339 zcma)B378y375-~B+0J%4d++8Xn`4sfkuW46*M>k&SX>C0%YZO+W_ov>4YG(qQ4|GK6z^Na`xX%u{i}L=X11rsk9^d6=M90M(tEO6q4^cRLA6bO_9ltqQAyxregp zF{;^_-s2|oBL?QtebdJTtb{ijPw#iKSvTpBKN!p+4eR&)8BdPUvn_*eD&ZB9j@%PD z6mT5twSo)wrEjJwCgM_td0AIQ3SXP;!X2CSiU zHsQoaY%gCSpGaq&xRXf4lWxx5=h1)7QeOHeJv5Ya^6_M)OXWt=jG2<3;f9ucUC6s$ zY`5*XNwRx1!|O{+QrOXaJm0^8vQ7D{J3P!)WH@A}E|CrkHjsyc5-ThFWi^#JUsYRR z`F?3fJZm42#y17)AIsW__|Om!jI#YAo|u|a@v*dwuUSJ`$B`TwRx)F=mrjgwubR8dd#}RJ!a^)+Km;#mK;)VD zWF%32WmY7vjks`ZS~v=eCG?zXzKl)_U05RdJF59IJ}oT8GRfav&F7gQe>tL(zn3fF z{FPy9VFgx7-m9vyGEgn7Lbv3{8A}zmPYYKIJ?ND}`z-YNUPxM4?YD+3tnphT7LN8? z7f*Mf3N{Nd93z!*UpgziTi$rOuTRUEej?=2k!QieTC9@_U+ph@da!j@Xkz%4RRo8m ztmZhpOsXkZIKemnfQ1u%TQ9M2lHYobg_Hf(Yc2Hqa<8+n-fz9$!YO|1DhnI@)*CFG z>LcE0;WWSXCJP&V?wbYHR+w1!LfO*l<8NV;zvQ=C*y8KC!NOKw_uDLN^T*z9;dHgT+>Hd&`0*7+)&Oemcd9Un0<19vee1PdBSWeW0M{}>Nz3F;3jBR|oAkK>a9b4$$NGFOFylDWG9jkt&R zh@HuJJS_L(Op_z`$rY7gTkO2uU+RU8D>0OqR54+4;={5Y2fbW3sn|K;O&~2DZKv8Akj~dX1A6G0Z*i!>Ptz1?x ztUy0+z|Zgtf#sa3wD3S;dKE|c1^A^&`DX>h9tK3k$>zoCWK*^m9O5miJ-#)aZrF^G_w!d+~ddOZr2_agiJYe`3N9_O6^=xP5e> z{?4osY=*jSWyUq|4-?PJjf$3yRA;tqQ&}68-HR7YD*G4RT5X4c|H$evUKBw8Cpi)b@Ni;Swdftx~ih9NplF;_aOl=jpe5H-a7%CzSX zD!mIE!~(HMZr`mYTZ>uZ1=A}jLo8t(Rk|$L-oYx&&KI(*sb*|erB##k`PqfBeNJ|# zUyQd7ruk90+s?YOKQ-8VmXjYzC(p67_L#%aU`=HW@CzZUKK~qco3`>hC!OOq`Jw`* zzp2~!=}7ht`K39K@;IH`0w!WL!pb1RY!56!tE}7DYL!KpqAa!)Wl^Olizh`{C@IQ< zNKw{2in8KSl=Y6HtacP-t)nQ*8bw)+@T+(^+Yubg`B+wz`p2`+;zG%>v{00Vg`zAg z6lGDNC`$@OSx_j-azarS6N<8wP?Uv)qAUqY^K9lkEDY2*%K}AN6e!A)Kv7=)q)QoG z3vniqa`iFx1nJD)`!IMn8xgUBzx8Txwvdjod;y$=v)L1L=XMSF+%VYEg1hhv4R~i5 zJVy&&id`D;o-nvk3to;_YQX1(!A)B53Y@P2Ul0a2Yr(53wFccPA&LoEqF8xo~H%J;AtF|3WFDD!E2Fb1cU=4 z8wM||22aI*h5>RMavCN3!%7y_RFbEX6SO`!7*?{lS_#1?YJG5N7~G`=pQHs}76vcV zf=||hFAsy4Yr*~6HD3`1N44PfTJV)&@Cq&X6fOAbFnFaFyg>`TCJbJs1)r*Q*tKDB zw-$Vw7JMiS?$LrbYR$eb4DQu}H)#Xq`Y^b!8ax#!Z{acBqP5`0u#(l)N(kPnUHeU8 z@ER?6n|9`#!{C?}e7Y8VOBlRX3qAw4YSPnfVemRF_;%dkXO_AbV6xgk-KO4gyng~8 zK8%mD^{H`;PoU@zP2m0`c%X>E-bp;T_b@(N#JOxf%;x8c*vaN2Y<{7LJ#0S8=9h{% zk4he&#FOlOEzt7yBY3ii3n=syhre0GMQlF3w{HU9JB%L|k*GDXQ;RWNi{aK{jQWh9 zjN_SRYXZNT!0#sT$G}3a^Up=3DD(I@o}0w;wDX@6_;nPhz@1-WiLVI za$lyx2npuaUQvs2Wi7_lwHVj<3}tIqcs*0LUR#!#SwrMdE&bQgU(Zd7x%B)zZcP!_ z*OI)kJd06dmYZtr%FVSHwJvhKm;DS!Z8`cE(``is-}>n1^SvK+MKM!S4le5f+O}&?WA~GVw5$i)RoOFJeVx zE>=d4!K%mrx+7!giQItR$X)1*Jb=}ar?4jS9Ab4%SX+kaoS7oy}b0~r6(^vc}ZS+`<*g(_TH;uy+7>dKVZHy^}93Q%-nl)_s*yP^`7@z zmepLh-?rkT)3c5EFK*ekb@{-_k?F!{rg3C^rZ6?0A8qU^4Ce>V@17W(9WBHy*S3~; zS$YenXGf+A+3EA+1HFam!c5$XQgtII8u4G;irZEN*1K+cAU~S7tt+}1G)@(UMhgQo zjeQi|H!?h)pP8L1Y_+ZEiSsjsX}jvGm|by0!FH~?$*ySIn_X;2o!i?^XP4M*PF=LA z(v6(7?O3P1G@5jGMCzB+x7luEtG&E_i=A~>)YJgR_xR(t7UK{2B$G?lem>mw9jf7*^FqgSfTwME@?c1>l?a@#prpRKQ%N4zUKV;FzI7r)mR|G|g*5^8Ex0XOPK9Ij}* z=JJ|V74}{`xw+kL%`dm3krfs7%c6;Bol6%wQBz-YsKP#BC*6_w38!@j2{pUSi5%)) zvvN6B7jIg2aKg6dr|cyQR_|X|6Rnvpv}UjFy1b%k!FBES&IJ|O1*`1H4TT#o?C9AO zbr!@nH&q_lFtaPYY<{bKZMS>5y?E@<#uGgY`)jg&QTNK$x_o{AroyT8nrkV&zM^L1 z>UO)kuA+Yb&Dn~+UE9|z?mm`j9Uhu#*}X3_v#U3UQLDY~ zV03}Kc=xfU@%oCU?Q2};md50g?7GX+PUY#Fhu2-Ub=#__9dFAv*_TDn&(CHzOsOrQ)4P%+uq{qC z_1ogic-#JpY<1K*b9!S%zNgJT=U(19JiesmOy|O``uZ!ccXhtyo14}zrE$nF&O0qL zr|xhUj~_}o4{NR2+jBhX-g$WbY5VAwGuv9bS}NP3PGrG+7D_C-IXixA>+xMD)*Nih zW+U~Ls}|R^MkDT`rj=69>iGIAaDlmU6<>#Yb%oSZyQXDr%yH&tm+Wnyu7=!`J{ zo6h&`uc_IasEC}3-Clblo2?&9=4&c*8;3)KBP+Q7M;2wHnV}tbT)lJME$fGKH!nLdws^ydL%r>|U@sWr`E%4>(z$Ti zS-7I*%(kiv*ESwrKePkeUwdTBiH`J|`0}>wYSBSOG5(7HK}rGcR{|T@s{@gpH9gqxeZ5Wf z`OCW9npHa+FKbCwL~od1Q{}|g#s(KvEv5e2JssYdrb&+4!a1LlZrmvInKbt)5 zT(RZWo-3$-Hjj?nks4l?OS$gDoZjrd>`iWbR}&6wceJu;|JV|$Z@~hruYD{zl11I0 z>{&Sf930y?yN&uIf3?>i^SM7rpNC<`y2~=h3$g3Z0d06!u9kKiv0dH&Ez@mP7lw9I z`n5Ve>rnZd*Pwn{X18r@uUVeIM(WQmbgt(4v}I^`|KN^^gXzh_%@?kzcB9U+g**?& z-A?YWv0SX-?C9lNPaH~LSh)M>4LJU{?^EO7JAH2x=!4bgS1@~&ec zu6yUY4mZt=Tr-31Y?*CK51w7KWYcY^kIk!u&cSG&$7A<3^UtkWk|`9Hch)o=VExvd-ep%wyBnsrEu6n{Vj1c7 z*nY*kCc?bChR2t-3~gJutYz$AX1Z`A)^pjWL9XYZ)U)@XJ&)>HvvkXJ?&b@ziOaSg zFW@{ny|^Oo_9REHt#7J{?}H_4+Z<;*t|#2z(%x~UlM1)aTkq;yXAowjKd}4Q`ti2jW%2QYEy%y)fa0I$c9Ls0;kb<*xMTHj z6^`3}Z`@Wa*|c`arsGF$Y&byuby)S+(b(Rau_aq?9b0%|Bd)s(qtV&;fPI~F{F)^- zHOH=XQ!%W#m;Bf9&I|_S+(rI_`&N7ZM_g*k*z2k ziCx$}b|^i*?Usf`mA5nkHe`7tVGa zvuk<0k2Rg!w)Fa))b6&MBXxF^bXB)_4A-5B+6%FDN7hff9jxo=wp%U?ja`vBzV{l` zeWzW`Cfpl1-@vKv(X*pVT1IzmiPY7{xV{4u$JS4F>~B6hdT7b< z9qFS7b|1ADdF8j=IR8M;;q`+9w@W?Q=pokWq3&f^&(^Wk6*Zgf4(CL)uCpndcW;WW zm3cN?h2wHXi?f8szh!0z@~B=`sS>(Sc+sYx1r-SP))t{pJ zN3O>D*WX?%`x?%VwYH1tk-EI&=`!U;C+u|nlGe-XDr*i6&U1jSAJjV7Xh&xY*Ia*g z?b0pv?usQl#xKiE9*K1guZuZudv;09?)utfyyEido!L08u5e~m>5|)XWX}sA6-8l9`{2x zUf7R%s|o66!>!vkLJhBLH^bS9#m(nBQI|OX>fH_X2i6^0KfY_@h3$=pnvZwJPV>At zvT*b)+|qP%TP^laq@^(2dtqpN8Q!PdacT#yJ5GI5Lre87>nC!xIIj-2%;rd!5lX+h zW$aMP@ri{qi|T_mjz^5@>9&10v!+i{+(Ka-Cg%2yuUIfPS@ z&AOf5wY_;~)#3Hio^E$+j2zre{nx}g9X!&yW|;d!Y@8qS53a>^%H8127qO{))!{9( z+iKS=t~|QFfaQ0LUB2~r=YH5RzBp6wuJzeC&zDF)6;8!)e?a{%^4U1QD-YE!+llvo zXWG(uJr%Z<_MSmI&P|Sv42;aQO^i*Bj23z(XGSK*ryGK1+h~4zI&M|sGid2@ajV+4 z>I{W#>ngA0WPadOez?#uG&M2SIX=@r(KUik%K6c_Rcl+-p=& z!I_hBYmseL>0%sbTPtqOpUF3l=EsNe>HN&ZsY2uK!pzBu!JYZ>!O_BWL)XN_soBZ6 zwG`_woi%PPv#prqx2+oW#NIGs$G2YM=w^rJgGctkQ)8%zq>nvRedIG8j$MU(sfq}8y z*}?n_`VOjeo_*Non4>0`BR7f_h2u_+*j6Rw8!L?EX7aDNJ4=B zaB{6q_TtFtF+GD-V*ltwj=&VRFff1@pyScR*!axU$nbChNfujEQJ7kRde!F&fT;J%)J#{X9s+Jvcp+8=v6rpP4|q zP#iJp(G;1JBLlg!WF?12XQxl*#&WZhc!^?QnfcRLw2$t?%18{>g?{I>zpQ+RAc|_3YW zpGv}fm1=5wxlk**HlDMXC)K*MKbLK9@6GK*21To@2ixJa-rnq?Tzki!{+-x46tiO| zX3qBQ%r#-Rdl7w|hq3b#WC~2R_P6>g_AIHGEPut7l)JS*yCb)~r>h;QYg=lYPc|i` zbDiDo9S50!%El2*%%6)Xn;I(G=}>w)7fjED(leZ%pk{?ZRqMXauJ&AZXDbS&AFnm3 zA`W&o`5I zh(jiG%5E^kZ8XHSpxpfYI=Zv1T^-2K5Q`iQvB=U8i#!dn$kY&vTn(|v))0$)1J}Ac z+qWy+pA;AFPl^loC&h*Plj6etNpa!+q_}W@QXKNDYHw@Xoomf@@5;4y_V?k+ED?;W z1t03~$Q|fxr`aVjJO(O8D(Gly^RlG4kPHnkiE5ouHE=-wwpkc8CH+p(jw zr`w~{mu>IWn5VK-#5@%F%J$vaT+~J=@oh z6Su#kw|C#3{#D~ItjVWQ?{?qs{B#3NChHj7T5T5sGjpz z)R#MuMNV~IwvMcC$6qZ;)QzyN3qlq-k>0rJ=wNh91+w$UXm9ws*=8t!dmg1RViLDD?Zt|eIM#u>De=so;{QGT+`W& zdJe7Q;W%1%!f~|jgyS-XI9hkY`Don<$I-eIj-z$lA6Hz*=f=`HJ~x)u@wu_c(-4bH z4Y9P2&#i&h@wu_Ij{9S2?WA=)92f3S$`|fWiVOEA#fAHm;==t&apC@?IO5mUlWouS z_vH5Vb>#MR?dwA>@vgpoX6z2}~^tR)w z!R5TE!TDr);C%f({n;*BxN+pD3T=D6P}SRkH+=m#D5bD;MN(PP6aAgL zJ9=|n9Xqi84%~)n=?}v4B-%v65Tww~^1 zP~v&p{}6!Hj*JicV*&;H&rcRgC;I8Dq8`DmVExnjSJL{2_3!E!J~dd;{N&{5dE08h zi@v|B+X}X0yS0{OM{FxHG%`L2cFg)S)@I{h=`RZvr>CIa+3|ktsfM^+fr{VV)4s2( zqXFL!2sh==i>;(=sIZfErFtxzDooFg&g8}tb~Ww`lliIqSOL8y)DhD>Tt|F^D#HwR zja^H`>Tt0yK5>Z;$6kPgG+daGaks6T{DY)=e{PljUJWS+>_ztCq`lByg8OD+d>Y?G z$PNq?rl&_vj23Kbqrd)8(UP@ZW-m)(KU|K=%nuIQ)((F~a-qH}|Np7^O8YA6oqFtH z?8}oAgKhbNlLZw2^6=2i(KybNxRk-{OE2C&EqkG!$D)giQ9-_O8(lebXECnOeuikO z#u3fUO=~wj$ScJROiWGXrV{qLU?rGKRf1@4CAir8n?zIPMl?4!ZUg9GAcqb*gXrB8 z^e3Q82*rwT(RTZMTIaM{`p1v48H`BN_juvw0$I2C^rY3NFb3;Q3n;zEvO2*s?rBZ~3>QKCV zfuj?7taL_q6K++3iZGv75kf;nD8xVkw7mid4FynifdXiI1rQnvpzhdpIFz5BL8qIa zZ7x(7X7%bqXs8Rdx4_to2^$xf058ny)rHVd7b%fO7oBhkdn8mE6TQ+14W&_b^kP?T z@)R%a=)ViJj>%qmgog4cxIh84y#fdg1yEL1xQtGr<5JwhIJeG(D#1KnB}NsdQB0Ly zCFFR-Ivy6RgR-bP2+k#vMjiQ?lkF8SN-Q0c7};I{qr}prqrt)edXQ&xXY!*X=2oxv^hms5}pd5nZh}ZS( zP$fn6q>buHhtxy1*QG}Fq)`q5nnk5h(p;JuQi^XyB3rdU&`^M6;g=R()+<7F6qS-O zDkT$A3fW$xMx|s>00EXoK~R!`C64+6lGe=VY))M4qZ4OwUB_+qjiI`VTFDr-l0iW% z_Uam0pF1^zyUf`sypKLX)!iGauBap`XrP92VK@E={{2VxymyAGC~7NX)K&(?66lVi zjws2{W=KbwqK*g}3ZPi@vWFM>;ZTD`S!Il}Y7WVYY&F6J=Qh}kLRlQzg9~GmGv}p; zJ{@eIa(mSo71oS0N>X+Cftisrg@pZuPz6QBQC0(=km8z)iX&(!fZFkoNpn$F1PujH z9f1Nx;WQhC(~MdO6e!Ask_;_`WYS!e2|+`FkW8A3G9hRvfP)`gX}O zGBy~Mu_2@kvOT&+Wo!s3gKV#WQ5hRT${^b-U{uD2kTS^j3K*5KA*2kly#hvMY`~!o zba_z)lr*=?H-=O|wrYW(p+HCl8;dF+XefX~UCldJ;henhkGDlmO_1ltqBIB^YQZt) zm9cP+ZmXPyq4GsFY&5E2V@M5Td)H}H!$ur(S<2|9a4_Lq5o)oh4$5j^j1ou|#s_nJ z?O4Ipp$dv>*=SVDMwCR60bVmc0{h37(!EPCs%Ilgq{sj(SR1OKs3yv4xCE3;k-=2L z!J!E-D5`6tQC%%4p&|pU0D1Z=pmcQ!1m`knK}pT06=8C0Ha9jhjd!cVaWC>?asB}?4l+Vx{sv^)_Q7|n=!L*x>Mp5nX>ShcgKX6%K|=u)fwYHa8a)L(ot~NHLp>iXmty zfU@w~RM+{$P@6>!Z8mCXb4WvEd)H~y&}Ni{a>3E-OT|%rW~k+&lBkG*d`L-~i%KGB zD1hRqq$L?0MfBzzK8!hcg&HkNX|qvEn?q6}+q+z&ls2O}#382|RnA){^bAb-yowOc zpX0JeOI^|%%iJ8Y^nye6KonIbQ#2^GN=`EHzS7+ zhU>%3x<16F`cO(i4j7@!AU2gj)tDbaki#d#^qz%6BfN1;TLz4PgsOatz{Axy!fx^W$&@K5bD>(^aBGf|uyi5B|W=SOz5$<(toh^Q&-ipOl)+ zQffj)1e^59g%S-k$tN5rLlK@_2%E~F9D-$hS|RtbsSIjip63Ie7#hM~R?{=i-@-Nd zI3cPg#`P z)Noi?Uc*VJrSXXJs_&6;&aj^!mZf#>YoBjW>83HQ1%4WHnstcgZgaO7>) zG|Yk{Z!-NhLH$XR{-lMC<7EcB(I+@6VQM@qIC4Ad#?68wM>F4?4vkE)Dc&l6rszQ^+wL+U>Tpl zDACkTSYYIE*6o-DMlR-HJ3a+bqN$y*g2?Tx+c7JM9L>RYd}5(QQ#)a?kn>r$V-^d! zn}hB6q(Og(U3b@|1oJW zfnTZ!UA9j{l-Ja3SVQEo*3FtVL_X?Z_xdzMiKceK8X{-4ZpW-4a!&`^p$M-GVM9CQ zp$?Yu$%PV4Wx{eH2eod;EEn=Y2ix(TJ7FD=TUobb)&V(=1MN_RSB9{m9r6$d%lLG_ zKIgfP19B1v{sNDCc=*|$^5~|`(jeDyuxXz(DA7b0pS9*N_Njv$&8A}btc4_kt@#8( zK4w!f)PT6NCdS4__+I5b;aYr>A;+_+mat^V#jN|rEE#et2if{0Ly4w#!jd6Jvu?*M z8FDQL+Mx)q3}Hh%62Iv^MF zyudF%>0L+{|B@bPow9i~5jM0=p5$N|pE@YfREE?ct@ED+vauyw9e3!ipgm zv*v474EdK;+x!5`ZT~6UwogS=z|?kFMdW1GZJSj@Zskh3ZhB9XoBmh0X`iqtzp3f4 zu*lV{n>GuJ{LHobeO$hBev3AOZ@UMF&nGx4WNJSwIPyK~_Kku|lk+*$KEDkdsS38w z8C6}3=eD0-ACeqL=rTshrPq_>e2zYj&}EGBO0N&eiz9RyqrB4VNnU|=ic;c4)AfX; zlwMzy5@S;tlt!?fqHfabjk-y%59x*@^z|5ZlU|P!STM(lKtJS9MDVZjK?+6ba5)q0 zkaW`Pi_&3iC_}#DxfJO00*MGF1t|D@%xSZaIZZy~U>S_?dco{qPLl(U>Tpr%&zA&Ih5xG zu9`e&k)7e1e9ANXpwr}L4z`XF`ohi1Bj0kdb-HkFeNVV1pXki)=ycc}%@MkF^LoV| zXMOFoT9f9P!NCv%pQAc$c2uXy7ah2Mitrei9o1>}ItLi&J~$q(%_lvxvpP-w=pX~1 z^vurcGCEhwPV=kI`buS6!q?t|)%Zkac1owo2OTWq6B#8M z))s!>SvQRxJeG)@30LD&nAt6zCNFfbY2UI&iH4@h$qe#q6y=GJ+!3n9=aWvGebVW$ zPnshUsUE%6{vZ8V(7ATouKlwCd zb~LBSuWX_)I+2LHB3zwMUR2INfqcpV3OJBz*DeRd}Q-m&q*hCaH5hUsp40)*yWysGQDC2WN zr_E02bl3^a5gs>6G_`~J;O`*G(};ZTDG~WnxOJb5%&zG)d7^`ieKMj%Q|qXaU>Toi z%r59Od7Oh~d{UuAQ#)a)uuoboux6ignq17mb}&Nsrdb_fpL3cbbQ!Zc$i*CN$EOZT zG+fWd^$DvhRLtjgPMh7%>9E_GBRqO$w{x1j%mI2nw=*Z2=!K;~u4dhiSqkJ?4z}Zy zg4ykyCNFcfZ_}0sp}&VK^67(0mjYGd7jM#U$9gTzy@rd2(TU z-Dq}ar^!_vxO$)OniEaUlZ5#1>HL%C0r3x$11EY}xHg~i%wFv@d98yCe9AMswA19W z4wmtW%R=h4o+#1OJ7GPM$6Aj9VpAE^Mz9^9aLAKwC=>Qx zr+waQ#-=hTh(J5!vG%x`z1L}SRtL-YHX}+jwS&VREaTIG*?XNP2X(NFPX}i2b($R1 z!7@G_n7!9&a!?1$_;g_QUZ=@f9W3M10VSIHAglxOSnIwv>wuiq!FGH)phQDEL5e@WBuD-eAQci3OI>^#np z^ElX!PdesTlQUsYF-Pcj%+evxZ?K)>5|S~yg)?EdFh}Tij7vy{e7wPSd^#{YfHPqS zFh}Ti%sL=%ZnY91{n~=>wWIfh8~2IB?ElS#{l6Tc8#k{jOCZ`K_7cSG&V$bTu^zE5^$ zr*J0h6y^xszFBtUkVzBV~OY=!xj0&WcChc$jcjS93yn&W-*0bz!{$ln6Y61!Y<&9@10}DrZT97 z05@{`dfdz|;7r&B%n`bbd1VT}bDW_FT?VmvcaAe=H*Y5V&M`;mc2Fp$c5wWw z)u-b5(@o?Xh}DKW#-|u^44W!KEd)E$Cl2$A$QknJ2Fv)wVRrXs!tP#<@GjEq?#+bV zy&R#-m~WiJ?;K|+LYF~o=!39hH{*Nfn6ar$c;z5xu5QOH4)WddN8!AI8_UlO;D_=P zu{EI%^}TeQF?)P7h(xS?7W2dVzJStw??H0`L)&3b za>nOLW^5{h8Vj`J^CV}?p5#o}lgtrbC!0OVnecni9HGmYMHBWUXDC9KF^eYbNzPD& zE@Ku=*pr;02wlc3ny@E1<9iR9v8k`a+VFXjGv@c8Ght6MM`&*5O)cz6&iFjZj1Anv zZ#-ux!fVIuM$UxY$Q+@|m{%6^6w7x+3j>MRy&>`WUUklxeaabf8>i@dgt#cuS7sBj zcZMtRsl@DL&V-%J9HE(;RYE@Hz)bNunKR~>nlt1_4wmulS7s-3hJ4DwG8mz`AvTR3 ztsuS^bTht}ml@A3(;Rj(bA)P#v8fFHy#WW%-&C{D&&=3V4BySdK?xQ^edyMVT4^TF zGT+JaVN>*JV)b4{>}%o5FsH5zv5CVR0!5iLlY`n+29=-*_|EZ4#J(FQP+Vo2$rWv? zETo@i@-A!Ah)rcsF8rHA>H&WTgnrY|OfG3%7h+RgAzd|-OInvP>Z+L>&cRW`2wldw z`ZR|<&>Z2FF?*n!!yagk&}DEXGF(sC1Kms!x{O&-0`kh17n%u)*b zq?;*1moZBz?2~S$2wldkn6OW}nId!|Kkl6>MYe;cEjoXmlNrU>1XSsY;x zbTdWhGG=j*KRM8j&)M8;b~ZPMoy{EKwPSWRHj+eB|wCn|YB4JAIoeLYFZsgIu^lZa!t0{kzTN z-<>xyjo<4SEEMoSfPtB5^h3o%Z!B;&-MV?*2zz~-eO_P2hTaT&eVct=U&f{~VRiVt zzRhN@Z*$n|%Msr7m|y&DCMPcp;tRj}Ha31ssDFKaV9su0i}G;u=SC8EGIwxrd=BAe zvqQK!>=5P%P1`ISa_j+liT?QAE6du8l#v^`43wiwUMr38@s>67Y4u07JdzUt zx2LQ`932RenUf>aIJ>&g!_bKTwj!SO3gfZ6D}(3MuF|GDIW?&>V>8zlo6-6Bc-v4h zh(9|17$SgPgjjxhbYgs1*rTH`E_Rso%@BWL{7F>i$(VJjFga>lSFY^5STpKST|1B8 z)S}E--O3`l(#0VDjQBIDrDvg|_ym42bGVQjrZZjVuk1YRyAtngUl&i}#qR*-PoBul z;4wfrD#b$B?&ZFA=N5YfWhW-p_oAQT=q(vWr!ycD>5*ayJxvHds;6 zD$Wsg@gI$Uj4uA;c%f5g3TN=mCOkN6Wac~`^E7Y@Rm1NcP_q>hkEf!bIOk@?EB%aA z^;uL{Ae|>@O*je*d_lVAi&**8nG;jkYjo6fOuj;g6I@4P-mggIU&U^mIx~gGk{1-i zt5$Z-Su^B_F;-R@C*_UzljVBdN4#1cO!OK@Mc=Xk>VH zieBW)4WG5GQ^o6O8=V+9wN=-gr8B8}3;1cmVw_>5bbdoxhzZUj^p@lQQ`0l3eEc3g z?yJ*N19a|pj#|UWvBJpk*@2m<(cI+3D9;JLv+yEiKfzDTQp70gU|#5ou+wG?o%Vlz zZ4m!+{4dnYf5l$beIm``MyX?by~>k{kIEV!z|ZnwzYNkeM`afyQH1~I`I@kFcKlN& zXWv;Ix>A}jq=j|?+j``KR8?_K8(P(UU`V6+?$8{F(X~@I#HaDEcY}pN-63+>IKF!g z<7=q0RCA#YfJ7oufrFPw@+2&Fe~$jhO6w{eXvU+2F%6KYlrgE&*@YZuFLPyJ#&af! zYD-sl32T6gWRJGvne)j(1Uf66XNk^cF@ljfQ^g40IN)?7K>;C6vFUBxu<2 zk^_A3lJQJNb(no|=_!L5B$gzW(p6rD_dMZR2Ma@aJgBk(pZ?vItMDhpOD~!O89^t==Iq2Y{`LlMN>fv3JOf41Afi}-+8sN^3nzZd7;o{sz70(Mgvx?# zH5I$Fw{UuP1ka}|F1^O$kXV(tE@j0MtHJ8E`YJ3Zu@K z`5apPcyE1RVrozr(X;7(u#U-~b%Aw@pl+~og7$!QTu?7qc|rYPoe+d&2Lv4iYf#W( zunK~Xf;A-Q7O;i|9S7^Apc7z?2pR+6s&PU<6un)ngnZ7 z&=gpw1NJ`C0i1bq~& zy99k4tQQLUBv>yJ^l7kOEa{Pq9;~+t`d_f_74#>t-X`cTV7*hoFCf^-e|=7Fh2R z%)Q;g7pzW zi^2M+prv4aOwcm0J}&4Aus$K^DzH8&XgOG)64U_Jrv+UD)@KB*1naYct^@0Hg4Tfb zc|q&I`huYKV0}?g8mun~Y6j~+1#JZD%Yrt6^%X%|!1}77>%sb(pc}#Zx}clD`i7uZ zu)Zm%9jtE&+78yY1?>duzXaV3)^`MTf%RQM-C%uB&>pb9FQ^x+9|-CP>xY8&gY_dp z2f_NWpu=GOM9@*N{#(#3VEt6kajagF9Z#N^-Dn~!TOb;TfzFZpi!`X zBWN6~-wK)p>wg4If%Q867)Am|)e|10P=u>L6Mg4(zEyzJu6)w;8v4|UJ& z?37)CH@Ayr>gth9*U(mD%GBGNJ(O$j*wepLGOoBt#vMC*b33zrJ9ABv@9Gly=m2@i zb>&5J6>}Kb_qUdDiC(6Xmq?kym&kVl;l99(t#~qhZhKExyKpa=t#|>eO_zwZQAO!o zXLozYLFqWY$+%dhoUcib>HTE$F1cj3ba}OQFsfUS`#| zzvX3CJzQR9)yL&!R=r$aX4TL4H_*GYeY?ukFJ&!Lzm&C1{ZiI4^-EdH z)GuW%Q@@n8O#M<;)vu;?tDU^ht=aBfxz^79KGFGACY^IWoyD{*OI3$(^*hkn?rqB# z&BzOqFXKhYb+onlD>Uu9+_KC*xdPK#$(go?o4qJM*#L{3a#4QW`=kR^=UtRvZ^w?# zp6>hUVfvH6{bkWNDHr7~-CE>s+HTu-XLGIlw)=0PO#78&>&tbjn+wzJlb45gBEDNN zb^HPdBuwq@IPcI46|VKoA# z!B^QrLN|@6Kc{!6dVl%68xhmO<*&~t_xmB)mpg#Bx7E>$gME^49# zSDqujTWiyuqGG^VWvZ0X3E|0S5}c}-X8lEPJiR=;-%7Ss1~I8@WzBh&SKaoiClr&| zRmQIFT;E}7xyOc0WwAZP1P>6Dn@l-W~QiRp-4xF_4TOD@}-Z|;6)9%e6} zw+NW~$IBJG5YrCY8QeilOC)FU?_(Fuq(+ZN*Q_ceCY*{>{-XU6+QKf%zNo#661%@$ zjxVwFE+<*^E@xaMw(rButMsnasq&QGmAqVfS2C`P-8hrVdwA*$Wi4^@8O~bb<};i% zBLXjnYl)lBaIGb7KEqi{+LAwz`|Me zaCw%QR3lgZsrmXPyWpGJW%4{DV()T{Zg%E>X)*Xsb9)krhX}Fnfj%yW$Kr* zmgv{6o@{%rzbCh^uOqjoYhR!0tSb!nB3*s^(sZB9E4FD#!wmPkqC;g9c3IPit1LxDntDQI zDk;eR&fOioxvq{Kc%>ccF}c?FhC!>mfeY4k)@uCgd2%tnUExdSS17<*W38pc%fMPE z=yI?c1zic&dO`JIH3_;JthAsNU}Xed3s$qBRq7A;^0IVJ9jl6ez~5kPq*m6@Tf+DU zewEH&Rl?WkF++>Bi8A2p3N^kA{3Cu$-E3{4)HJ@Y;!DN%a4>DFbv>ow%k=#2fT~)3 zJ_FNlux_Mud{v!aR8Z;oKEmL{Sbk(2b8NG2q8#|5f}b4!Y;k;M2s31@R?2|yDfl~( zUvUGg&1$E_ELa_a+Q8Z_-O&No4naG>+9{|LtWH6@z`9vV>;`L>pdPTgBy}%Xy9M=u z)h%crSUr;O09bnj9Rh2wpd-?E-U}x3vK}O^O{~OsHj=mC>vX=+@5R@~rUvoau1hYW zWP0=P`jPRG8N5jU>n{5tIglJoTGu4$<&0bNXY!4s_~vJ0|H-L|v-I9zJUN`Su1Xe? z*430{VMBf#-zuCPZ=m1gD*@08B=pH4dV}7Jizi31YJ6`aIhMp1yy|p0PZU&$KFZC7 zyvTU+bTT2W6kHvuiC;ff}!F9UD(RBAI+76)9e%CoU?XFVc&QCm)kcJ~qgFyl|HC z($L67Zl%{sq7C%>1e~VHr8J07!pe%nKirM)R5rwuPr*ymuO0OkBzh~@spQitl21)O z9px&;pXn<;nIAZnA1+|=XZwqL(Omqw70Kr$pJ!Vu@Z}ZVfR|%vYGSN&e5QY*YXo1z z$i>T4Myoce zVqI^rt3z7+N~RCk*6QN;)6tOl%e2PM@iP+xc^cgQ{M2w^rsLc|fyX+Y{E%&3R=luq zU$JaHlC&bpkK!0N&=QDm;rV{=V0a4;%X~cf34G-)`AO_RymxKnzcI&?pT-N2cLg~D z=P2d;Y%2Mg5X<9-hhVDih!uTcNsoA*`T0Lkti zPktTW#XEp+33eiJ{OpZSn-trw-g89teKYwjntk79*;Yu+OVuQkzfRR67hKzTKat)pj*Z%6Qu9*_lF4tR z@P)EJS${@Brs%f6m`%DVo?42Fos7S2Z8mi6{U|~A_OjIFNqbf53dJlxJB>Gii*T_h zHmPqF@j|Xj)hCl5PA&KCL^PG-DSUNp15cpTHFQUq2m3mqzbctpk-QJ=wPLOTJ1uA( zBqx*W=nB_Y$5Ku6lIv;_+mM_|Hc{*bjNLdd*;H$}6nie&OtD)qcI&)kbFCGj*bB)P zirt2>H_c17)LM9W1SB7x+(NPK7~3%~xuw>MQS76WH&ARR#@;+Hc|)xg$5?B#wAM}c zn#g*CklTyhi>jHJ>b0#s#bxUOOGKVIQhlj@+*DKhz}_P59RPcqphLc{rQGq<5wP1O z?HE4F$VKGn1{3LaO2P^HmDxnD;Y5Bau*?R3#q(gXA50aJsiP@;$F4;N@Fd;SBb#nv zgFg!LE%m`y{U0y~*>6&VsWIX(4tAe3ItlhcK~rEK5i~=cj9G3G^DNi{g3g0|QqaS2 z3G;qgig)HY{6$zibvr&{Ajpjs#^^Vckh&9ZVp4ac9uD@H6ni9Y3f_t{J2NucxF0u$ z!FcM?cu#+ZAfz6Pw>zoFq#g(Ml$3b_{zmM?$PB*5?|%yAoe)w_NcQ7ZT0OTfNU;$DXA`8*a#y+Rs#CG{X?c%)>w8y9tcl$$E#2hT(5wUq0Q z)IDH7Ml!q}pP2cEFZD*SpD1x}raGR3+-Mniip0H578&nSsk61S!L3c*N44UQN$U(5 z;;DB*>}G2C-KqD0{dB4Dy|_DhLv|K_d|80h`z6l@z@_6w!?&w%|BL7xNr<$}I|t{myPFM)lx#C_R+ zQG>Y7Lh7r+^lPY-fd1O@_^6or26ma=&sdif&zthMDpKD}eH-k1r0VbBGUN3C{RR_K z-;^De1{}JrB3i>nH z?-2A?u>V8Q-@$&rpnrn>AwmBJ`{Rtj2K!TjT(CbcC<^wM1;xSsnxG1>zbOdsg#JrV zCD`8+R1NkI1=WE46G3(8u)^74Ij{iiUr5{{uzxLR2|m`GI1g|cO`xa4GO+(g67Vh| zf*)HQ0=P<&(66|a_hq=6oG`cr{6TVF1NL78tpxk;g092TqZ9c-fHhKjE!h8(q(*RT zK~3O91ZBX93)%oqQcw#xm4Y^dGfxmc>d4347+Fw;^-GnHw=!P;iv5XyS+`;3)l_axLQIF zIM)h^z2K}7)CW$Jpnc$M6m$Tbt%44LbCaMW;Is)k2F`XtIdJ550rEH!XVHBKFd!W= z2u_z|8lubp5jZ_!j)b;H{PbTOaDV5|lTMDIHxLIjhkh#d`{c#|#;`^hg>i8DrHV;# z4hWh8=dhp|aE=K&gU`IQ?^0cI6qvuVb5hxPaE?p%hk+woINT1-ki^{y&WNB#fHN-W zQQ({w^cWmKZ>8iP@W$bB=rZOzM0f%@_VVDIk-Sd^=e(e&f^&zUr-SndLC*x|v4Wlr z&JzSZ7o4XGdOkSM5Ofzf&lU6{a9$wjCE&=44=)2pR(yB`ICo3xtH8NO(B0s?NziM- zk%a?Z2hQ6i?hW9)Q_!2hd9R?ifb#)C_k#0LL2n1=6N26W&SwR^3!Eh}A(WjJJ0bc^=my+kp;HV|$tKg_5tIk-y%{Sw?O1pOM^dO^Ph_ZmUJ19z36 zKY+VV&>z8V67*+qHwyYIxSIw29o!oQ{S(}*pnrqAol&I?Zl@p@T$yi`QE+9dRmQ>X zmDCDw_X$dYD>t>3mEazcxN2~35mW&eHiG+XNo@l6 zd4e+F-X&-QxGxse0`AKMZ3g$1g0_Ntx1bxqy+_bCaAitVX2F#yQP~FWeUjP%?z;u; z0QdcZI>G&rpk3g~l&IVdu1tx_9&kS`se8fwoS;5%zbI%QxH35^4}kkMi8}=DHw7I5 zSN7M+W8lh^sLX*Y`)g$$T-jeM2f&qGwXy)N?5dT+;Qn4}83Ff?f=+?^H$h|I{!`Ed zL>xh2@q)%^dyKh3wjDfS_C}}BG(Ig21K?AdKN_51w98MI|Mxs zB1+>gfQZug3n9`ksV|1e0YNW?$WcKrhe%G)DQt(Jw)W; zs`8BxnU%OVL*zC=Z-vP1g5CxZrRVz~qV)Vu{F5HvtyR7oB2SQf|ABwpleqUmK7pLWYh};8_CLV;%XXsr({GIaS9(@8|m>C_ttpj zcOdd!N%|f{J|O4^p;a5t5{_5?2qJ2}`~)IuzWfv-YQFp&BA=7Ozl4aIFTaM!S0(PZ z5c!6n-$6vSkjg(mPl4!n zq{P!8`h7vqfap&IJqw~g6Z9O2%Dqt4^B}5p{{o0A-MiiyR)WL~PbU_ZbYtKOBYy07Zp5L+V6{0GFA33?yIt`zhEh%FcN zA&9LI^bv@y6!bBOsfqXrh^?2nPeCjr=ra)8BWqHzBrH(6=F`CfIi%rY6|;Af_hR4Svn3_z#hM1a6zlE5ZOuvJeY-Uw|fS8(0e}vd$r0ky|rY6&0A*LqN-yxI#Uxn%k&OLF~1HDk1iILDdj@lb{-i zy;V?MXlKFC-^8mI1RZ|9PsneD*gLBiC94-!FNWAVqze4&hIak=vc{{IC95yToi6qt zlJ820y^-lHrxIdM(7hB&m(~CX~cA zK}_aybp~SJlGF_llet{o00f=8Cr~vU*f`%c!M$ibvn*^PLc(b4}h|9iK zJpu785_cNnHwc=Bxa@1yvk;ekt@W4vG_OCE5vW9ejJJF@en^I*`MgYfAZyxS3fyf{S;JH{DfpgZ@a$H z@_(B$Ui}P+%LJ}|7Q}Cr+|Pmdm>_(WM*mUZ?8wYXb~iM{t6zZiX|HTCf!wmyFRZA( ztNKL{pOh+IGB2?al8>j?3!LhgSI4SfL4ShAM_u4QU^a{m>~EOHZxy9|?-40?=G5%? z4F2)4k^XSEsqtq07hF|lD^$O_`tDjQQT>{LM^t@FE&Nwe{~rLoKNi|f^*u?X`#OkE z3*9$B@}0u3Lwke57}^^Z#?Wq27(*LhCgeIXv^Oh^p+g_Yk8xuN9QrQ4 zSO^^Yz`a-q9QshbSO^^Y1ie@Y9QwGtSO^^YY`j&@8zHTlSaiMROi$z@M6XjwN7y7=qSj2_C z6D}5Up|63nMZlqNdy7R}=&Rjg5f}Pcw^+o5zPc?IaiMQ$i$z@M)7fGX7y1UaSj2_C zXe}0Tp$}P$MO^6H(`*rN=&RCV5f}PKv{=N2zWyv0aiK3di$z@Mv&>=<7y25rSj2@s zr7RY4p)V+lMO^6f$6^r|`mixu1RVOFu~@`~4y6-|xX^KPVi6ZQNKP!`LZ`opMO^5N zH?fEdozNy0aiO!<#3C+qv4{(u zzatiLp@Ve9A}(}(j#$Kn4!;qLxX`gSVi6ZQ%|uMh|oqR%0`4Xa#1!Sw2_Un5uuHIl#K{&WTb3FXd@?OBSITlDVzDxVk|Zxw~3Zx zWivlokd@8+Xh~K!^P@#s+02iYWo0uzT9}p1{Ag)bHuIy!S=r2wmS<%%KU$Z?Cge8J z60L0JM~k$wnIA3F%4UAFP%E4H(Ne8!=0}UQvY8((*UDyov|uZn`O%WCZ01L6wb+E* zCR(e`+02iYcx5v`TI7|@{Aih1HuIx}UfIl#mU?9~KU(aS&HQM&S2pvb zbzW>jZWAr}%4UAF=qsD~(Xy{>=0^*^vY8(({mN#3wD>EV`O)&PZ01KBfU=n%Z2`(= zezf+BO~`GcZ9v(~k2V5jGe6n^l+FBTGf+13qwPT1%#St%Wivn85|qvSXj4!&^P_D+ z+02jj1F;FYO|&&AoB7e^pls$x+k>*1A8ioIW`49qD4Y4wCZTNRN85z5nICNw%4UAF zRVbVJ(Hl=g{ezL4(fbD@v^{=|(DwK-Lfh*l=g{euyv>;B{YBct~ZMwqVqkN1y^-ai;&y6!*TKQem%V1((q z|9Jn%=>3C{o6-9RBeZq@@&1v~`v)UT*Zs%)M@H`-j4)mIAMYO-y?-#mblrcve`NIj z!N|?%{euzOy8n3p$msop5vJ?@AL@T z|H$b5gOQuj`v)Vmb^r1Hkl=g{euyv>;B{YgO9xD`&UMouKSPo4?cxnr6W^J*Zs%)2cIOb(vc~q z>;B{YgU@xBbbkKe6WW!HOkF;SUD?=^Xls7FfAAscDjj+?mxYM@Zr&zU+a&bKlqeq zl^=T&(=|VS{@~-9RXX$2`v)J&jQREW^8Uf6By)a7E+2oaZ0f&ey?-!5+v`6@XnXt^ zq3w+?BeXq!jL`P<#|Uk&{}`d|@nhuj$-Bab{a1XduCke*-aq*4T+FZe@$(0tYpe3p z`0|;x%BKF~^JtY#`fJwv2P3pKKi)t1fLN8E#+MI#6`TE6e6XvsnV;T2_&it4ule!* z!H2D?{LD}9AAF=L=GXnl&mVjMs>)B}+pPBwMriB)>+$9Nqgn4Cj4)mE*pGF3YFpWZ+C2uzm`wUl(; zKls2(Wz+oNgDI8G{Ph082TWqR)*tU5d?KXE&*Q824?gP=^Xu{D=Z|K+e=u_S1V$;( z{wqFRQQ6pkbq#}f8kGE?wIDxR#otZ0_#~k#wxu{{|MIlXI)#euC)$-E?Cz=AAsQB@cO7j z-Hy7OYOPe=PW-ia-^lQIer9&6fbY<^@ySh*4)OCb9OB1gIK+>{ zaERZ6;Sj(2!XbX)g+u(33y1i<77p>VEF9t&SUAM*uW*Q8U*QnHy}}`Wd4)s#?h1$a z)fEo$n=2gR7gspM@2zl%Ut8f2zqP_4erbh6{LTu8c*u@r*WHZRvHJ14_gVW9x6&y( z9OA(@9O9`p7}nCME*##X!kh|^t1z#^6Dk}~;h+i&DjZVbunJGAa72Z-s_>KwM^!kc z!f_Q&sBlt+r&Tzm!f6%GsBl(=ct#6f2cFQvA)e2|A)d~{A)d{`A)d^_A)d>^;q5BC zLxp#$@Zl?^O7E75+hm|Et13Qiwl7si02^ zSWew8ys8Vh1JJ8QYu{r>Evswwb?>kfyVrc&imrLfy>``gb@sf~@37~;!(RFh`-ZGoOzuSqqP zB9(qfNo6#t=2E0KluxSVQhI7vprIO~f#!{rVl}{>r zDWrM=q*^tpwo;_p%O|z{fs@*yN$o5}3SS8=cldTaa8g~G)b3KG@CER4N$q*yr1ol3 zy`@O?l}~ElrI6Ya(A0iS>Od({2g@gQNy8T;bx4ysT#D3@@=0CN@C8X7)ufJf+8@a+u@UtW_sQHs<+`J@UDoYaseHC&3+$?{3vdMTv#1$yd~CN)}$6u$Uf?vgNZ zDWvuXNKI-|r%REVdPqr4Yf>|%NX?c{>g)sWsdJjt`BJ2AE1%Sb2TtmCP3n$Pr0y)A z)Pta@hig)gC`Af?a8$0)FKPB22nhXAn$)99k;30Tl}qYzmoj`oQjgc9o=}R^6U!%c zN!v`2)RQ!+Czm4il=4Yk((oM&4Bt~Vsi&19g+ER!claIzQqRz&o>__%{!Xr3QqQ>* zO$B@Exti4TN|Abg`J^6%o_c{Mbyq1;FZ@3ybts^z7im&2E=B4k4=JgaYEmyNMe60{ zlX~T)Xe!uKuhOJmU5eD*<&(N3O&tyl-)l6f*Onr6&qGS;b(+-cOObj*`J~=-DZ_V5 zpr_ufNxh{MskfF->TQ=oDisgFGH;rpm2^|4Z4^>t0^8>L8nvwTvQ^xzOA^({^6+oeeTSNWtaN$5dR-_fMLTZ+{8$|rS6!*?&q<*7G{k9Y-{C3Dg*i*mLq<&wD)E~+x^~VRUsXu8_e=bGpFXfZEq;2Nb zz>@G+P3mu@Nd5gGCG`(Y>Yt@Z;df@r)zpK~Q~&lzIaUc$^zq+vNnMh6I52#Uqe;1? zNa5Fa$|ZG4-r*prs3sLFMG8LzR4%DY8op7#(4B-PRZ)sm@*yRa(xjjismh0xRFx)G zU5eDavPn6$m$G;Uhp$ePnqP|4g7Qf%df=oMYf?)}ky=_dDf>YX`emBbvQnh*vsq=% zKIh6y>8bI+?7K>nsxL)qdHJLo9yqBLn$$I=NL^b#sa2OkY9i26*J)C#OOe8Fn3X$x z>mE3%MonscDN^`3wQ@;iE``)&pr@KOsSTw_Z7iSErUy=HvnI8r6sfJ{le*zjNKFNL z>PAg!TPafbZM!mu@BguP9`I2V?H|8>VG~m5LnjbQLhpp$JE8a9dkeiPy(1!mA|e7J z0!mBh?GOC(M~h`iwKfXftbD~G*V4Pk%H$S zNZo~D0n)gB$T=7W1-qnsP-Z#cu-{!p*m8i zP9i9HW~L;RxmTWSq`FY3t|BOSGN&w*shk?a`f z^6+o4$wI9+6RGJ;q&Cp$+bEj8mx2nli9)?Bg4!$(wZ%-N9%CZ4l|pS3LA@GOD7q)l zCfbvSf3Ht6r>v&F8GW3I)J__yU7|?s4k}a%g-R7c?U9DE?K6{8Gnh#2r%(q(PzQqw zb%;V87C{}6hdO2^QZt!Ic_`Fz5fuEsie#eO0swV_LcJk^Iw=oz%1oqYF_Ah=q0We) z-U=$zSqk;G2rr@=)KHfm*;o zeM_Of6G43+RHz>))Q=*lpX8ygn2FRvCQ@|!n@zO+4gVytY)%CLif(_iiMGGtUniD? zvYPs%!$nM_uG8teA)3CML4~?Sq5cp-!Ec*MM#}cLnVee8M2c>Ivx&C9;h&EVA{5>J zW*2RL!@p!L31zpNiPRE(r0foL`s_}@^x+@X4kDDBLWPN-GRQ-Pn~Bs?CQ=a;Dx(N0 zGN@2d6e?N-6(bLo*-WIKU?P=;LS+>}Ws`=on);(d7AiZ1${~V6(optXW+JtWiBxV1 zl}7}XH>gngC{%tCQ~`OYf@UK1BonDZ6e>;xRXC_nMJQBJ5mYgGs01^STERrBIE5-9 zf+`tQs8STFv#*h@dJ46{-@2sw{%4A`ey7Oirz2B2|q-RTn|k z2r5)f3RO!4Ra+jau9--!Vj@+KLe&>RH3%wHLkiVM1l3p?$~MGIq*gPLqTAo>qU~?? zd)WRK04Tcs%`V#hX1|B+Z>BzVd5(!xOFDh6MAO$=e)>#(>avD`YD1ygilExbL(Mdk zQ_nL{^pSLS(Ie^X@{gpm1pulOjZ|k*q`JsQYN44(tz{zBl|pqBL3Ix*R1XT(Qv}sZ z8p__sOr&05BGs2d^%Ft$4=U6E3N=s!HAouDHrY(1)-jP9OreH|poRt&Y8ZtYE`k~% z4P_r?CQ>glks3{*l0{Hsf(kX3LX8tajhBa-XeLtYnMh5dP?JSaQ-TUbAKqsdJ-pBU zZ$G?m0~0Cw@IJff;eGadczBPb3%%SF@ol>GDs0BQw=dRhdvQXb0GgF#+qBDIP_JtKm8HmFdmDb#Z!s5SCX>&>iF zo0&*GPodU|pk4?n)H(|Fq6lieJd~;DCA`8!Y6FGZD1v$^s8E|I)XO5M&C*czEoO3R z3lk~2rP40iQfZgpQW*#+x~0-C+EQtk-%@GcX(m!znMm!T)3;kReJS$Omud!T8w0h6 zLhThn?URQ(UXRNC)hOQoqN zOR!LMOQl`3rP6*6TPjWc#rAe4Qg71fJ0+UF)AG|70H`w*>Maq}S$QZ^-(u}xBK0k9w97vb(iQ+Hy7|;D+I(uihs~#^o>sD(i4=Vxq+RqtNW1(4A#DMGq7Q_$ ziyjDRmwzCn-PHTO6ed#ifsl6510n754}=T^6n!A1UGzXmyZi$o?Z29NJ(bEt>MC6l zeiJPT*QA$(KtTOYp{|RdZpcI3G83siOr-vxP=AV`{t7D8-xTV$2B9%irQh|U% z6e_0(DwjM|H8YVq$V7_nh;@i|#5&}6#99LYMR&wHL_1;~@;hS9{mJhkCQ`9<`U;As zuaNxonfg0q7K%O)(jj^vq(lCJkPcIC+Yd95il>oE5JjrEbff|SRf0m56hW1ehbm*{ z>N~JuNcE;reMC@wg9_D;LiHCx4UmSioiY=tH<(Bbq)>xIP=kXCHH1P96+sP? zhH{wN{&tdy6#bEAhv-L|9r8cY90;gn8mTd&NR5?`l&P&sZ!(dZK%pjzpe6+sYBGhI zB7&MK4>jG))pv@C6y4|P5bg7H$nW!X1OSTe^K^*zc{=3xc{=8piPUK(Qgi9_%@a-E zeEI2HUmVsJMBlVOhQY+*m z^|TqNw;8CF6l#?S>KS>c)n=g1F;LG@s5K&}=YtBhmO{NCf?6jJWok?1J4~eLMnQ*Y zqo6~6qo5-IP;{f9L$p!QaSs~>3!2HP^Gu{(q0_fTG<{p8r_UY$sBIMLRT0!{(op7} zEb$%_sqGYMhX`t?G?ZhvndxJpQYcia2x^Z!l&NPgzRyHzKZQCVf;t#fs6!O$un6ji zJd~-&9A01|MK=mML>mPi@*4#m0f3?#1s$S|f{uIGC}`?Ac^8>T(T#!*(MCbXJ!}*- z^%vWh7^pKer`{6f)LH4AvYGme?T;8Jx>3*}+9>Fd-zeyK*UUQgF$47;jnw<1NL`SQ zR3M-}pim!*pe{;7nfp8BPnby22SPeT4}^5cKM*nyP@m99eJYC7XVQ@}_k$&$GLib6 zLVY2Ex*SxfFDcYlBB-zBp^lrm`aWYK^$mskRs{83P@(8XL5FCgphJG6pyMYqk@}p8 z)Xy|hS45HeMLJS;Q-65z1q1afg}N$&`YotX*C^EQBB<-qQ05+;d6|jS4GMKr1a&K@ zP;~dAL$rI*A-{XkaobFyf5}AZ4vo}5qDbA9j#L1koOJi1Q?z^0DZhKs=`a(iuk?{} zI@L%yU4lqC-O`Z?1Qgx9=oIZG8mVleNM)CgQ~;oIP$(pV$|(<(+f1asWg?Y_Lgf`fJ&Zs)G7buQ*)2yxxz%MAn%Nf zHdD`ty}?AP4~6P0g6bDksQwgcfCy@!G?ck-9Bwj^8bqN6i=c)C6>2Dj8YY4oE)Qku z8;4s=q()Gvks_#3L4_Jkp^`;VW2B+%rvA454<=G$DbzR-)cBx6(QUd;(KcPD{5DRB48)uKo}Cm$(OAH@E{L~0F% zdR_#zHmFc9P^fhxs2Am-Onqo}mx4l|sEHg4!+*W#-AJRx1;!T@-4!2r4D0P^lDZj|gh7Jk)+OIb~xab$~)0 z6hR#dD%4>LbwmVpR36G>CQ^1LQpYLO>msNVL4|sQLY)*ry(tfM+DxPzOr+@EVW(*C zuv32TurmNqbnmcJw0GDkzjxSaX78}o$wZ3o9d?TL4m;)d4hI5??j3fD_6|Gc_YONh zGLus-CQ={MME^vT=%30bdH|q4qfnoVpuUiY`qE6K+)SjtqEKIppuPzz)VCDsI}z0P z(ooiZW+D~FM2h~Hyi@dJ@=p05lMe(G-KOglZPRtiZ_~B5G!v-|Or+>GU8iW9u2X)S zZXlrOHeIJ^o32xSo38VwnMf&2q;Anf|3j4Me@Z8MAfW!DP=AY{Zc9U%`VQF|&P3`C zh5APXbvKw$E{h7~vI?MFHfbnRPXVw-=p*H_Qz(ZB$|(s9a!sMaM z{B656l7Y%Vp%f8RxHOchFSe~w3{(V#$|!=03@TI=JEgcFAvOc9k%*PUT=CRgy-k zlqgcAr6Uyxs4^6)tO%-{JX8fUk;=_Psv?D|B!a3ORH!NxDp3SgRT|3F{wZr7CQ{WX zRCN(lji5r+q)@d)P_?C@Ty@RlR9+@hbepbAv`yC~zfCs~P;{HFOSDbbCBIGA)znO+ z@-dNWMyId2X!=@6PoJrW%~)BeBns711l39!%4KFptTjIqDY_%pCE5||lHU;<2q?NE z)+O2z>$-;>v1WEzTMICeqB~+;q8+g=`5m#Qegww{svFIz?xLLPA)ixb9>;2pWglrhVien;0_j$TR`#fFp`#b{yMIXoN z5XdK+%1kF3~L?(=ks_IbMG_jv{aith7tiS~KA5|{)83?HLbVc7FTG2O3ujuB!n<>sj>Lm)b zNd)zBP@y(cs8>W#TmEIJ(oCebQmAbrs8@pu^%{lRE`r)24dvQpW<@W$t!HZy(Ym`Ks5>AFNu z({;%|P1hCxs1r0&Z-^pwQa(~<{^ZwMo{7|(6zY@+>U2<{=#E&IXh*C|en+h9Z8JGl zfr->P8mV_gkvcCODVM1ou@xC8x+B&l+7auL-x2Hj&`hK%F;Ew2q%Mgf^^ts}0suvK z#JWT~VqNk(VqKq`iBx4KQeV(WT^2>^OZi9z0O~6W^|c7<8+j;GpQBe{BK19m`auNs zV^E=fqEJ7Jpsq+mnR-IAHIa$bFBIxm5!BV7Lj6Xeu8E+2mxgkg`nJ6)6RGPI>V^pF zW>BH%j#!syN32VJN382_GwW0}CQ`R)r0$3!^^bg{0swVag>qX2P;RRZ0 zM5+LViWNZ>3@TJ13Kb`UDl89W=5ZI+`b?yXP^h9JsA55dil)qsgq zDGF6u1XU)eP-Q7pIT2KOX()4F7&T-fMW3eY7ClYZE&nv#KtNTdk*Xq!RHA&OOnr;h zh>28H3RO)6RXwOs^l7?o(bII@@=wz>xB0X&6Dj&MUAO3Ix^DTW=>`IdZqs#(w&}X% zx9OVty%}p0CQ@{pu3NNC*Db$IHxN+tX}WIF({$bPPt$d`F!OqIdl6Izd8qfzKs9HeI#Q@kBB;*NQ0BfFO=6(B zP^hjVsBY3w?jB|$#X|L@P`yM@z2%|$nt^J`M2c?Hb&Iy?y5+a&x&r_;kVa~dC{lx^ zBV}&;TPr3~Lnzcx5!A4tLJg--BScUmrJ-!eW^$?x6RA-YYP1L{Ssu#N*R^dKs4*03 ztO#n{zYNumff`StCKy9m-4orDEVj%|Ef!0n#RmUbEUx%md)?Ew!jUa=bNs(8R`~zk zXK7^fws1e@ejMuHoO`mRy~Ub2367ms_#e?0t9yofW;)A+z3#c&p^=3?)m8~ zfpYOSY0Gq!OWaG-EbCkB@Snxvj?Yzmulp%JW`1uII&!ynGVD1-s^r&wuhBCwxowu?loEuE1`!~q=)C-Yq>qF4_FTy+#Az+VA5kVzk~GY zfx&5dc%ff$Z%MN(1*5~`bH?v=Z|6HkcpG@bp1BD$wz;=LYBkENUP1W4PHe9!c0>%k)@Np)PGwjM~T<0KAm8uV~h zwue)+htv8VPC*Z+Ne^%H!*ZVALHfxf9jI##*g`(MYXJQL-!pw!NJ5aXyr+kT)NsE? zdic)>cXg^x&!O-xk2t)cFI{N|s{YZxNtNUtNhhr>m_|IaAjE4@9138gvulp~4 zw~f3lX+$I4zq$V=xg6=f=Dtn3jdcHxyTy*~>u`kUDbjrdj-2G^CLFm46mq$L1E6d0 z?|1lj9sb>be>Vx>9d3q(S@~e;GxQ%E4>d#o!IojRFu2Q*{OJlTm`3rAd2d)a-?qHB z6&I1|Fe_m$IKtyq!rXA=@a9sOgLEF_07*17j)rE@&;Wx(@W&R#hmaau zbXW{Gfx@!#P17Y19a$J1S(tZZX$hns2aF+GSoU-mV?yyfd&6=|c1SKfybR*YQq5R= zS*Bf#VL8$|#uuS_K|;rQ!t%nz;+IRhn^#yY|J4$6^8#@}e&h@DnWZ9MB&;Zhr#Roy zhsV;Ib0^*%R#HN&-H9#jI1$+$R$4A1Wx~p);n#%=8P7*_{O+*wC*if&p0LXJ5X0Cr zH^cwm0uSHveL?CSQgqriVhyVjmdG)z<~6JdhSl!TuvS=Yj$vJ|VFNI%dyj?)A6MK> zPTZd>?ja{0z!mqB6A$Ey`^bq0amD@Q#Dlrw0dnFYT=5_|@ldXKh@5yBS3FEkJe(^Y zAtxTe6_1h=kK~Gz<;0`7;<0k#(OmI(IdL*qJW)*LeT=8Z(@glBxi=22dSG-M5yo4)$O-{U&E8Za|eu69BB`03S z6{pCFpX7@7$cdM8#rx#MPjSTu7V;EF$%6K~{-Ka~@|#1(%oC*H&rUzQWU%oTqnC*I5zel`H;9PP~mPz9J`nl`H;LPW&2I{F|J3J6HU>oOlOUd_zvWlPkU@C*H*s|0yTl z%@zMGCr;st@5qT$x#GKW;ypZZ2CJNSFIQ}r6Yt}SopR#+T(MhDe1I#?ASXV^6^F}- z4{^mA<-~`%;wU-s5w18!PJEOr&MYTB#uaCk6MMMg>~iAcTrrXpzs?osk`tfciu1^c z-{6Y#$%#*L#RcTVZ*s*2<;17B;y5|+X|A}4ocIh^Tue^<7FV1gCqBy+myi>`%@voD z6QARX%gBk};fl-2iO+Mz739S4a>bS8#P4y%Rpi9)bH!EV#22{Y>T==_xZ;{};t#pv z+H&HHTyb4F@g=UfzMS|YuDGF`_+zfPv7Go5uDGe3_*1U9xt#bjt~g0f{5e2O* zSKLNUe3>h5Cnx@rEAAjC{)#K^Bq#owEAApE{)Q{=CMW)uEAAmD{*Ei|B`5x#EAAsF z{(&p*Cnx@qD;^*x{)sCdBq#ovD;^>zzQPp`lN0~K6_1b;|H>7Qk`rI$ij(EUzj4K5 z<;2&x;_-6g-?`$6a^mY;@nkvi4X${qlsLmQ{ywJW=JzpWn9jFM^272mzGW*vEFb4v zw(-Mq2H&!sAC@!umL2@CoW-~7Wd56f5imfQTWe2s7Unje;s8#p zUhu>6IN$O^KP+G8TVC?R@&w=VV?Qk4;9Gv`hviAW<>!7_zR9<|?1$wkzU5bbSf1uv ze&dJb8NTIreptT6xBS5m%d>pTpZu_Vn{Ro=56g3W%U}Jle1~uOn;(|v`If)?VfilK z@`fLl@9`~f`C<7!-||mCEHCga|MtW31HR=QKP*4wTi*4<@*>wVgVhhqOMFYaAC@2S zEuDT?e$2OY`(gPB-!g+AmY?!1!~L-QjBlCI56jQ_mQj9Ke!;hl@x$^m-!iiwmS6HM zv-)BA72h(uAC_P9Es-CV-|#JS`C<7j-!hLMmf!I$^Z8-Rl`AC^DxEerZ#`6J&l z&JW9<_?AWdu>6^CSjlPDL*W)@-55wVfh>1vYa25*Z7td z{IL9;Z&}F?%jMd{jmIlZ&}+9%Rl*+b^WmXi*H%q z56i##mJR)|yv?_4?1$wYzGYKCEdSwKHuuBwF5fcAyrp8{TekAU(#p4NuJ-)n-3s!3WUxYhio*BNfW?)Z9C5lw zHdo||OLE0|eS7x)=)G^xrMTk!zGCn1!260zbH%Z~V((9*`-;nO#f5yu-d_v%6_@3T z(>*WR`vcm(;&NPZQQw}uzbWl2F3%Om`-;6kv+OIbpd@hSxrDFS`_r|);z~+Mj<~e1 z*!y#!zTzrM8IHJ|uh{zwnZDwxN_mdBqOaKdqmjPi>PjV!xQegX`#Xxh;+l&3)N1`z zMIKeHe+HD7UkuDG7B*!xRkzTyVl z3~S&k_Wr1iuec#s+{jnlp1YDX;)hDBaRsRjEo3U$OT$m6+U)t_`=?o*Z#+39%(pScR~PY2tqH+ZXs3BJ#&~ zYUc4iR6i>IfTeJ!_&rMhl(6y%$2VDA3CEA@fXD7TEZHr&>4Ww&TI{zi+1(afJ-0GY zzy9cX^QS#>KT}wB!!F^m`?$;exXVE)VbucGWj(_#;lcd4%YwMe!6{*N1Jh+RQijlb zT7D8%_~nQL%CJs*lo5Dhthyg2s12=0(wmsB^=N3F?Ay8?v>rq6TDsQbp!Im)*7c$F z1bUm&wVnj6C;PT;0IjFc`;xBpG-y5Dw{=5k{TRIw=~~Z#)-!!uH-gr)=$%K`dJeQE zKlP=LNn>a|Pf>rvYosLu`K^Zr@u|w9oysyOmhV(n*;AF(smk*QlovW>R@TK>+eFvh zqioozY))0Sr7GKX^;LBBsXnh}t==Du^IlaAlm+;g%F=!&L0J$T4Iq2CAp5)^2RI;Y z4M5sP3qia;5+?vT$OSp<1v$zAX=eb^P7I>Iho+B+#j5m4yPlLx+2F@|=2|RMhsS&4 zzDGF`zgIa0|K5gw@5IBkRSaKS@1`mr991r+Cx5dqlF^6R;u!Os`6E;@@?9b zMOTb2shhE%jw(A-l-%is`O^t2afEqggq1nM{ON?QbRAaV=wj399O-n499n6lLH@T1`wp*rm<#Q!rYH9YfCWmt+* z0v+oT@3HC$bxl+UPZL(x&UOY9t;1QVQqv3J!so_OZ!;7{kL0ZL^psm6a z9mt`zQ@gDbomtD2@C4jLq7ylZx&(6SdYpV8CH#!H? z6Ny22CITyDN04Jt}df%_O+LIPKb}D0QfBI0`4e9Z|T>Hv&a)eVhFs-+Cm|GNO#cvop%5^Nd81#526BH=)U} zq7YmMkoetEl&)zsil$AwLIirIhWAR0K{2Fh9~7f&nh9mnn(88uMAmYH3vU2;4oVFl zoR}G9CZ0o4W}Rmil!ZI`r05>TQ5~UP5lPWGnJWTcds$Id($6TARo728l#R0EOPmZd zJIYR)CZp`Sra4d!wW+dGrAG*nrehJ(HO+}~a_L(}*D|Ibr_#5KM(OBtAy|@Nk|v;B zx_)w_+$s`Tph!UTpgg4MB$P+jG%w1lB8A7PJ++F?&GbZ4Cm+g3^ixniojyOx@9k>Z z(eP=h;g6>%4R8YEB{8u8DnJx7Q30JI7R7oMvyX<)P7R-@wl0VYlGY1QL0#)YsF1$( zlB3~Iq=qloWmEg;MB~^!%`_BTc+aR5aVU;ZtVVGo#WItSbTP<7eEK*Qrig`4J$<%RE7}kMrCwF zWl>r0NWDSXlNx@2v@VCrk=BP$IbG}WsJu_>qdK^bqFkmaS^-rc&K^`j=Ufq0uRW)PiuXAJ4wa2I;u{b-$B)N&NWaCZhSilpgKN+%TBv4+?}KQ8b|wUXy`bk z?CfOM-p*+65!xIL|28%J$JFpEjGthEy{cX@oue~Buj*UbR2cL%Jn-n6(fM^=U7`yM z*i^4B(OG@Gt`o0(`eAmJvg+z%MdDf))g^JgiR$X&S`XFp#uZ)!bxl!Pc$2a|s!!Vf zf$HnpHb4#ZZCeuChNvNF`WI@bYuX4kqD|E|3yF=PMNLWT ze^66h>t?8#zIFOLV?R;0>+g*HL@yobveO(j#}pA3)Lci=0=3Xn(7SmOf)|m{+J=&J zty`j&`qmug7|RUf3}K*SERPwtd`6da{i3@YHypq;%x2v1X-GlxDzFu5MMma8t#l)6 zjaqw0hO-ydxO^cKP$!*jXVjV4!kcC0eNg}CcE+9~ z)L?f(U5IBS>Z0@Pin^*X@bR2(?74#(&{X4w^aXeS=oo0FelU;#3xfA`Z8y}7Kt-c& zI;ifbI}<;(bq~~ow9bTj=vwzgkW8XiY6GLI8za(-vKQ(_%(I|gI`iJBH#g=3qx%?p z!fSzuY`Pc?jIqo%Zmqu*q2)~DmK)VT^g(?HYYx;$$J!V5O&WkbE1Aa_x`AVI`@b?s%8KhKs5Q#0G(za8kk-a%SPssF%eu35rtAC;t~fTcp(c* zU=cJ(=ROz>_PXO&#*C@eYHX@cUI@rtAZXb2fr zF*HOstf6SAK4^5U8HR?D)(L2suJv#(U(=q~$Aez!>gibROjZCKr*T1(J z9NpP?2>QVe7ACl#XIF8cit3a;5?&qh!*wJWAFz9fQVbO?5LpIL7j# zG3Yq9rQntG+7zWNot3d@EJ3J<#_ABpp>g_{(ODUf#*@~S(Rf|!321`8wa=_fL=%Z7 z5lz%-CZS2`G|a3FjqYkZSbXmwSMOvrnV45YlXd1(&=hV2hYA;*wYmrn6}{KQD_#wK zW8uA8zv2PFM&nV@6>lnydNJkD*%|L7@O)>0BE_(xFzj=I+{+p zuY;!Rx_=BkmTtx(>a$@uZ|v?9hI7U(Vby3)^Yd}^IALjs9@nwVKr_@(L^O8l7=}le zGBl28qGruZG?SP%Lo;=zv(PLf)0P5LruTBj4O^xt9qF)UBlrjl*7(+FwvJ#9n!_g; zAvLVIXf82ri{|P~=b?E-}l;bb8``D)CCRl4u5?l{(ETv`Qm(Fvu~M6UNujKs|Ge z<&0s=@b+xWSBzWgU-*oUvCJ}VsedgnTKFZ@u=zauSIK#`B=18XZ`|uL7htGu9O@-+}Lxi8ldFpBDw&*q!EqCP;A)BvqV>q+Y=XuYoW1_YngaxS`!Xd`Jo4QZ%^CMHsUY`ZPPitie4oS5%b75e-R5(BNisUhF&907o*p7 zO}C@%T2r;NiTuuXpdG|vDcYfP*ok&(4)EHGbhZoaB2AZ}UAm^b(Qd7&+Sw$2XDKL! zI4nmgI)_x0syV2g?Lm7;(-mlsuIXO1S8J+vHkseqKD3WGtVH{C4*Su5%|Y$#06IXL zK7$VEnjS<4wWexkQ}~@7LWhXMYII2Fa2Ord9MsN^pd+N|8gxY0^e8&2HB~#C%J1wL zIz}AUqGLJ-5AqNPb*}@wr5&7j933Z3*P-LOrmv&dwWexk)A*g8KqrX9dUQhP@CJHA zbAZGpot;D{Nz;w!q^{|k=uNGu+Szn|XQ$99;;;#w(m9+)r!@x%BYDq$2Av^IH={GU zrf;FQNK^7EA+0m`_>te+S#*|IY(Zyr7H^}s)Ax1`og+=Rp>w*X@1S=$y*(O5fYZ=ws4!ANp9=^b_<6r?*-B-abX2 z5{m=qQ=P?U=rg9b8Z<<+`8J=U&xy?;^tsOF3-kqJla1QU;oDqBmx;|0bXjNfCHj)F zIYw>f@@>9CUlE(*=qsJg*XV1;<^;8w$G7~m39;V9sN!$K0&|hEUu&LK3&~FH%PnB&<$O?o9L$2 zj&`+}-_I(fQ`ja&M68))b`WO03Yf5`t!td>G^f$5i8vU)a zxQ%XW7SLNyyxHguxq2<=Z`Z{Q;rO1%h0}4Ci?+#w<6~Bf zM1k`>52MHnHF-4!?S8dy>Z#r513^AwhVwihW0oIk@@r#(S25a6@i`;I0w5|t4Dn@9 zfH91PnpnnAyFIQkGAsz9g2WJC4Fws)LQqqP80z1wK~&@5L>v*}t09gN6^5F^nux~e zc_V@%ASgo2@C8wXF)Io+MK!auw;n)H3{DgyB78vu5nBmK!9Aj1qaOT%! z%xXbREzL|Ls0}A-6A{k*+Ki|U)YQ>LlwhL~L0u5kC1yDD>oR8bpr#%%Q{S7zbz2ky zS07H)CnB8r^%+qEsA-^yD8Wm50`ht@Z5A4Wpdm5Cnct8xYXmioG&3y*jp0ONBEp&9 zm=QIBnkJfv5^OReXbOU+#0+PCQ^u?r)HKt~G=k=EqB#-a%x}(!T0l(;O+*P^HX=v@ zK@u^;nV-a%wS=0Mnwdt>3Qn{lBAofH7*T7eX|0JU!Db_ZHXvw2%y8znVa(b>OvY!-9Xfh7~-p<8)MiVYPvIq`>5eI zBf}mb>Ol3b{Y{RgCLoh z;VUDVF&hIlV>C03U@V*%OGG&P$1V0~{1SL0MTF_nmL=1*lr z)1YP=5wV{Grx+1T2f=h=hBJRUWA+%-JjNq<98Nq=L^$&wXGAlgW`-uBF-SEcm$)XdV%v>42W6SIj3XZ~zPGzV(tXd+6m$B1Aq2<8$qocVJZvw2W6Pcws8 zviLK2n1%UpVm=Y!%%9JQ7C_AcO+*Ry8WAi6!9rq&Gk+mtwg_q#X=Yjs7Q>0fM1(Vc zF(X<6HA^%RCD>;~uoMJKi5brPrHt7VQ1gUlrV%WI6U&GQXZ|uq^d!_gsfj4Tej|eA zAXrY!aON*(%$|apr!+H-UCd4Wf;4o<8iBAof_7}1MR^CE}fuo1y}5UeL= zIP=#tW*eYp1CL-MoY+W2IP*6$qL-lNB@V$6BZ5sJ*hI{5=5J!mUWS^Nc?6r`#AYJG znZKD4y#h6_Xd;>iM~w)!fM5$T!479M3mr| z5y5L9c#W9h%zuqB+YU9`H8YK12b|bJL^$(zFruAMvr`jM0*?{FE)eV@W;pYAF=o4= zX18Xh5v0J06e7ZzpTdYzp(a%mQG(+}1baZRhnV5a-@};gg_^yZnMSY=PV6Hhoca40 z(SE4euZbwZ>qZ0zKyZMV;mkk4m>qKF?<(l-enB6x5{UX4BrFMd&Cgu z<$H|b`%v?~-cVUY3Ewg@ya1vL#1QA~1;+3LsQEx|7=E1^o;5Q35JVpmL!7rCGKLqS z<|1QwjT*jfWOxZgmxv+G=}U~^M^N*T-cWtv`Z1jNn22!he$0qIftpV=5hXZhMDQsH zJ|$*2r$1%PK7*RiG&Ake_#94rPDD6&KW9W=K+PALh!VVGL~t1dmx&q9>C24Smr(Pi zW|sC9*H=*c6;a^C{fbe14K-gA1-m+(_wAm1^Yaa~{)RZ=jQxgj`W9-w^*WJnT{B*Q zD-%9Iz#kib2j{*cI-C;UF}m-e=6j;ccuD_l`+NHBof$t$8_N&S`Um2Kr|t*F=|`yf z(d+aX`KDOy{wFx`6A|HP|HO!XhMJ!>5sm-*(c#*cmGs-fE71B1al)a#!Z`f`HNSYB zzGk}r6;Aw0L^wvjGNP+cb5#@3?k_|~vfZn1hJORWZ^R4-?l;El8q{1PW@IuU0kyp- z7o*E+hUCNKB=5WH-$C>{F~srvoiV%)HP;zK?F+a|Mus;)bb}b;*xq0aZ$iyY#!&kL z?js|^TOhhc3~`8WF@}FY%^$?j=L@($;l!UrghTu%Bl-(!{?bG==pRQ{PPF7<60 zK$HO+M&f8>UY9jRncnP*eL2VRK;2}pbifE{b))ch+%SPSDfFOpL;o-(GW|^QSlQtH*nAm~!^6$Wm}P^SY?_%ykR48BCn7xJ?2IS} z)a1}al;A5P0t5m?%aY05@2xfNBPtIyK0 z1eM@KB_hHjuEdBcLrrB(LG3y959W^uU!tMkoIuQ|u?8JyVLrrH* zLkQc0r<;zT~Pxt00aYw8HOLgm<@!Qfeb;~+ByhM z01R9`7;+FJ8VogqIRt+j#b5{sh7dChKZG$G3N=GDGc65=!HHo+gdvA9qTx_8Toch* zxJ@24rF*5L#$W^pMi4U$KY}qE2{j`%GmT&roESw!7;+RN8Vxn0H4!DaV?>Y)f@ETb z;gcD&F;Fu`Gt&sh!ilj&gdxW=qH$0&P7_gre~bvmgJ3){!|>x7vk6c$K{L|`Cc=q{ zM1&zHGNMUPGf5Lsg1bfplR+?cJ7=A8eHVZ%(ozsC zC1x0YDP#5o)I6b?X#~sQ#4;knkjog+lTh=dCZYsRBZB21SWe6^{Bp+ZDX4i$Gt&rG zz=;(^gdtZjqNkzeX-z~4Tt);dL9mjTVfdAd*(#`6rI~32&%lXihzLVI!-$@RnrAf; zC2$)NtOmhqVus;YGiJ|0&2z*|ebt|~9;|^AYlsL#u3<#aL(TIXf-ob3wIEna%rN{~ z#_R>Cd4Wf;4o<8iA`H2X5xodCFLDSn7!j-o!Fpnb;ny=}8=z(bk6Xj^D;w__WEEmoY+i67;-ZsdIf4;;Shuy5o`g$7Gj3s zw=iZ~p=PU|K>Om+8ewF(4Mf|BAs)as#_(0Bc~zr>)TUqSSu+|Lz6PS#h#?-_YmDJ` zsM*dK(y#Tbkw%6)K(vDx;sNep40l4!PW_O{d$V0oyNf9B2zD`w-B7bzQ_w3f%D4N- z?WvJF;3aMf2vUd{woPHoQlTc5m_?=>jZ8^39{%3@H-=w;1CE75woNC zh%v+Vhl!c`z9cQ_kHCo|MC8Foj0oEt)kKsatDXRV46G6y1Hmz3_BuXd%&@&jGlLvW zBRCExjuX)v_=piXbJCbk!ikeabQ&KqB5d=f zCZgTv@a;bB%Ocw;5S$`rZ{Z`x4BMY3W@&HG3G*4KJwp_4<0D3aJ>Jq3wENsf-Jb=) zSz`7MK4Q$U{oBMW?dC(eKL@qvh~iy*#3-=GJDP%apU0^C^B_1+%-+XGj2X6nS2Ihy z0^ft$_lV*He8ecQ$NQRscAwX%`wJkrK+G=UBgPEdf1sJAb^jsMen=D_;Uh+YJuZ3` z+T+J5L_Q;kOCY;MEI+|Vj3w^mBRz!r!TrZ@;$tHE3?DHfZ1agGq6GPk2tEbDr^M_F ze8iYx`_D8pxCoQT*T=Tc;l$@e^d&xGMA+sFO+*O_7!h0s!DV9hH9lg@u>F^snMUvx zocM}}zQsq32-|$Ei6}v=5y3Yg_=cE$kB=BLZ2zrh1_V9fZ{Oht@*SM`j);E5M~n#D ze6NWpK|v#eA3*Q}G5Z-GF=p8QN6kzl_z6z@L`1*fBSwU6e%3^kppX&46%bq@W>@hM zV}|X2(abc0U*W{BM05=wF(PbpRTEKyI3t4JK=2zeyN-_-Gi-lNGXsLOO#B^A{7ytS z@ew1!HrF)~B`9n}a03K4h}j?bh%v+VH#M`gCE*s--Xe;>@DZcH9)D;G+I2mKB_bO>(u?e1W4CA`N>EHsKt8PXZl17PL0~0j4t&IzfxX?PnNb3}9ZuMZ z$c2v>5w>w?B1#Z%MBoI0lbD6!BgPEdyEHS6zzrwdM5N#&Mucs`G!Z38Fe1nRf(*ng z0v|DE*k19PX&=_w!=W~uC?fF@qre^!nu2y;T;DzUYTmo5*)xJ5BQcA{M~oS^kJQY( zmw-JAYNLoE6Fy=T*dtm~(C$n7eq(}PUD#uwbqsOJf{z#{?45}?MP)l0l`S<2LHyI0 zGsC&eM3)O6F*@v&#jDGs+h}GjqyGV-sJxn4RuE(*X8G|EW0nnSvT4If3qW?L%}x}t z_=r*DfSMedf(D?hZvfP>AP^v8RtO(4W;vlICoyBcv$5l0=OUuQ_=pkZhML@(h!T`D zBFF=RJjARhK4Q%BLQP)HjBb0f=YtdZh$tQ(F(Mqt{F;aols6(M0D=OzoFCu6^2sZp(| zVGSe0x*)1c4BO%(#t=_&J-wl}(bihi$gn<$>J!8E_=qvY8PI?+q#JFmwTuiKf~X-e z?1+yTL!1ST7(=?z)>_-hurY`l6T{BCqqk3L<#B|5wrq9D`M6g zA2DXFp{BKFrV+G(6K#m7FFs;KID6V^B1%xth@c$^+7Yw<_=qvXnbTe~(+E1ii4H_G z5FarjoE;rC5hbW^M9>KYoru|Be8iaH%;>C{0l^^hHNCwHoajPCL-7$K!kN)k6H$T& zMg-kJ(2bZ4$487A&W!HFjNR^R?*S)z5Yb3{#E5Waz-vUf5fTw4Xs9P3+nv*1N%jIk zFJd+tA2DV)GkR-g+AQ>e6Mcwi3_fB+I5YZcB1+K6h@c+``Vq5n_=qvXnbF^CrhEB6 z0BQ#i#RPoBC~#T~)D*P)#`^B{FaHOD0HzkMs!8~WF~eywSToZCFa%BvA)+bxh!Nqm z7)nIU=4fjZBZ6Td7)H#d;UmTjr^Rp{!3a1pf`}f&M~n!k#Yhf8QzL>=AQ(l=X5b^n z45!6tJwXJ045_u5kzq23l8NCge8d>ylo`Vq(g%`SoBJA)cS&PGF_yT^!AFc6&Y5w< zE$v;>e3+%Q+#V0-#uME_e8lK*=1lPF7VF<7CF%bhCu&LBYnX}9dLnUp0v|C>IBh0* zou2gmJxp5nli|c;AM zCyG`0h*992c}!E#?px`*4^P+`wMKgt^*CrACw^=35#xu`W(M(t_h}LH;`c|rY_X(9 zZRx-`%!F1miNiL0#5mwQnZ-E3FVClQm<_FF6Ng>+h;hKVF^6&3<8|0!J#H&zt8A;P zcx*|w?zUb~F0!q$y#VDN+ncsCipSB!(Z$gn$`>8G;OECZj+;)mQ&Bw50?x9|3Q#t4 zc60WG@&)G(=WfO03WFcW&JATbS3OrlD7(8xxJE7DN*M#?e&vkvw&Dp756>N*56UOQ*N49Z<#pqqp5TEF?(Vs(irEvh zU-4wBnyFc)Bq#@Env`iOly7AEFcZWj^XSYoGXqcNcQRkj{I%lA;>eOUOAaV|XGzX7 zPVr=|lC?=z=qKxdtP`?MhH`b*tyy1FJlP6lE1M1GAzQO--Lk#(c_({h z_87&Jy-fBx*?~9vlI&}X}^vy9g#{?+9%5go%EyaUIpvTZmC|^ex&_%_Qvq8=d zIXgqSI_K7$;GZi`u9CSR?zu+inwbmua$U`B&FxS;xpU<%p1Ty3jdOR--3`jAxtHXI zIOYC3Peh(5#gnI0o?3b8DxSQx^R~|04$2XEAIl5<NAi21yjs9o0QxVGt3dGr zaK1pd0>cZ8f^uGgX9_$A<>>++7x)ay8?mm~42mbVQf#Bxrcm~dO^$_rVmHL5#O{Ui zT=`#I4)QT>ecbLi$gjA!<35l3 zQt=dyDI8lE`YYVA@Sws&pH5mABFN-33mxtCrT74QLRKxD94nTUt$rIFO@h@;xLrImkcWzu6Rn;E7_?eT+by} zlzgS+HYiV*{JbQ@sZ{n-#Y@5bmTFe2SE;^GK2z$|Qjj;L-Y)f3sc#ie>0G5tmo5io z%hLTy4}@|}>7AuhpnSLVccp(+JZ18gDPN`%lx@olDg$vYv#v~PnSD@xQ0AvHzbKxv z17iNzpcEd{0S((EPty!%wvU873x)h^{+zT3KJ_# zfpSNMH!8sVRJ2vhUNI+>Z7L3|I0VXh71vaR^|s=Lia%DoqIfDPmGV`Jg|b7Xp_N8J zxv0{*N^o6Nx>V_xN-(dLBP$oITo}qOl}A>F^{4U^l{Z#?8Ol#9U#omW@l?rFrAQUX z(<(ixjHxmn%BQMquCf)%%T;bx`BU*EW=l*+g!M16PvV3`SVt09C2mWE{7U>L@vp=? zil=JMs->!yg>pdEDOIOK`CQc$%zs)l#a# z^;GRjbz60(;;9~6y;Ai=C`VMERedg$8>;WCeh|vvYPf2^^;n~DjjAH6b5sj<30>=29rP);v}d^0DThwIXXlKGrH(t8T3Z zP)@G(M6KmeZm)Hs)|*h?shz2IR>f1hZ0&}%n?O0e_EWW2Lb<#4soHNTo;ub#+3FxD zE7oaRrv;QV>#VA?8p^$O&enNH@zizJ%~>}Ol!b8b*Zr$hVu7&bo-Sc(dS3LDH z)XQ710F*WAwW-%0%7yh_sJ9-t zR~lYbJdI)-RcZwBY}BFA&_<9Cjn*|vZ3KDT=z~T-HG({DT(EKF#*oL2$26Yb7_P6z zCmMg$_*2ExBwLe&CNR%Unl|a#qz{y~$ZAqRaxPFrUY8llslj3Pvs%5>F4WXRUa#_o#pxn{& zjh1lzwEU-4=2qDhPpfjR8ntQ)+pW(lo;I#Fx!UA~vTB=_ZQ4LNug#h^aQ(G8)aKnb7ZguhrER{paQ(II z(spE9xW3vhZu?@}jZl8n_Sd%86i>T|b_LoMg0fS);q692xuo6tb}vEsal5PSepfv0 zqua-|FA8P1_M_X6h4RVvo7%qu<>&3Mx4)%$I%MfktV3}qdvzGsVIq{vJG|Us3zT1U zxY6Mc#nUls$M}vVpzPgoe8)*puI#wA<7-fU-SN+kw-pckoNLKWWuWZeX>zA&P_FK@ zz0)ozzwdOXvqkZA&eOSU=L%2`?mWHo3@F!j-VHy%=;{1(7i$-X;^|VLOT{i#pd8+1 zW|uiouJ5w9%K<2_c6D|QQ#@Vcx+Zq54&~^sbGt5pa#PoXU5`L{y<3KE5sIf2<4jMDHlY)4N#j8ohz5_qg5*djnVRmwO-T z4eLtp8-0{M85K{T_&zoJz`D|Be4j;qmO{C;&#^wRuJrk{Z)9IsSNfLhTemN)D}5*Q zUECM)vG2CNp1zQeegEng)erKqU-5pm`_+STV!tK*mO;6t-_d?B?tXvt&)6Tv-9Ns6 z&Hmuue|-N%{lUNg=KhEKL%#RFJs@TPjC(+t0SyMgxCcxduzUcFd%&&%Zw`QQ53~%- zIuOP^u)@G517X|)XAE395XL=l&%n0^!ng-H;0H@#+=HqNYB8u4lye5H9`rnv2L`<} z=sm?VIBam9!TF)AKDhPZc2F)Dyms)5P#zq7e(?K>XGn%2d508$vg(kQL)t(&Z^)V< zknckd4S9D6IZVaTr_=!;TDlf7pkLXLyF;d544l@Y=)M5AOu!lHu!z!}T!y=qamM1R~g-6G{j}}@X<3zLq3l_F#4U* z5SP(cl5NRO#gm+voRr)e$`Q%4l3^W7KA3zy8RC-s%NY9@m*N>ybxg}K5SKBF#;h9y z;~w+rm}_HhD4ww;$JQO&0LtlOpBlRo%H3m6jeSe;jI)l*HV#2qaa_}JEufq^Zq+!r zkBr+p?(DdC6wi3)_?+Y6J~BRWeA0O6cl_M(&y9!s$oPZf&yRg5?C;h2-CYPFAZ!)Z#lLt(mGI=_bJ0`y|8P?6o-%kE} z@;{1aO1UYGrZk1J-;_yHrb3xA<@A)ZP~MsvF*Qo@Osz7t#Z-u=#h$sDB}0#v_BnTL zRV_9*{GW2sVs*ov%^jZWt|gzvkyfYH-?g}zI-5H@jx?=kap{_>%^Q+)v6e8ObLx3) z$2+ImS{GOpj#Mu#Romkr$h1|1Vu`h6 zlCaj>%eJ?Z>Fi2ot*Ir8WH0)j?nR^Tt%oJsJ@lsbsD?VnJ!(}w4!s$zZYpw`=vv>s zSv?7!vXe|z4@+*76e@`t$h>~|s9rdz{`$}WSF+^y6G@L12rs%C6tlzz08Nh&gEOZ*o(In5V5CV=VFi!T|Jx z__zGYG^CB9ou&A{GzxVj>Ka+{U+hQi3$LO7c0csGOR|*ymxrVuRxtX4nW=Z@H zMz4>+f7QS4BCqxzg+L#M|B^v}Ikd9W_z$C@kH~+`p^r+ErPhBO6@6sx2Nw85%ljU= zsHM(*5E^}W?iU^h4iS#hCH!H6HOW%%J_(dQSoaeXU6Ko08r(;r(ueDQR`0UyA0Td(9p8N)G;ZBp zx#I&Ca(&nzM0Wj!+QQQL0gS$W1|C#?{VX)KbbUZ)K|d1@@)*c$qxAc8TW=TI&57U^)Y^B|V z+FANPM6;!zv4?G34l+;hHHG&Bh6KyNhiu~XllL%=&8eF}?;N(X41NgbP(P0k>-f^o z<=d8_59wU$=ksA6qwZb6@P~LR_0##Vk5m7;G{G|RA)i(K%svWZC9jC^%OUUGbgE_a zBQU}GNq$tutG|q6En^;$S=P_=qcmpqvQB%4I>|Eb5t?xQq(5ro*1Z6m@Q6*he%c?! zvFlg(1k0pHaQ5{X@TiVoUHQ{q(6zNpc|;RIpA3(3415yetYz9GoDlk?c+}&d{|aNA z<*`RREA*KWip52XK09VwW`wfCqR)^}JT8)W(6<}SEVDu>LPDZXlTb{&X@X;-e|s{~ zGAEQRG+Xo;6N-zLF*q)|1!i6-Wtf!Fr%fm}Y}%w>a2ABJCtddFGbj|F^cm!{04)lo z5I2SNX%vbPKaG4Bq_LJIp=>hDCN-nfzw~+{6f0q@)Og{)E(^uV_zJ{v!vA!C(e`90 zwf=8v>C-C|um7K3I9~d{8B4G{70NQHEYoLNC}wh*hGXXQm-AySPlpmtI^pz57mAyF z(s2?m!Lllpc=wce`s546?%t9Q$IhvHW(e8CYRzDIHk5<+oP%l}df#%zS)L2U&{Pc7 zIO4Zrp%~u#^^D_(|IsH)$61~arK0In)Td)8j^@)5#}VGr(La=EYk47*m40QVJ~KnH z^e;1gv$Kcg#ZY$oot!8fW~H zEEMCwuM{}G_#fSPP{s0UD3$-UR94ej-9NNF6zhLA)@r=*@)3&lzqfpFmXV#I)c)5~ zTTO3uIoTbGc~D}m#vLy!p_u>s%L-?CNe!iX&{ADZcXgTB8;X5UW3R>^FE^ps2XDFI zEIa!{sUP&zSJPizeh!A_;6IrIbsq3C6qR>`rK6#__)q6T zoe#V`h34YFTb?+}lqYm){O6a3dU>eJ)$5@-xi98Kofo`ph34eHU$!{Q*BhZr<-WO8 z)XPO(#@-Cg&3!dD>ipp4EHpRw&vM3D)=q~mo%`<6Q7<2Ld3!4~NB89%sq=)FxzHTl zf6H9Dg_(0B~I*)i64b9<$w~TU@)6YVe;sbjrs+Xg8S*>CDA~cr| z^j!MR=a-@ReBkHPcTT?!&FMoir@r(0ZD?K}ntAn|+wVhj`%uj-JHPoXKZfS_p_^ZI zj`3U7(EL8QZ&mR;8vUb>5=ylE9J+)J!(Kfqvnm0U@4}#q-YOEfGl74qk*Xjr@5uqg_?Xu9{ zI$WW=2<3%txm0feh(R5)xWMI7^7SjCeozDr$`kH zjJnLIx29~Nyb0xvWZtN`gKtrxyb0Z+M7Jj2bGlnqj?j`5T5|4T$x)Xb^_G=0ls}>T zxySrba|qwMLirQAb@|?&Wd1k3h1Ig=4lO~UCCJ1Q^uPAb1kUEN?c?|VoS8Y}4CXBM zeVZ|iZEV9Z493{^ec$(;v5%}tlA@B3B#BZ{_7GA@NJt1p_Ut=__jh^voB!LxlVO&# z{66oepX2*z=A8Tf-q&^A|7Sd}UWWeD%7U~U^oSlkrAN9;($eS=TiTPfu*dxwLu<>$ zlEjkq3?)e~OaEzc(OMq*M4z6~r++$?k5194L~!c=dljMu(<^$FNM7k~$#_n$IG&3S ziS>_RTn}N%O0;E7tnG34uM__lbwad)^oxEaV(HS)hW>X*=~v>l1$}M#>wf%i-vk6b z_+LJW(h9MJv4kZ-36o=HY?Vdm89hrP&ve)1Hc8LeGLy2!8(L>EmNb^MBrIuqS<`>C zuQ+|9Z%OQ%?wqtz`o>n8l&w_%+J=@|k|mBME*VOkUgq@HTAJR`yJYfCcTZX@y<>~j z+hB71YG}1(Sn^o%lCk9JWlwLp5%iD#C9{9JgVK8GA6sv7wA_Dw4?_zs&l1QIm;xnG zFN1n3u0RjzVG4PuyC^N09vt1_V?+vXviY1XHF$GJaUKaHhU70@8#}xKacT!q4 zePpXn&er_6?`3G&(JYZHkuFdo^)jiq?ihMWFI~h--A!rX^pY+7acwmvxS^F-XUSyA zbfJ=|mrcE;*QB5H)5ZMM9hKHjKiS$-qJ{t4_cXNl+AN_gp)OcL^)jlr`nvR#p1P=~ zx~tOi=_yvSKV0|1?VeBfs}0ZPx`$L zBSB-9Se95fD6x8()klM-^p@VbiMP7DG9u7hjtEcMrd{FB8AgTXEV(SXZd7vhva62_ zE$J`)bu)i;hh=o2zZ@N0VI)ZCdoYX;tyzLug59tL>t*;qqeQgUmLAh%H}&|RF1M%4 zblHtv{{KFQXdUS@eRgx7b*JUpN1u7^6Cd3DAHy}UGfTAFZ>PKKm{{-M`2T9FE4`-I z9#E?Fv!VZg!=%^l-+uKy<*)zm{|je#mTZ=64=UMmyp1DCPx?*2J(oF&`?OSoRf^`Fn{OV8=KhkCBNE+Y#)=g8uTBa8l-45LebmUNbM4=(9? zS=UFHf%Kied${kq^D@fNcaAc8+xO&O4I@n~OFT=w7nFFt%A|5zk{7dQ+c`kS^crG3~p#Sgg@LX_& z@}^Pg-#)8hl$ykr@vvO{+p_UL_l`1R9H}PreDHj5r1~!h^wEmv!#ipsdtbu#*)U>F zVGH@M@s7Xt$Ci`CSZaO^ z_b)}p5o|io3(pHjuzz(xAH{fH+`s;@hb8?U8b-3$*<${+yim!cSJEBU*f^Ta;JM+s z;b`{64(KBq&kbv-JJfntd5?xsZ6;gJ6PFuT**?CHlr4A0k!=>w56=%rwgfw%k8V6a zDO=0fOWbq)4I|tfwx9%;A8PNO+9&qD8ArLfJV!i79Oa(c0ez(7Ibv;1>^kjDU(Ybw z&0|Y?>T={w%J8#3zT_H5y!kv&JWm|)p4kC?)Z=-2eBEJ9=Gr`|1BQ`r0bA5Fm!~IP zcK$ifKBC6aZz0bW&lN|%L~}qN0eP-GyN0qyChz_lM!`jFS&3GzsB!XY?5gW+90@<< z`QrKFNSNpj=%XRe7i+Go_5pW(UxpEJ30qjAmoIl#gYP}@O0IEK{DkL>=ZvFbk~yG{ zj67$FSHIW`xR(EPz%V*4WlKx4a`w+<=kLAqC>lq|&v@Q=-Z(-gxdZwr$@Au&HJ80M zdH3BgQZ8qUOY-tYy^~jOS6y%8Xt|Q-j^~b}WwJS-kC;4nti`U{A3X7W8Ai?3Yk0WQYJD`uAJbwwVU#$6DvuAa{FoLdQ3rzO%_pIB;f8R4o zfN>Pvz;noR$Wb)K9MDHnoyiM?;e5p^@qBhMp8 z)D(9>A60oCS)&uX-t+4v+$qDzx`iz=#mgfVPPoFJxMs%D^&6f`o=c9dF5`ec!tz|Q zR(oRaao5je7-hGzWx7ncbXT4Dz7w~+8%Nr0JfA$D9BEz70e!UP`Apo}$6mtqObiDM zBkp##P?sy8iLu4}8tz{zjHB*Oo>QJvj=C=EfIjl_oVtJAW$*OdJv5BIyVz1)ww&?^ zdhQWS$lk_}z|q=no>!h%j=(POfbq=k<(YN){YpqZ=AZT4zHB_V`*?17ZrN+y#sTBm z-Osb@Hv7hY>bda^NA}jz_!vaEy=AuCTRxbXn&Sc=QqQwsnag z=cBc2Jo7yB9Ou2l0pq#9!E^5wd+u$$ji2|?Gmi1>-{RTl*=Nu9DhJ}ve~5O6=ijUL zo;~r=J<;3NMQVWjFEsM}(+6*JpPzTXGCb=4iz5I>0A2yS(gEXD;2!IMS31CU$PrK+ z(60on1N4D4!FwHuzZ#enM*@xnyc&451BNSt$;5i#)h=)>@ZPb&xc7fw6<8108(9zO zfZ@MQF!^vq;E~{wpaXEgcy;h&U7!=J3v}Rb2lOih>jJNo#;YY?d$$jU|MtP3BLmw7 zM+Q1za3KCl5pA-vKF|$=8yp?HdvwtEKfXqUm{PMwutu;((1Axdpg)3OjbPun>c!q?tgKH{$(K_(k(1#1Os1s(Vw4(Qhp)(Y?K8Jrim_fO)0 z;Tq!LXu-DfKibNZ=)^zc`DG{mIuc?^$C|;K!J0t_p1=Y9TEd#)`8|Sj0QWdC957r@ zGH}#jn|XpZlNj3ZYq)>;GG0?Mv39U_uy)Xa1UR5yS6DmTzZbBda}Sf#0mHQ=3r7#O zodmR<Yd!lg_r{eSFkEMHa1>!1dWtsW z%6jv?C13e9UTbo(mavwvme7G`a6rG_u$ClW4QIdPT6>}chHFk9jwWnN&(M}U@p$kv zCA{n#uRHlzQ&>}2Q|LeQc1=Uk%r#A{=Gd#*(0ou^hNhIY`RAGG3dCvDUEG zu-4FlBy>Q(KC#xY|0HE!k_NCpS= zYZYq_`w(XlI*=R=7_L{PIqI;@B}1D_4z2k;T)%u8uUTbSdsur|d+0ziI-p;-SbJQ* zSFoRRk37i%!?i1dqYv9&GPXTWI!62~Pu~`d*RS%dL99WnL3AJm9MG>}tU*s-%h?CH zc68wB9WY$SDsU8H8%%*V$m9F;$JdkA&Uh`0Vl84VVlAQrDd>QHJ!36mfAXY0%g^F| zJ{zuSl{p%*Ev8^wWchHv@{tsKX1uONvnH`7u_n<07jQtowy`F$PbEd)^Ur%`*^9r%MVqR#HnBFbHqikWav;7w1(|BHKG97Va+5QWXU;?k z-BSu9WXq8s?8CKBNRs{I^cp17_WMDS*Pfz3p$$At(sroJ;p)( z%Evn89{a^}#eMQd2Mkxg`W&e^QgNiB11{`9{1q_7)R6UxuDY&=nL0`4!D*BhAUxXj#wPAIAYNOH*mmsHEhbdMQ7c>S&lxgHTtB~e&|<3)-C#w zQV#O_(!GQ_V7Mwa=g7s8iz62ua3cqdSH_mCUv$@v+)Ze!=AZY>@lL-wvVM8y{_@;% zUp?6Y!xgeMM=*|H9Kq;-8#-XTO15Pkqr-0KuqTfh{A^D?M(bBf*0CpFGy27~q64nw zfZ=M{o+BAYGLB?)z>OVgj zTsb>)MB|9Y5seObfCKSY&k$2r)-^iq0Zu1Y%jef|@9|N;g0il;_dfC*a{uT+yaR@- zXm^fm9N9Rs(E$%~z<4F?$@)gOJ;-g2G4W%JH?M_$HD!IH58iwZ_}M+vf5R2EH%B;* za2(<2fCoBYysGwPoulI(=r~6-&m7Sby07|`m359jB-9=Lc{<}R4j8Vk{W;Qcq~l0O z2RzsT;}v!w>m6P9VAtJc1mXKk+HqOG%Cg=i?K;zAt}h)(c?S$v+E|Ww9Pv2f(E%@T zz<9MC!n#N2y})^nTPZ(oxxyakS6tRT`rrya@;%VQk0T#PK04q<4j8Yz zBUu0Fz8ATl9ODJQhwG2A`qh{9&-M3;=ZSkn2NKr-!xcD=BOpgWj(~K)3mq_Cg`Z;` zl9WB;-g)2fWw;;}v-v>mkpA z7oUY^9WD6pd&YRGUzJ%8J!3z4F1e3%;OQMOT$v|uMC6Fb5s?ni0cE^8Ph?%>nc$hA z19ael1Ns%3b@A!PZeCfqc67kA957s^CvjxtxWI9N4$y&z4j8Z0lUW}ht_#Ni&l&@` zpWM%|BQanf&I#GC4ZeGCPz$O$LIhZ_*VyvSMwRHoBz6AY_%M> z=l~rM2lOjC>n43*O`rpG;K>{?T-9fCjqUl#+B4UQ z>*VPU=vQ~vPrB~uuJiNJ@x*q(aD|`45tJh+uWxjK4kXwC<5hkx>u7@4FR|MizaB>= zI^doT=vR8yQTN)j+=)hAuV7%hbXFYxDb>wJ}@}mLw zfP3Hy4(L~X)>HcE3O@2Z&_j22z;NYXz!8-rDzAHVfDSyf1IDZWLe|x1UQc&!v3&0w z5$QnUIiNoRU|mhTHKm_iOFH1)4j3Km;hfe%?<6K!2Nws`m0 z!u{v|>kjCT23TL|gzf}?r3-W*aU3u_B3Qx^mLn{$hjf4rB)S9fj|!qqpRmp*`ucJl zNStwi>&SI%iRuC59g_Rt2S$5cZ=l~r^76*)v z8CJ9QCd-<0TygJlh5N_-ODqTU#|^B#bSkl&;@6=|bl~3|Fj>;BumohiucAzAIr?(+ z<&~2T(1B!iApY?~h-n>baI&sFM}&VL5x7QNBX4j(e+vf{CesJj1Uf(mQr>}wk1rxk z-*8mssLZP?9iRg);ehcm##Yv5m)H}IEGa*-a1Xc#uHbPl=>Q#YNe7INJ$A8HyW}2m#Bse5hkL?3NeKt^#~-ZK^e-j+cdCx&!)S5!P(F?&+@c z^U-lnaKP|*WFJRuj@rB$(*Zi*77iF6lk8{hc8fjpgpq-tfuk=ScyevmE1S&e5D#Y&t*(+}Z)-2AH39JM@W)Z$)p zFQ3H${jm#cIz4(8kNEG>A3ER~4j3N4oaCs^QJq(BIzR_J!U5xBnA5E79!Eh*3*4&bDy7=&U=#shQ~NpINEcx=M|m~&;gHj!1y@l8f(5s z@3l9LGW@I@-RZ#N9nc@^u;xF0jpz^8h7QmHPjSHTc;^O3eUAFP+S36#;1v$UKjsNC z-D2(ciaqy~v4x+5BRCy+HV5>_J*@rDwvP0W>qiIZfIB&0c;Xx)#`KqKO9$wHt2$tK9CVLY0bT|8D1Z*o z0k3o*{;^PqS+N&*r30=yrtp1ngr)<@>%iZShu8}^53v{00XpEt4#YnuGMjic;8F16 zqrfpVdB;$$w`;Dq@o|w4djfj`djcJx177cd{@92;!8Q9f=PB+B9iRir>44$!ksq%L zUOyrvr+WNeEOm6ibsaE1M)GHGU~k|f1Uf(m=)m6&=#P`w8(g=CbH3u<&;dG-+zuEX zD_MDU;K;zSkq*!SI$&@h{_#?fIW>C(-7vV3+~W$rA4g<5;F=ETkD1sbTyuYTZn!UW zfDWXj1BS;zsuN>v+K+-v&Kdxf$NV+wqzg$~7KnFa{frmd;6=lxCs|T+hyn4_9 zIzR^!;6VK2s}OTG_7J+00CzlXoZ{!<6@d;sodfz~EcTG6TR(cob)y4xz?&T~JkH9& zs|c?myo%5PIzR`W!U5xBtz7ISbm%D@^5#*DpPg3`IuP%G{&qQ6X03CR| z1BU+!zaXzJyt?q}LI>yo9Y{n6jE~0(vB%J@M0AUz-Q!0)t_|15n;g&|ld;FpdvEfd zpOwDTf#h+(@VKl9uQI&K@G3(G=l~r^0tbwb&5E(t(6JA>ww`gT5(=&c(vixh7QmHI*^187$2vVWY3{%N$46!Q}-QBxp&;V z#B@M^tj3;0uM*QMel7Y$2k5|~956gyE6uA8uR6Ty&;dF?2a>^o_{VHP<}&O(bS@d3 zd(;R-#?hD#c%K9M<2Lpl@7sHxckVeIpaUu8fZ?%Q1g}24`ta&Q2j~DDNJa`e80St(1E0Jz}TN^vOlpuu|LrPIzR_p$btAC6=JT<9z{1@ z$W6|rNi&ynUAeCA=7996`znAviuIX2nhwwbI^c2+7=En0F0WE9w>|DQ^6>p~Os4~M zASoO$KK856Ud3L;agq+u0XpEK4(N~n*sC}XCB;0%uSu`y03ApS2Mmt^8}e$!k;+9! zs>D#8UxOu+4!FMq#>at;*|XTQ*t6&W9iRg)?tuPSkUh)&XAsVj+(SA*2Rz*Y!{foG zylQd8a`6$%(~l!RA6pI`crpi!j|rQzcd>V|chLblKnL8!0sV0yd)Jfod(K5%Cptg} z=s?OlV0diUl2(->EIr44_v_k|yL->|u0(4$uKNb3lKL$R5VI z$`$4+z6W|p2k1aT956giY|E<{M=&=V!4jf6|13)*9q?QSjE@!DvzM`#v6s;SIzR{9 z)B*kRB72$V&McfqxwmwH4tTl)hR2K@c{Srm=B6W=ryo;(KDHt{@MI1cA2)VpPh(GG zPoo2LfDX911Nvh}_OvIP12`{no#+4^paZV!fZ_3DS6nY**MvA40e(E&O@2Ry_9{c$9F8|SLzoU8b~=o=lN14-$C;jv^-UfnpddC16? zlt+eNn~-vQbbt=f0S|RRe|*Va$Jx%)XFGmA zI!*_?*8#(0%z?bxaisImk&Y$KdrKVmo_lX}ApUV?v^kbNk3Ek)j}FiQI^f|B#P_{Q z<{|8R^xeaKH_m)~%{u@c@LmVvdtaz|7<(UkAA27ipaXQkOB~RDq=miDduJfdzubE| zKnJ|d0h1;0WlKQT`zq2rf>%F|d|op0dD}7P=Vfc71CMqf{_$ssIgUM$J&-++4$uKQ z;AIZzk3rc3A3Y~b< z81_Q;LiR#BKnLi6mpY(79%V1&9F>qcihq{A&;dH&Ne(=GOj^Y}j#opDgkCxldeV{R zXJLz?15fXOem!JAeEKz{e_T5{KnLi6`#XTYSUBs!Uo0$Tp1><2uZX-N(g8X^2fW+? z{hG+0=>8)P$5iei9iRhr;Mp87Tootss>l)1%SS|(lV>X@TtBX#r#PTr7ugr-w5K@D z&q0^z03CQ12mZb?I_oH9KB#UerBbO3%Bl*e(yF5hSF=?awO5tZQmY6pT9wn{RC#T= ziqy`jN~V0OvT35KV!EWF%^|9)xxI=p&s5dSyHs@_e^tXLO4ah2scQRNQuX{ys=nVw z)xc6vHLIhTa z(-&1eGkmRjXYQiOOE;ar^U29TTU2n2W-DuiS-E6v7-D=iU-EQ`iy3@Rw z`m^}~^;e5l>TZj}>R!us>VC_knrhWa(^{R-Os%_V=GJF4pEkWT-!|tpzqb7}OWVtu zf4f0is&>~kYx|*EK>OQT>JBxvG#zGZX*-4xB<-9^gY;@VE(2RU>m_KK5fA^fmwa3gKY*&<+Bp(E3ni)^T4)%1^8OQ zz6ML{a}Depur$7{qZ5|0uBE!1DX=0Xqs-(0@JHF|Y!u!oYq9E0iiL*m1DXRIR~IfE7s< z19lRua4K1sQ((nX$-0~dD{74aI|EkSS`6$gSeP{y><_S#*0x~hz)Dzmf}IB|ZCwp^ z0jyL&POyt$Wdc%xT>=XaSO|6*EF$1luq$9?1NMSl1uGx00qh!Bxzt6#u7g!boeAs) zSY+ynU^l^{QuhbD1y(WjDzMvNl~cb9b_c9d+CZ>B!J^aL2Kx)FO4@Q@cfn%P27%oJ ztD3ee*nP0-Y3r-pHU(BK?KI_Y)4*z`9SLRvtC99gFf&-~w2Q%fz-k3zFKxbHbpx@N zHb1aBfrG#-VD$srfcb;fv(*7h1=i3O4rT>wV1v)L0I|kA;JHc{* zbxD^AEGJm^bSAJ|VBOLU0m}{6GhKVIJYYT2%Npbb>z!WKARkz-^drH7!1|`|0u~I` zC;g{j`N8_9e+w)GtX~G4XLo)0D zD*`q+qaCa$*su(Lf)xWBno;&G3~WS3*|*|g!!ynYD*+alaSB*Tu#uUDft3P#E>lOa z(qN-9-2@8<8m*jqVd4H|*X$sudd7;JWqWnfLf=H{3U z))egRoN2+Dfz8Wt3#>WVJ2}Od7GU#piZ3m}-p#oHtQFXToKwMCgT0q?H&`36g*n%O zwFP@WmweuKV2g6e=WP%6L9SU~9l$=!H36(6*y3E9!8(C0$+ZNmGuTJDW&OHjCyz?p9zu!ItHo1J(;{dG3i|y}>@ueFUrz*vi~n z!TN%&$dd}JAK2>LSHb#&t;$miYyjBWJf*+}g00CTYcL3GT^?D3Sg0JbaNIIu}zKjixb>_xEM`Mw654EAG?AJ|J^d-Gian*z2cs0P@}VEckf zfK3JaDF}VW_6pekAoLmAt6)C|q2Al3fgKD&y|+yVI}mgX>@~1MLEFJz2m2*h*5wVb zBf+vRGr$f9_Xc|t?6=^iU^Bsf4gMVLEwE$3Z-dPOI~sfkY&O{O;NxI(z<$qP3G8jK zlljr1ZF9j+|L<4`DcO62RoDhD%g8q=kgy0TLAV)NLH}- z!7haOf-M9)AA;G(_5s+X5X?TdMPL_0V<01a>XtbFd{~S3@p? zeGGOZ87x)k%V1xDr4Ahc zwgoI8bTio3U};0KcD8T8(iBP!_AQt#^b*)su)so6bb^{24*kx64>`(=?e7& z+YaU|v=M9vScXE_AKOl_^o6ZpKY(Q_bOCG^SjNH?!F~kGQaCTzZm`USr-1DN%T~BQ z*j})#g*Slx1eT*P9I)*J%U&b^>}RlCg)f5b2g_NcHP`{LJVm0w4ua(_@-f&iVEKwn z13Ltkx5zQD!(hQh@L6p~z=Dbv1^X2&q^J|@H?aIgJA)ksD_FET*fFpIMVEp74pyk> z8(_!5LW`aRI{{XtDAvSw60C5s5@4smiWSQUb{ec`vF>1Jz={{E4R#hRtk?>$Kfp>B zdkgFwSczh1!Onw~E{3(UVZF3cVc}pG!ODbX1;d_b;bFbOE`vpc)d#x*RyGWiz3nPk z`LMUau7Q;cy8w0_tU?&p*meUfvUoYLn_yAJbAsIht6020*ln=N#T$d&0jpGeJ=mXM z(Z$~d`wOf}@hf0=!D5PIFKqX~s+N$wybo5r1oqOdz^avqRjKV7Sj`g6!AxK^N^Al% zgViqaK9~3D!Nl5m+v;Zs7~Sa)b2@p9Gc%tVj4| zu)JWs!+!$H2iB`hFjx>+-!fPedoWm^GK0YKgY_@d3@ik!UztT<1;7TDnF3Z2Y(Sao zV4+~KWe$K90vlAeAXs6rA!V_4_99?|%MJxA3O1~4E3jf zVCBFjL>vVx4>rDBaj;0RiRG{t_6lInmm3XM5o}VqPGC`BFO*vjRtao!xtU;*K?3MDX!D@g_FFyyYCfKw{ zU$9zWua`dqRvYZK$Oy1HU^61IxAwYVZ$!QTRu61uWG^tBSMANnbzlv^W<|~eYY6sM zg;ZdTz~)4r2g5nkW>=^H)&y*B1vp@D3ifsd)LnZsuz3|wckMV|+B+3cckL~}=2ut% z))MU9ifO=Ffi0+T84Tw}d#@t;jJ*xm!isRk-WKfrim!mR16x#a5E#yb_CZDT8G8q? z4=XML>j<_u3Vp`j32aG4^cj0+u#ci*z`B5a5(TGls|wXVj(QEO8`#pQpXO-77O-8<+@;l!8TNeqxKnqO&8w$3u@@TMOU|&|= z1vVUPbLHh=BfvIQ$qqIWY)cglEDr3eDh=fQSH!+HBeupQCwfxQ5>EBXbnNnk%j zUjus)Y#564>6V1;D0&?WsBg>}9ZhRq+|@Q^9_!dJ60nu>DoP2g7;Q zevT;vHVy1xOg6CTUdVs1)BkOIOZbQn_$1i>;anz z_G`8BU~hpPtCkCF7TD2heZgjf9j^wz?Kn5u@73fSy$yD<8h&rb*VIl_y9D+Q*y(C} z!Ehe5Q`O}hy$g1>dJeGpU}vgJoqP}MTy?3F@Lc<&I(nY{eXtAF(evyJ!OmAl&$E93 zcB%SqF!-xotbv|qUkrAo26~?TL$J#=FmKpD0=rfNPTAq5cD2S~u#drR)Yt^}3E1_T zQo}w4yH(Qx2H&)sH9LVV1G`hR8rWxGw`)ob`yA}AnpitKJktKGc>-(&*u9$Hfvp6) zTT5!#s=zfSRVxD+{Lt>#>ISw3%v7ru*jg28(rQT!`vT0T7S`Cl4$NHZ4A^=wzgj!M zHh}romKydYn1AgoU>m_KwWa240<+eZnzI=!Rc)y`UxB5rjWxD!0Sl-jHRo%vw6&$? zd;^xIj;z(UV75B4R$IXW>r4Xs4$M&p=hVIp%w7lcf&F{1bagNv*tdf@>tfck?*PkC z=K|PHu=I5?AJ~5Y%T!n9gI!=5>&n{y2$rR;tUdP0l)0|V2YbM>)s^`GE|{{`OAGcB zSdO|^!1jSnKdFsW29R$l=Pv(PP!1C3T`QQ*(-ue!( z!(hSnZh##D3#wlY>{qam`k`RIf#t9NI@nRLg7t@i9Rn*+U*?0~!3x!v`QSKMXoC!3 zC%}r-zXNs>tZ;)`V5h)}H7E*p8mwr8nP6wYiZ_S@I|~-pUXIFw#Z;-$Phfw7MK@Xl_7_-{ z#xlR$1&e9y2Zr}(s@hoQjr(BL8)M#ZD6ndc-&d&}8d%N7FM{EFn`$(^0%iuQ-5C4m z@BypUBp;YBSluT6VECG*I!y+ES-|QyX#xgrs@G&8SSqlFO;GzBR!!be zr2}i#tPogwu(r+c?>RDnwP`jSEF)O^W^KSSfwgP)5m;uhj?G>H!#Ol{Xm$%MD_G}d zaL))aySO{3Z7N3I^02|oiO|XJs16nGuP_Wn* zaM@7^Y*5QmV1>bkw9E`v1Z;52abQKkhPCVpRt#)t%T-`uU?W=21}hFWyp<1F39z`9 zaNbc8Y-FplV5PvGYn2_WG}x$C6T!m4#IO#&jM=)wy6DcVC}&^X#WFP2e1#@e+~wZ zOp7~Y1M38~q=N$M4E9k6%nXh$V4rlr%;10@rjI+|&hF?2wzR{1umkY#i8kU82FpgKh5;0yY8c`!3VKo(J36WiZ%8upM2*wHLs4 zbrIJlf&I``Tze60cNcMOGT4t@<@3G-wzsQ%-YH;vy2|H$8Ejuy`MguXe(H+8>Uafg ze^>NX$E#pJcN5p9fgS8Bu1yC!&`n%>4eU@iaqV@mU%H8FZ-5=?Ca%o@JKRlNdlT%p zZsOWZuwT22Yj1%a>n5(v0z2AWT$>Gcyt}wI2kiIm;@aC_C%cPlbHPq@7uVhaJKbGe zn+JBPhq(4G*x4T98ay(c>Cph}J+N~BS0k{`!T#zM4z?WZ&tB-Ojul|{dSSmEE5YveLSJ>P z3S47Wz0g-3_?o8sz4L&r0Ws7t z_W`gk!Tfu#2ipi{=@SgL3C!BZ3bq+6RiEZyUxB6WQyy#!SU?}?(_e$7?IV5q8?ZEe zeg^v%%+}`%u&rQ$edY6h2j=K2pLZLWy>AP!@4?dbjRe~c=IkqddIwmBzHrpB6D)n- z{a`B)r2^XxmbqU`usvYe`c(ki3zoIt2Vg&e<>>bk*gmlA z{SJZs43?`OKC5FtSkC?hzz%@r>7NGdAXx7H(pP^0%h$gx*degI{l(qGV8Q*x-6LQ@ z1EdfB3Kr7;IM{Ds`3H!*N5KjX5OIH^6VJfji# z`(V`v7gV86oL6(T!EdV6P7SQ);E`Y^uo{E6gPFl<55^gC`he9Mk{QewtnT2uU~u1D zXGmQz3t0Uj#lif+>J7o2-I)rk;Sk)}omQ|0Lw11$fHfY1v*t_<)@Ug1?9Mb`O^4#n z?o12TWGL3k83@*VDAvkp18X)EcXp>8tmRPL*_{rs7DKUCPA6FFp;#+tI4toJC3s{F?@ZOmftn)B<@5~0)X*j%h zW(Vs!9Ns%~fOQ#;bMDLu)_pk6xic47x8YcOXKt{b!?E_xJYYSB5m*yvFj$`vt-$hw^&e3YECj6Ih(%xpzy^+(0)~Au4;XP9EEFtu#9^>P zV1q{DF61l>He_TdSP`(nBS(W31sgW96Id~@p(Ak@a)yD87>T=(6Z>QyKJp$|39z`4 zI4{nUU?bydft3P#F0LpT*55oTZWLHJ*qFEuU}eBY$L# zaaY00gN+}BbL5N!n>Y&R$XNmGd8J16wT!WhRmx^{R&+)w%~=aSFXvPm{!b}O!L`mB zN-f1prTm=rk(HIdN~>(jjvuOXs`U85x>PC?e$9+uv#RVW2VUjEpUI6ckQcw^!>_^k zH9vkW@Zjs%oel7-2|vKo5Wfb1Tk%i8AiTRq0H{!!eD^z6{P%#!tJZ7Q>((3ATlnYN ze^@~AfD$^xs|SBBAUvSVLssT*Rz4u|A&dN*RSKy5kX8Ph#ROD)$g2I#Y6aAO$ZCW6 z1x-IomBc!USZkPOjkAVp)=vJVm1^UkL#ehB z?IhYubdcyM(Mh7SL>Gy!65S-aOZ1TFDbY)!w?rR_z7qW;`b!Lu7$`AFB35Fs#1M(0 z62l~hON@{hDG?_zO5!<*(Gp`M#!8Hn7%wqF;(3XQ5-&(hl6X;KvcyXg4J{ujHAP;% zEHPE$6^U0Rrb$efcunGUi8mx>NW3XAQ{pX&SrW4)=19CPF<0U}iFYLCNxUmDUt)p8 z`w|N!K9E=>u~_0miH{_fNPH~uiNvQ8OC^>`d?xX^#Bzxh5-TNENvxJwBe7QE3yF0S z>m@cwd?~R}Vw1#XiLWHKNPI2vjl{PSTP41e*e3D4#CC}t5<4Y+kk}>hqr`5BJra8* zev;TH@w3E!i31V`C4P}OBym{ch{UfFzeyaGI41GC#Bqre5+@~2AuJ!thMtz+o{=~! z@rT4YiSrT{BrZx^lDI5!MdGT&HHqsIO%Rp^_%SuhB+HA+@`h!GvaGXwrYsvRo0Mgl zyjqP=m8_9URkTJZ%SFp2rNXU6lqzJ+qVQv7h$0fzC1NCsNz{-iXDz8Lb1m;ERnr=! zR4s&MxMhS=1+5t++ACF0{)74w4J4}JU-Pf#UtRgv^sl8-Jtr|*VvNLCiE$F+B_>Eb zFELT#1&K)#FG@_7cu8W4#LE&>C0>zuRbraNbcxp_UYB@7Vur+<5;G;di8T^yCBBeYC$U~)gT$8-TO>A0Y?9b4@s-5a65mLCE3sALJBe))-%D(l z*deh~;s=Rc5m%0It<2*TP%;V1QPDy=TUv`}KP#3vHV zB$i98l2|A4rNkDAZxLD}d9_{Q2Z=orKTG@~@vFq|5~n5pkhp}^Mmgp|Ay8{e%nE!t3(fp-V*&JVkL%3#7T^n7>Cfh%d6)lCP};`F;!xk z#Oo4oO3adYTVftU>m#q;lUOLRSVDHeEW2P{A+bhcgTy8Y*#&K&yppXjZ z!n{x7fW#pQ*$?wEgf>`Sosc*yabDtz#C3@~5_b_kCJA2&D?%$MF+zTuM#3SHULuo3 zR*4)EvNJyUB=SoXLTIu=K1C%;N`y<4m#8QaEm2KEcE(3`#-}ktlQs2eCed1=okVAe zZW28uWa)flA$;Ux`N;dzWCMIgNj&%h4}SZi{PtxD*$SUGB;JykEAcKun=G$n1AJry ze3nRjD)E`bDv5OxUrNXZ_{iF855DL&`Rxt~*#MuPB=$?lS@1a`aa7{C#3_XKs=PWY zaY5p;#5IYV60!?E_YuCb3%(W!D?)osUIj|X2KZ)_$Rd$bB9BCnM2JMFgd7cRro0N1 zkPYw+mxz#vl!%h3A|Z#ww}ymlg*IDW$$t1YlxQl^LPGY#SN6lVqeK@8k>9r$LMtpG z3+vlgVt_=f#83&@Bj3>yvOT^pNXXjz%1Uao6~3}Kz7Ia?40$CR9f|o8?@KI_ z_!yzdzWFYdSRt`mV!gyhiLWKLO2{7h${zX39%-`EzOqrihb4ZKI3aObLW-8JY>%&O zkFRWx?`?!8ZutHsaUX%dm4xt>@RtaXNGoBN$RLp!p)HeF*(7pG?3 zM1O>KKwb@!7$UztB=M_+EQBTtp_P)5)zBWShAf083!&YT_)|g_!Xyh}l7%qILTK`y zO;(9C5;lqS60!y+Sp!oZiTo1s$xZU1wTkkpw1hZmlFw_B&ufy;Ym(1vlFw_BYGIO( zYLbtNzwC!s@_9}2c}?vlI!Sbu=poTpVt|BvRMT*T_TcM1C%+vlF;U`0iK!CPBxXp6 zj;6U1?;mFRuBQk}r@Ke@>OPhACBB2C7JFxU!71 Pj91Pk3V-j>SE>I48G1W= literal 754567 zcmce9cVJw_wf8Msw7X|lmfW!o$d<8zJQasix`EZf3zljI^7mbJ8!h1D#rT);6Q zgb>n5!b>Hcl$T0)ypTsoc?kj1c?luCk%T0K^hyfJcg~!-v-e(&FB{>{e@JuA+$p~~ z^P8D_&(6K``G0=&6NX_lRG%@8=)l-`9sWfP(=_IFAL$<}3}ov1hl+*Kq5MEyTcI!C zeQMiq&-g$gYS^YR+ey-1I5yrtTF8!_8tQH@j1`JeBTU8hAFji{s1Y@d7?!(YtUEuD zH;oJ1gitqH=p86@7wbAGx}(2uC|?{OEvz?<@ZnR%!k7tPjhL}yk7>KOI(K|yDZbGizorzw!5zQ06u()vTxp7Sg{NjQK1}g7^%ll2lJQF^zCy$wvoU^yjBlp+1g8&U{9YM07eaVBen3s_b?% z)U|yXc4|Cg7S^r0bb8DluNte#@2IH^AG@}5e)gh7_|&>-S?i*)+!eJ)7B?e|d9$M{ z4y^0#+J0qCcGrBXG7F7^2kMV(y)pS!qm!;zg=@C%o}Zl`4=YR+?Jj$MeukN{cgJgL4y>7B_fMUlpSdy`J2uD6 z&a{%JT9@@LpR=a-z>MPN9XriX#}y&F*<5(_)R@`WYKEGRuB+X=;(~BR%g|J^XOCS! zd-KqNl_P^QrY)|Ulj&U=u8Cs$-l?&AOm8>muIPy#wpJYshZe?a4ww~ax0A5H@$k~{ zu4r?1_3)f|j@V zXlX3gWg@9l;cdH`ve}C1u~=+=)*9Nnx-DB%6S1bm*6v!dzwv5pZ^iuPY_c&<<0G50 z=j9vgu39#(Z@yDsd(YlVtnU(8-{SD>s$_1}jBt3N)ty~!En7Id zYFDJuo*mveYiM7(zjgz`->qw_bVr zl1e*lt>$uj?0n7M!7jpadPZv7%7Kn_&xwHx*Im;;W7>(fdEuBn)+Ow-Ia1ZMe1H1t z{`9hwZTr`ZZJu#DvSN1QcvVfTzh~xQGj7kGX+|@>o6juRvf`?hJ-I8FomjR%-Ls*( zt+8%)dT{If)~c%36=MFaZ#ApX&WH#5@rs7N!9$tx4b^0a>Z)i>V(mh-!_O{I{Y}+~*-o2p4 zT6VbWlG-TQ>uR)DRkG2ZT0eK+@T|21{bv?Xe`I8TG}xEdEUr7ya0KlW8J@HL>gIKA z`*w8z>b z(jNIR*`u5K`9yQNZS&x)b%%$~EQn&gSIBzjW4(_3_iR5@-?QO@2D<(nb-iBjWNhp9 zWm~ZPp{j+k+5MvY*zASb81eX$y8Y{pceS5hJvevW*oG@{eaO!j{LgopQ-!?_t~uO# zX3mzn*^Pr6BE^|gu>Zjsx7$Sd#r`X%?Hk;;ZoC=$f7$Fc*J3+PaXqtV)Dhc{>7pHn z){Jeqq}V9R*(}T1nm8uP!TD!+?z*ez#yH|_x6;4jghsiDr9Nz3%F?)T_ zt}{oYi2sm-f1co5`gq@&IW#}^9-5!6+O<#ErG9xfT-+Qfo>+EpO+k#0E@`hWGq&x@ zW!T>I;NCq|Rl8=#LRFD@GY@C8g=l<9eeB?xp1qM{+2sf8uWn7v-8;1JvO;q^%*=-} zL(OLvG!Aw(UOik5o9kv*SqrKLW)99myRANbymEj2c=MU=6D!Pk;Xvf{CYrxCTva;ms|N2d66d+oo9en6u09~~9GEe10-swwO`R?qoxHFS=fimw-PvW15P51N8^IM4KKNY!phwVE-uR~qNLs;c^nVxhXo?pbKp(??^>Uv(XC4~2a= zU!<>n+TI=ekx$o$()k%pCawarYf@I6a1YE|-+lA~$mc~Enwrm|z4uh%{T7xVUsZM3 zY^pdKd;M6)g_rH!Hm}N>n(S#z?O!w0d`WR{v)NQ#lU#eru9k2pykkjiO^Eo2Je!jl zIS^^nSlSaGoannPCHZ0cHH#BsBk+7Zr~)Q;KIj@p#P<5OKX<1KsUo?JF( z&G*HMoCe!Cvd-Q!CoXnwu&1pBX!m!ux~`t7}hgKZHD~ zp1Wmu)|!#l)qv%!A1PSztVP$W=Qb`sxbo!Ib+F5;V}IDhYkSsEm$=U9@%~|O-(|gM zmzt&v8jttQKfPyY-}+VfE=fm1ytE*|>hrTZQAR(f6_zw z894sY9zvgm^Td_QW)9=^InKPndJR5UrJbjymXjj`{oVb==HbDS{(-`dkz)Vw&{(Zk zZ63&vjYW+lJ~ajBiyD=tF;$bj^w+K=KBh@y`#f}twY7m;kJH!w#yGhjcU`V z^rea#(@bNEKdB4Hgwpo*_Y{vrjhUvAQrSeDX)L@Ze>`6|kRR&9=gH&4M+1VRu@GCUaGy(5BSrdAux@{d_B~QJ!8e((6F#-aTwu3sUr%AEfM7Qg(0dMs1L9OcwHdb znC;BwwzX`_wY6? zW-A(D^thz;pzz~}K4CWv4k397vtc?po^q6%XuJwiSxhu_Hn|IS zG%lDlcfmBP3iWyHSL1{?-T$!*Vew(h~}7}b&6+1^RU z+mzki)`>$mh%XVz_+mqt__M|w!Jt@v_Pm1%~lj8jL zq&UAlDGu?aHa0hJ%Qa=UZ_PEec6Q)=%Mn7ZWVx%eCAYVABaJSO5q%(IxPX@CW+zEP zWa6$tiV1C#I|rA+V}$GEGPdt#AP9oXXm8ovx?{TosUy3wUCCl7b3p=!1fSfvEt_lF zy~&vj;;eV%T5-UFqY&e%4w1GaheO&lW^T%MbmGA6Y-w-by|Xjd-mpPM6$Ix z+q|VEmnI`2wkc9~*(~LbqDjgVL8G|JokCj9T~J4EZx%64b&|DYT~nS)NU>}&r#xX- zIrB}EnME9%*Il){3*s^qjkz|oe<=Y^XbzH&&TJ>nRNQnY7tO@7<&N1Xs?>0&<0zI4 z;dBZ@*_mzLDk8kx$0j)u)IzM@QA?%9I8uxcYz`)H?8X|mP&6K|aX!g%k=n&_E{biz znHA$jQptFh1>@tbn|33=C7&Hd^4U=ZpQ~E8BcFZqxId2O9e*6nJN~$gCXVJEe>$3X z{Bbnz_~U3EcgL0H@rkiCk57!Hd3<6l;?%?Bc%cwlr)%b|+P)*le5a5mP(-n_wK*VeKb%WuJDsG5FnVj z%nvl@2L?LuaXV`K8CSu>!^6d~;%I)vG+yCG5b$v9eh9!~`-l46F`kT_r$!3F7v1n> zRtMmEFrG608aMu8{H-!#{2iYM^CKezr%a<38~seJ!^}?`|E$Jel>cQKq2B(X9xzSg z_gI=~nZ_JncKY47eSD}BEma#eL&*4TJ2viaYpKPbpZ!(2)2;_IVn$Vvx-gO-%?}pPwLyj$6Ww*d)v4UgV1k(>U@4sJOHW+va51M~C-oJI-0!CG z9Cs&4>rX7wZB-w0z^pc>#?2~o8m^m#p)vfSJKNn|7#r(9JWw!=)$a0rSp!R*Va|-B z9cCdj^F2MLvDsY^H`LYgf2ZUN%sFHo^tJ%n^2l&cbH4jX0m(n#-!&6Bj^iZCc`*3} zXRn{8J)h+<>#S0g7jM*Dpc}mYs6msaD z$`wXOhp~Tiy}cFYmAGiRnT)9`Bui1$6oy4H#n_)EOE zEKKT@g`%b`Vj|%}*II>%(~}1YUUYJ!sL74AqkTiUk)vX2@Au`$%T9h2HTjWno(fTS zGN7o*fV4{CG&+jzNcQ34*gEDb1k*T$Xjzy>G9{ft$eoB~9QT$%Nn{x$P6U!h9=VZ| z?qtwXEbXHh=}rbM#nQy1oKvRPkgeu_&!&Q5xCd$xtE=UDDKmbnx~gw(C}3Atm;twd_gz*h9KwQZ0MZNCywh z5>x2XM3@;rQxN4Fyk$@lSt*H{GJH(Ql$b)ICWDVDnG#b-)MP*cOedxk2XKB7PVs@^ z6F5!ds`*YI+7eeX#IFfl$si$SIc4>)%pL8=MdQRM-WDIG;@;~kuEZqDs3{KVB7E)r z_}dFFVR!n9C~+&JYq^y{vbcMhbSHzBM;RoGn#Hh@ANA!g(TY-P8tJ1| zrbH_eCuV3sqRgUUO~0YYCABa(Qar^L`n0!xN-gn|s3{I#JdJ9 z?;3o(Bi*T2%ew|-klWCtI~la(YCw*7M?G5mtG+@?l%nLC)*?$hwU!9fpe0a)k3gh5 zFtr3~@DYe~Cxezi4M+it#P z-e2YGebSv8w7tK|*ZZV98MM8>3cIsXEW3r1ykVIZ-rxIhmb#zPYZ``qECy@gq*%EB z;>%xR!zwKsR{7XKx&vFwhE>?*)5JZ_;CODZe+*aRzM(=7VaF$EkBv(_p-dX=KAx;9 z@q|Q81|LsWm3Ttp#0;yE3~>T0>^xY3n@T(o>AGw!iJAg@yjfl14T+izKHjV@@rFcA z1|)~Ljlvq=Qj|hz=B9N%bfF11AIm&hVEZJCM1Bsd zel%+N(dgp`>5gr+{Ak2hId#f*;?H58?kO>b5^G@l7}Ho{42hZyNDKMsPn#i1z3O{1 zZY(j0#EAv1@iB>X*;*1c8IT-Fnt|{EmA2R5U8A+v+uSuJDv_uu!$+kxB`T4q$$;!& zM}VwUI*lyftuHrTbZms8 zrbZu+)|Plgq9y|}BP{N)Dy+BoDl744EsE17Jg?TTM3$u7zCMbGIbK7Qb$O5s-aHtg z@}R8CgM9Gj!3dQHWnCWZ_9>1FXSlZ)-@8Nqjr9S4NtjHPgtD$A|G2*( zOr;7!SyvEp##=c?s5~g^@*r2lX2Aj8W?5l9;4cJIs6zD2B4@RyVv2C`kgTb=9?4Vb zxK9R0^WEgvw!Y*q$VE5Gp)1Hww|W=dNY>>c9^nsoxWjR{r%+)%>aW(tvwA(x>is;U z2-Rji&+3shv$acL|4=us{@?S%a1oC3>RRF_T)m5MBj_uyCmcm68|VpFj}#L3c)k6j;@PXh`jx+C7vm_orU~R~_ArhjoSI42H*!?#it+P}{L`vxJ>SUN?1k$h7+uuW<0lw-pjAD3f|1YJTaSxDbWvB2 zpF!k@R`uu^L|$gWpx)5}-ZQ7gGl?DZSMFjE<NEct|qNW~l zID7I?gi{a6x;)tb-X{ZoCb4Jw%W{#19M!tA{G=iOw5nfE8ge@;XKPP|eUZOf7l$aV zu3A5b$oH(O)pLk^%U)BtI7An9_4qkNu4h$`oPqL~e2In+{K>XGDj=y zUH+zA$flCoi)gp5V?`Pd0Z5ti#lvbiBkK}t|$CuxcEbEXH6O8O!m}E5l;I^*5yHd#0x_` z?5jq*;?ujg3>TTm`K&9$&m{6Wt9tcJBFA#6-oXkRpWD6lx_Cs1b@lprM1E*hubxNb zZZ6e}cD~hLuZu^NSXZx~N92-L_3C*aJMj149li%8tha#N1N!H~-(#X2S1E{F`-Trc1EF;ght{gwh z$f2$3*0YQp)=6$RZF3S;-|4T~MKnsUtJ+UA@@uQA^+Y4Tb+y{gCR#2wq1hkt*Y6@6 zWzyB}CmgxERsDLxkq_Hfzt~J?f6`ySi*l4nSHGWfnTT`Y%f(@^rDNJddQjW z$wLuNJtXV$5WU>4C-P>iJbEgT|Js|!MJ2kZs|Ts!&Ew(?`LT6*{JbIewZf+74LPN! zPw->YORE+3xBL)X)S-Mj2!862J6l1}Q-?g$6Cu#6v=#Paeh4o1P(B?5KYPfLtsv;x zLw@Sy81ANV1`byJguiMRp(wqkYVuxtI*lTns!7)6LC%z_=2rjCUyO@mR_3UK6@VM_F{W z`$_Eh3cV^kgEp zwYMG@lk`6AwBM&KBAj~kKJ7I5s7s%I!0%vGgm7oNr)El`O3_bG+<*sLyl}!56Zec{@KUn)J~JE%i|{uIkHtfC~NYN-&*Wu2h_a z^{(zTd96L9p$MmTy-Pby9&B$O*E~cQb%>D--aIa<=zZ8}a#?%xxY$7#b@ljX8uDAK z_UPF`E^BW+uIYp>>gw^cgZ$R29z8oUYbp$UeaBlBl0s$E6NJ3h-aIaX&_xYAzZ*O4 za$^fwm&Z>9a$P&v^lt1ld96LzTyAV}QCAQ4e5Grl7Y|P%-*bPO{Mo8{-b_spLr-?wVJ zo=fER_EzsA5?$0)k6iIq&#iyRUzCeqdS7_j?+X_Z4rIMAJWYOYu}N4?TI{1Hr%&i% z9|D()oU={v;-<+R?lFMNKQ1n63_uQVZyp!P^xp6^IlsMmFhaFdPcm|Fd-J&Xr1yTO z$=U7A;mzYBj^6v7_ItlYgldnTIONdw*5hJ`-rJq_d%H!1Q;*);ohApi zryhz>d5As}c|gu=Zyt9or&Eo5CDKNZOB z?9`)oL8r;%?4g3o1uZV>>hV*7e9WpIJr&5k?5zhQR31GQ$aU<^c)%Q;P6WpCv! z>gZk0Y4R|8^SG!(7j=OB)FEH8V`{x$IZbY4Z#^#R&_!K6e(I3JSk;5FE{~r&F6VKY zWL+L)hZmcRI(koW+V3eA5e_!Jr#MZXUr#+0q4J=t!A4$SZypy9=%Ox#JYm~ zjB&Ys)52psalIz*uDf#K-VFEoE5}r-6?z(xW7peNE*j~*z8UiRx+@nB&hW6eAdyN| zF64FW1!E<-+JRlk;V8S)4F>gS%n z-d}%-b|RCmejn{J+dA)#Lq|v*8GkzDa zh*0(DN#l0`XIvYdg{*6jpB&`&RrTn};dcRND8k93cL8U}zw5Dq%i$|7YOs-4*PF*h z4!WqzgIuVT-$E8&F`;Mn@c;S?axq4~u{lG&UvK3ua?nLx<$iLw+`Sq7#^wxpbiMVs z$f0-lX2`qi&EuL;^m~{yvvQ zlGAa6`C>PI3A!TuZGT~y(gC3N_-6bbUlF15=vhNf-3s0%j=t&ekNmZ|=%V-kX8itN z5us|;(}mor^SI_5y^}aYF5g+6Hi|FC z;0Fz8=8NE`_Piy!2&MNHXZ+q`5uwbjClq;s#cp2t4ffHB$P9nYt~pA-VLIb?6pIK| zGxASoXXKCnb7uHac-j05{UBUy)4Pi^es{5ma6stY#ToJed(7-|3X6*x;C`oY#^n?i zvMvvjN`6R=z5rMH#!O_HAAoDh(tC?Des8gePz}{jS;AXPFJ;l2fHZX#hAJX{)>0x> z4$8WQAdS2R!w8i}&oTf0=?q1vJSgkx@lRUhQdW8NjPiSsGZdlnpscCK??KK`gp)__ zLC*L+$Ra}JK}PB7@!t};_D5$(*5&cfL@p0}qcw7Z3FN zpEKkn_U3W%K<`1$ki*!U$HfEvPUj3ciM@GTJkWcPGvqDiPw5r9D3viJ_zr{I2 zuHyuK!wUxseHE%AQt%bxaxRP18mp53*n`~VT+Zm7%Nf6OSwuL@qKmqE{48-fmoxg! z%o)FPSwyIM^fQRxxtyU0l}A5Y_?^oc*QRA5>)L~)5K|@1RQ)tt%AE(1(|&`x=%e>K zXZ&7g5urfqrx9{8D*(f8059+Za8XIWojF53We*En4rg&uV*&Cni#G$w0`B@>>Msiu zI*p-By0ZN94*8i?9zCP{KIaTYs5~g^>haGzu5Hd4z0WyAZe_1+T#VBDoHKr(vxrdG z^y?3~n4NnZ{-#dkt^S%_RMKx@&XA+oi`F$0>Albyax{DMxEQ1NLTCJ5Xc6JGQ16A# zti|nqZN92pj%d8D?KHn5I^)`(EM!ebli%6XB$p#Pqu+^~A-A$O4@Rga>8BfVF?;j4 z$f4hJoFNagH;-#k(z};4%$?Fdh97n6DHQOF*WJZ2^e#o7^4IQSjo$y;;NKlAB2?{2DV=5f ztRbJT%7d~lkDoQ<5LS6m*5&cD#^wKQAX%3ONdi5%C0E^~;#dBCed6Mgep7G*IeqQ? z$^MF{&)*=fII#7u;Re5JSVX8i`l-h68g6jy02Z>Qss0_n4Xz!)Le}L$MtBJ7`n*Mh z-V5B|-}EaYRD1Lk@q2+AC_?2yIf368PvaRD1BLt$Zi8Ojx&*&6ZXU+Yb6u##7a|rr z=fSPVBoJK`U7Ro~qPSlnR6No@hK07_<9i+c;iu{K>z(JScVc#kZj822W%&AriJcef9q1py!x}_Y_+_1e@t#5* zj-g!lk^In5VPFh9C`@Uv^wSLu{n!(i&NAgCF*p={l2Jkd%mKq8PU!zp>+;Qkr*JlFWN;=(a>?p4M{c?^VO3=iUSx-8E_yXpjC`zemyzoo9_YcS$#)FWwVy~#_uzXmk5EWLa-P zUad4nWaEdUBh>g~*wE4Ah2ywi2~URUFP_44OuCOEYs78>sy4>)_}PCX=ftGg(&Jpz z31pb(x)`8U{wU0FEt}>#EPV9%;Zd|2osb&g@3i3nSCN?ZdM^BVXvWdwqj*SeK_a|( zaqEORgU`?r%u3^+yy6*>T&8R!W=^jrP6(wl(DtU_^JNS+|K;3#+DtHdoW3T9hXRY=+)QI#AdkF_@pV7W zX;c;l)Xivm(r7xxvrXNVV5^!-tx`n_3Y|q0mh@Ez(bq&@OYMCf*3dmX)Z5=TK1$p3 za(zgeqosB<4-9u7U9U>c(xI#E1^jqlDNfTjA3ukU>+0BO zHyu}-quMZXu+ZOkqPsXckQ*5u5Mx5zSvZlrp5S*FDPjP5FvZs*G+I$(wEypIgXr6% zcaW9efmT*F;c9WAR532Aia{mLf*I<@57(hxdT5v4CHyitRm!^L;MFv&p zGXO;Ij{Y}w-iO5?ESWz?{(PEO>BJtkl{#OQHHK~g--r1(GN?%+`|apFgF@fOdqt!L<0$Rt=-eaW2d zg=6FWcmP{z>eXh4=+~l;CX7h*>tJj%w#N)B`b{u)8oNlsy&x6NiP`wNEdnT=eC?d0 z4Mw}sL5aVQDV)T$1J|CSc8wPcbd(@kX%9qy7=0{`>2RwD7K*bso@DPYlw)i0guPB< zHx>F*QK*b|7Yfmzb8%1LK<5Lx>7Lt-kk94@V~??yio&l+;%$$J^cM6RF6g&->Kit% zmi}_MRN(;&xms}`E#3aosph%b0^Vj-EtY4)$s6A#draeiH|`wXeN*Z4CcttIJZD7o zbhihLecWk(0i%nhzk;!!rN4u5fTgFwILOk!z&IqN3KNX0ShB&$u@na5YL=p4%Rbce8G!=|KmZpPogr%8a^s_V@jB8k$1IAI7=7BN5(nVkl zvQz`c5K9Zd7-nf97$Yn#0^=AjQ?P17>rl5bPSBwurvn7Ygrlx<8>^Z z0OR#6odV+xEL{i2?JPYPj5o4$Js59d=>{;~%+ifuyoIHkz<4W5H-qsumTm##?JT_n zj5}C*85r+i=~gh_$0mkQ8`W6_UXX!g& ze1WC!f$>F_egMXoSb7YMFSGPxFdk;V3ykjxDQ1H4eU@x6 ze!x-~j32TT1>-T6VqpA;r34s1W+@5APgtr1<8hX%!1yUkQ^EKdOVh#lIZHFac!H(b zVElrmIbb}=(mXJJ$ zWSp%~aaMc1YVb28bDA8OEL~n{X*{1kxWNKjro7TJsx)oaqg_gt(^74BE80K1r3r7$ zIwgr}bQb1x`&t_q`=X#Ux$W7`);+Q`eaem;ox+U+VN-T@TPK&fSW~9BZ*-q*Uw%?K zaLOq)U4E&V@{>wal>=Z^`K7KdKWQT;z4RIE+Oj>{)Yh`{-$^WOTVBc1y5%L7_AM{5 zv~YQerH#u=EUjE#Vrl1R>ga9Rj;+$lbWzf@|O{H3I2@|Tj9$zMuZCVweunf#@s z(yqFBYa@A|o3h)t=9*eNJD9o`>v%5GsU*5(nTzVe*>7*_#?CEV)>#vZ3CWf4tl(Oj zo81NKmQ+zQk3OzdH&=>8oBY+D6`xoa2 zD>O9Qlo%%qz-okCl(zI*DRVkvQn69HJo7}?me(;36M zJ4J~=B;}z}h7tUOPe(XeFpc`N-gr7`#CprgmT1T%la)2*Wm;~E)9*U6%Y<#)a~=D+ z>HwRIxdH7inW-DFvOG$OT^=xr$b>t#w@G3I)Z5X#ePgbT#?o1bqb#m;R~ul#j?Qf7 z?hY4u0;;Cr?cz=Vdnu)tIspTm5<3hF7>;Pdo!REC+-!ZiiR+!do1JtH8T9sXQh6Jq zTR~gBE2wUY6iM9c*jW?F-V?p6XB7ftOr;@zR(trCu(P5saqq0ao@td6O$=V;IEh;2 zw3Ec9-MDxKuS%^lP4KGZq*AMrc3#|$BdNTHr&eFmfSXT$(tw*!f6@#Syd10nH=q7e z18zS3Nds;^{Ye8Jp4>^F@!@G=;(&*ziHQRqo+c)i_SH^at`26*(!%8>4tRK)2qWO( zX=37lhbMPpdL9UPc=9JLlfP7Inf#@sW%8GjmdRgAS|)!fX_@?`qyctq+mYRv>)ess z-O-ZU*|xhw8tX#My+~Wf?lj#eiy2!trD1|+oYI^$!Bd)(N=<3Hd7l!|w73jCjO=a76E2xp<*v4*kC+|5Ca$X$yc8OV=GI41EY$4y|PJ&69&*r)Mw+`=6dm-P?z7jciad-KX3h==0gxN%85f+oBse>`6| zfcrS>I**JFpP*fU(RfANxHuk(8w)7OjN1GV?hzaxs-@T0Wf#+S5c(_-?T2^bqVXga zjk_@7sdyz8t@1hDPMPR~)*_P=8I4!R<5MZa6qP~HfKti)j%xI?lw8ef4; zQ=5p|3mm;3%t(A?EM6C{M?!LT+}fnFkL0_L=KBhm9p9uVWp|=Q_QqIzbsXP!S%_Ov zR0U3o-qGQ~)}dnOa9clas>#zRo)KRc#~iq4%iIm|%c;_-apc=24rbiWSr{G457dnf zkK;>H{X@OObsa)%>mNdeG#$hC;qE+jZfAb9uTX3`*vJJCAvi*YoLo0C(# z^G`(J7^RehiTFT#h@>#?0*sFkQ7?@fOX9c{&T8G^sZH43-+}RBe4Ola9E*24h}Y_9 z{3PzI+lzbtS`j#YqQ=Edirpx8Eh77_jbBHj4`0h)5IA1<_V*Nz;Aov4KSSy9OMvEH z94oGZ6)o}XUNQFOT0uv%w2-UUgdN#vhHp z$TY4hm3nUI>18{OlNZP1x5Qt9tCa&{+i=hL08N80!>L`Rm$71gxHT4kdHfX+huBxC zk6u+7iQhIQ_Ep<3j41uX)0nFH)rOA3Xn%g7|5{!)aXbC|5|8_lzefvoZTZ2&J^5{g zV!k)uT^t@g6^*|EI~`vZb;X&+3*A$o%!&z)4EJooR}2OUW3_F=!$-$Q)=!)%T```F zo%p8J`U9@3I}Tri0}CgU2_T#E0|Om6t0Sr27=KedetZ1Q*yy+LEfzO*)A&#~4aSv7 zq^IL=t2WH|+i`*Bi#taPxSJMj`VKU-+*c{%ghk&Ki@!7eZrm%|Kgg5!z$siL+)dVC zlTi?VPyD@c%#Zus%UD-v9*xF7fcGsRQ{KyEQz;^@u4OFC1OrNlN zl{s4%PL3c$1`9()TmqZ&V+E!en)$Byf5nkycOw>@+r)ap)-Lspv&eineos7pDvle< zerNoi2#K4_r<9VhnWFLgaI)k6H;uI#(>?Fg_!VT|SEs}ttw#Cn5T73VCdGaoW4|#a_RVU;rr6oB?@;V_F!sAsV&AF82_IwU z#lBCmKg8I_ro_HqZGJAchoSs04F6S6T&T2TN1I+{4mzG%_XWVs#dnSFv;f znB6SR#VO2rPZaOWbNGH&G;tw5VxW*4EDX{ck&w6;Z(c+nvNEiN# zXXI!xSBxfB(#t`Ec}S$G(E0@4>8G&2$A|Kxr>NJ55aTN1)YFO8k_!335lF1zo>~j$ z2xGwcd7P!o>8h~d1~9MXxGbU+DAhCx^#k-cNngr2A z7lfapiuWfDfcX+G@DQ#}PM4j)S1AjS$Z?vh!F)NVISl4)EcJl-8kTy&d_7A?&@;l& zuL1MT95>)DwFf6UNDL7b_9uq1qdWv?&f~LM;uvC93yL5H#nY;o7>gxF6GbrJ#zo`3 zp!nn?ag1JRgv3cM8CR)x_p6iVXvD?)$8aLo1C!(-fdI`M)y+UzDoOp{w5k`A9Qoe&Z?6Ms7rFGBYfcifB7rNz=q!F&hX z`Q>1~hox75`9YRm1?Jr>{Rf!$u=E-*?`7$AV19z7H-Pymmfi^FgDkxn%rCI?RxrQJ z(%ZrO3QO-mUk}pGuoCYA^XnY!(jfLrH_F5Bun>#`D>Q$1C#F+61dTP3s?V1F#pU~KLzIBS;AGjqBlQQ z#P{opka&PAeh|!m^5xHhWwZ2oup%sd5pm+DPI@8nWlsJuSTVlz7574gy&6qCV&c1b zt&0B7nz(YYyesi&Jn^-}*TG70&EG^&C(!!^iElHg?|@asm%c|SPlGj$)gSt1cl^p+ zH1Q+(CCrgQbY@{6GXKWP>% z8&npb0_863H(c0n!Qz{t#P7l4`=G=h!CJ~i{28njEIoy@jWef+H%Frh^bTGwZe|ky zARm+kR)*953#`>b0u!wDEZJaP!BQBkW|pF0ZDJ_~)>f7hU~Ok92^KG!Pzlx^j;jLe z083NBx{9UgVDWkhGr{WRINS)oR@{@p9CE-Rw4;3CLa>Hdx)`i6mga+XoTXZ@u4CyE zu+Ffw7_1vvx)iJzva}4Wm$0+~te3O260BFTlm_cHEa3~9o&~&cay$yF!MdFs>5m!QyEHn!$QM$F+cU7fYML`frw6!QyEH zwu1FBj@t$nPaCiUtj}=VF0ekwQU_RHWN9~8JZ->Uu)fA|U10HK0SCb1$pQ|6^%!5x zf%OxX@?br|Qa4z?WT^la&jZj0)*m^pAFRK!bQG+AurvtPzgQXu+hXY$*kP8&z^-6v z9PA`ZC%~S<(kZZ~vUDBTGgx{q*mGFA9_$NQx&iD3EZqq9B`n?}*K1)J}L;q_o|=D6FzzLKRkfxV5T zw}8EqrMH2-o25Iz-pA5A!9K{+yTQJirT2hcVCj8eA7SYOVDl{<+zB?{SixOjORC=u zwxs%p!Io722-uS9_kt~{ejnJ9>K_MNQvH))OR9eg?Ay4u`@xn}e*kRB>W9FV-2EKb zlDl63TXOeHV84&^J`DB;S^5gtceC^e*!QsXDA@P0^bN2-!P2+DzMrM=5-Bz2llV{ z>L0-VElYm_`%f(W1?;C-`YYH^v-Ece87w^wA<5l;L5R7VG$F*?P1+D*?k2+!nl5rA zqY#?KQVc?KSV} zg!qvziLVE3=D3R>bOooYflw1m3m~+KrG*e;#wHg*Xa~nFflxb3OChwErR5OX&r%(P z4zW}ZA!ck6pAE>1=)QAyetlUFp`PR_ythuShEO+W#0hemE^RcqE}mSEvt)=_pS&C& zituK&j&4|S$%`g8K!}GyG7BLd2FYgMY>X$uMw2ZN8t2M3L+B(+tq|g|k=zQQGaR=K zLN~Cq141ugX%~cUVW|T`FJ);rgl=VNFNAnONOnQ!)f{&KLa$@#5QKQVBy$jYGsore zCphklZV0`d;|dUZCrf=0`cIbnA;e=Sc@#oChLVF2;xUvQh7gaTqFLSNvk*FosZEIk)OkFaz-guc$w4G`k_KY1gBc>Yh`1R=gROx_Hk zA91={AjD%R`4R~67)rhjLQnG5TOssomR<=VUK5hHL5SCcp$v*F%WM zRq}QS^SDaB3BopCeG7ymEWHhXxMcg?0r;~bd8m@_gs>cI?}o4(Ywv;ZRKEH?2+v^Y z0}!6g(wz{V%hFvC=9{YI-4LG7aUX{8LY6)P;l(W73*qG~-3Q^7EPWipt62IZgx9e2 zDG0A;>3#^yvGxFjd7Vf;1Yuq$lAnVxuM^2HKv<5tFF|-4=Y1H$J6ZY)ggaPz1j2h* zdKAJ3So#KpuVU$25S9b#I}q;WxbH#uC`&(p@DNLnL3oU%A4B*!OOHeNI+lJ0;WI2f z0pS~2dJ@7fWa(EBehEvzf$+;&`W=LCW9bhNeho{1g7E8E`U`~L$kJaS{1%q}4q?gr zry=}qj{6sc-z%h)3E>a0WJCBvEQKL_H%n0n-@{T2!uPV2fbhpy!q+O-3x8ayl73^g zApA+bP>oZEb7Q-w&>c-pgYakg(hQG(4KJ2{6`Sny!ybTjsaf&V^wew!Kg89{f$-;9 zng`)8v2+oH|Bs~_2tUHo0tkPdrG*gYftXqZ;qPosX7Sr zpi9+5mL6>TT@IN^3S_uD(rS%XAv2-~^qAYCy{863;SSkyVBumW@ zsb;AKBD|QTHbdkBj%$U;JeIaXga=b<8$@_8rFKAs2UBVnM3!>84v6qzO6`V7hU4}^ zga=cq3nDz2QU@TygDG_gB0QKL*zD=UJDT(x2e}dgvV{_c8Kt}O}z;sJZ@8O zfyg^J$J-#n<2H2%MC7=ACq(49eK&3>5i@k^JrKEzbG#2C|IN||Ao3BG?u5ukS-J}% zA7|-qi2N^0ABM>NEPVtb53+PGMEE{1bst3dJ}~uhi12-2>XQ)pDyRDtL>^`7eu#XV zr3WDLJ(eDV$PZci97KN1(ib2ihtroJB8St%5Rt>_D-e;x=@E#?;q)j((U2-1kMUw9(X0XZQJJ`Lac5K8n(ym=6()Ttx zK2*e?s_N)xo;7t>s^9x$p7oIWSEW&HR8->6pDy>E{En9YH#GOpMb1x4#?zH{JoU%Q z5JWE&a4RDa-zTtER#ZkRW7S5i(u8OYUj&FB;)^M~SXpi0{u%t~8u!dBcl{RijN1ip zb4vKwczyu$nQ19wXyRS5h{w=Gmw}KmG;w!~kTEpTbuMHK%|XyPrhh{w=eD`gBV z`r29dP_XEmx2&OH(bsHQL&2hN z)3SzwMc0kHg^t2vjj+%OSga8iI=)J1Bv^F*6l;Wqj-6tSu+S+{tPvJE9Evru6`_$}(HSeO5f(Zig*C!LN1w1p zSm=XjtPvJEu7owhLI;tsMp)>G5!MI`9Uj6OVWE>iSR*WSyob<8u;{Q3)(8t7!@(M1 zp#wHpBP?{T25W?c4#;4Qu+Y&LtPvJEuYxtgLdR6FMp)=53DyV;9T*`r5-d9Afi=QH zhdHoDSm>Ar)(8t7y1*J?q2m--BP?`^0&9eY4m@Cuu+UKktPvJEq<}TTLdOpXjRcEM z6=039(Afd35f(ZLfHlHG2LP}}Sm^8htPvLaEs9CUB_>d^Cki zUErfhTJyVM0fn&71_@X-`6b%Bp2d8rG0G|fw0;G>CN z>H;54^->r3XtI~Oz(>=))CE48=UFGouBRzq>H;54`cfD8Xxf*$z(*6m)CE48`lT-L z(c~|6fsdwtsSA9x07zZn6URo0`Gh39p5}hOP7>-0A4#Yyd?cZ+@R5YN!bcM73LidJm3+4Zy@Fg}t{SNKRmUEw1Mb%l>4)D=FGP*?a!LS5k_33Y{! zB-9l?l2BLpNV4l`jbMBvp|0?egu22<66y*cNvJD)B%!YGk%YR!M-u7^A4#Yyd?cZ+ z@R4Mv)%qbM)E#_6Lfye9B-9;zLPFiaCnVGzd_qFq!6zit9ehGU-N7d$)E#_6veRn) z5EAMNA4#Yyd?cZ+@R5YN!bcM73LiIxr8c3Q0;LPA~PBMEhd zk0jI;K9W#Z_((!s;Ufukg^wiE6+V(sSNKRmUEw3iPOJ4pNT@4(B%!YGk%YR!M-u7^ zA4#Yyd?cZ+@R5YN!bcM73LiqlCxA40Oz zYW)xr>dJm%{Yb0zLr8dC*-xw=X|;X`39l>riS;9`)(;`!b!9)Xex%j?hWb zv|2xeWT(~oAtcn5{lxl_R_lk5@Vc^}SU=Kg{SXpfSN0R@M_R2PLc;6Heq#MdtMx-j zc1EoqLPFiKpO8>@@CgZZ$9_UW-N7d$)E)Z?33UgbkWhE*CnVGzd_qFqv7eCaj9Nd0 zgu22f){l%@KZJzW6+W?kWYqd0B)qQhiS;9+)(;`!b%jr?AL8J8vHlAQuPc0F{Sb%G z^L6q3Ar6z5I*s3qT0ew@y22;c4{^}DOi$xioYO9K8o%OzcB#|&6^E}&oyM;?SY7Hg ze#IH-Qb(k=IP;u!@%$kUJD0k^r`8W~P&uYo_{91l4icB?1wOTYWW@R*j{BC^1wOTY zh=aVbJk`Hq{Saqz%k%=DT0g|G+O{|Yo3D%YL!56db(;Ug+0{}FLqoFk3tRsV|hL!9v}(+m5l^&=zJ4{`i5Ul-3G;y7ig3;U_{LmZlHXVm&3B-9l? zv3_LK`XMB|uEvL0Kg6lRvV76MYW>KF^+TKnEUydusr5sg`D=?)eEGUqKg6lLQWy49 z>xVdd7twSHv8^M^RS zl&_2DkBnMBgk)#b`XMCL)%XzWhd32f<`?5btsmlGP)x7vC!RktYW)xrURUEoJb#G8 zHf4FDf7SXSPSCW)A((t!Jb#D-E~PH|SFIo77)wmA`d6$U8MS^039l=BV*L;&Ny_p> z|El#v91n@R)jPqtpqXI8;&U)W70*M5&Yg#LV!`mO(=EhUvbo+)X9G0xIos0|4JMMD0P8PtsmkvKuquSuaHo8>?b7D z9sUUkb;o`}LLE`b@&!Ki{2`9O!|RUygk*~o>NvevKg8*CQWyBt`XSDh!}JQDSU94q)r*sEEL9P$#y3H4(-eQM-^!Dy-Nkwk%j>0A^o*yfkH)L7sUE0|R1ebY>EaDT z7j&o|u0B$2B&tX7UDl5NzM*_^d=y{e3N?#UVv-$2d=-3Kex#H3=;P1n^eXoD@xjA| zQ5jR+hHu^u?8&3GF@=nr!U%WfNArUP+#WrKKPtB3d26HMJ+%U=aelLV6TX#=$8EIp z?}>Z*$NI65?9k9~QM}EI38&yb?&4SxUk>0hF{-iyYs|L}4ImbJxehm`ix`A&)EJf# z!oP@7f!|rLrf*r`kDAr=*$NTjM=L~#pR5oeey~D>__+!Z;>RjPh##d8A%1&8g!q*S z5#kpmM2KIG5FvgaLWKAk2od5JA4G`Xdk`Uh?Lmb2tp^d}mmWlj-+2%re&s=g_>Bh< z;uju7h~IY*A%5LKgm_SgVOEc#Y#BSSJv)tEC^ykb93sTSGen3dUtm~GCqsy^ONRSp zctC~+Wq3%2SIICZ!>eVOm*HUl56bXP8GcBHc+P@o51z6hLOf$Z zgm}V&2=ROc5#s3zBE+*5MEE~4yjO-FmEnCd{Fn?sF2hgA@RKt9Um1Q%hM$(<{WAQF z3?Go;gED+bhM$$;=VbVK8Gb>AUzFjOWcXznJ}krklOdjyAliecB#02tNDv{OkRU=l zA3=n8I)VuCYy=U0Q-yGW@X&eLA+m=0OgqMBjzs%UBQ_aNEd(6sv%<1=-v$rk1blE-TyuHglgh}n{BK}Vp=F>)X z)c8x(tgRl$h=@VuTwZ+~|6hzHhmFPNh2}*Vn=s~^7n`(}U~R@9%=tK_oViPwuElaKm7a{H zHhChtRO4hoZT5g#qd=_<0=2GuP?t>x)Ri7kmn%?L1cBO6KB(-o2i2rN zH3xyh-K^zuYSXg^wON7M5(KKXd{A4TJ*YMXYFiMf?d5~oIT=t}J)GL5K(z;f!mY*s zU%Sr>s#Ag59Rvz@IhPA+Qr+hTwO4`K7X%77T$c;#z+}3w&C`7c6{tf&psp$()YZ=( zR9=BP90aPnd{BkSfZFM?RIdWn7X<1^`Jk?u45(cmP)8N0fgn(W=M~hD0yP{2YNUKn zqtD(_V+vF;2o%0YQ10kE@$5mJRG>};fx5PQQ0IVC*C|k^gFrpEd{C1beeE8ipHZN$ z4+4cRdX(FJ&!0^9c|qN%K)oOc)J^4sn$$Am1@%G&>gFI&`1(t^mYP)eb$Gh(76t0X zL7?zWobw3kr3%!`f-2Qre<)C|4g!U* zN}WeguT`L47X%95+A0^+?UU&~uch9oK)opl)SJr(HL32~?diU^C{S+=0`<1@3hM0& z)Ez;f@U^;fyYF3->Ao(HrQWST{bvxU_mmIneUkyT-vjFX3e*RJKz*=$P#>BMsH;7o z?oy!sD+tux<%62kt&11be=ATQ4gz)0c?I0#y+NDpocqEAi~R4-}|m5U5nypv-g7 zeU%E-lps)5WrI2wma0~urUrqURz9d1lj**G&*+<}K+OsQHM?w3lj}Y&s0$RRIYFT2 zo>x%w6sQY>KwVTms7bATUQ1o9K-C0+!f&3HIeA)>;?y-BPAyQNYJ))i8#~P=H6?gK zshwt4&`vY@40V~7njF!+pq400T^eMmrR9T~6sNqPmMKungFvk)81@KWkrMeWT{Xw7(oL5i>6{tf& zpsp$()TH+06+MMbACk;!ms++(SJ1?rk0P)E-z zr~w6PFbLF8`JhH7W2xgFOC3|7MuR|&omWsr1!_D9)ba8`ot%uNPIxSJN`bmI2-J1w z71U`3>bXIn&Xf=8d6Ti!Nspy&P@tY41nS1~3hD(4)J;L4URXY;7fr@er#zOrMS*&8 z5U7`&S5Plipk5XP>gDBwx^*&^y4GWddT_39u{uPGnY z>m~#0vJyW( z)D0d>sXcjC(4IUxQ?Fc0odZyxR+hRy$WosvAJl`BvDEWDmU>8m`fL!W&y@|zoYdBD zFR0HeP+tfFg$IU|+kIc2jHPb$SW4~5vx4^I(fNz#5!6?er5*{g)Yr-f_4UbE>IEK4 zsXcjC(4IUxm9kt*odZy6Po5RDCyx%uEEm-GCu6CbJ(l``>b@Tab>Cy>71WOus2>M` z`bqhqemWUTy~ty!pD9p34+8bXc?ID*AW(QFTAAHVrU~%Lny}$&9{Ndn~2C{$>Y#{muS2zW#O&K&@7mY7DXzp5$BRf^1IeU98u5 zEVWjFS{DRr{dom-nF4ir5U4B42ldEgIQ3eOr8X!~&j|vRJ+GkDck=A!AW;9tck*tZ zjHO=Zu~dt))TSU%cmQ>|L_Y_hwkS}oL7?y~>~cX(>ZQZidn~n8focl^we7ru+O9zD z2m-aUY*2RlWH|K(kEJ>ksLmizyU#1AJqpy`AW-|t2h}whOWp3V)P4o(KoF>d<%2pj z8BlNZfVxV7$_0VCx_nTFCj;tD9#Gv1R8J77!g&SNt3dSyfjUw?s7bw|@@9{v)E5Qq zpf3vA<$qDoJ_n%G7X|I0FACb_e^GF9uhPB6V=1+J(GJ?ZX#X3#7bo>5q!*Ohy=VvR zUbM^Ky=ebG_O1gws-kOevYXxH214iz1PDEZ-XZkfd+%lGT|^WGL_ky&M6e(tAR-_l z0zyPUL_jPQ0kI)soHh1pKX7)PlE+OSS-_Jke+<$P^TByOP1oN7oB#e7oGa27sDZnpI&s@onCb6pI&sX z4JJ-KC0UA}UUb@>UUcf8UJQpQetOYqcY4vOe|pimDHuy_kSz5yUwxbHR^K!Ft1k?q zws29;+KJk#FKSybmf9#;YC9LT!%o!m87gWg7q!byRI0wH-N9IDlVqtExu`vMqV{H} zsC``2OLn66>x()NjHRBIEcFT(bsMFe_BBuu9 zQ(GiUz0F0Pu@m)9hKhQZi+aya)ce|^oM(fv)U%SMKIEd#*@^lnLq(nEqCU10^@+Zy z&w{bkR>@NQje^b#cB1s(DCi7>DE>x4r`;O`o%(MSbbcL-rJj>4^$nlCOLms}R)6}! zAnGy~^_`ulEBc~-2*y&|Buo9sMg3$a>gNm<^$QpEtDUId^hNy-&8&NKYwkTI*FqYaOTFS*wFS_hbFS_(kFNQ-DKfUO(JH6=AKfUNOg0a-| zlBM|RMVH;_MVJ2R#c+t?rx#szrx#uNrx#uMg0a+2$x``EM|TylbM%7xjvfY4g}A7~ zcA|=Ci;4{D)8So`r3e>Q)J{~f3>B5YMHRObRYF^oE2z_psgkAm=|z{_=|z|R>BVq} z;-?o~cBdCz`llCNNx`hX7bHtn_k=77gaqNOYN2{RfCJF zX(y^yhKj1qMb)trRaakBP><~|N|xe36YH}3nOK+p&&0YK1~YwoBvFmHr5f8=s)@d( zng%0kuOzA&7uDQOR11AkErSuYPZHINi)w8rs*S#=w!w&cNfO16zq#y=zq#~}zqvXE zBWk}Ssx!A#7duOJ)wfg_M0Mk$y4#8Bp)aaeFqV2*vQ%#_s*jzhz8Na29~af%PSgNx zQISFY9r6LmQUkfDL3W}BXQ(KC{LN)|{LQ6*{4Kb*034JoHJn>&gq@|l+Lm$!^=blH z6hHpvvOE6f(m(#@3hJcUA<0tw_?ye__?t`r_**zc@#AkUyW?*z{o`-0X~D#)!;+Az7hxIfQ4Dp_hdx734nmU>9rQsEHw zFcB8@Q;AcA_?Eiwf>Fhi^%i;-?o~cBdCz`llDe zA&Q?~blII=bm^a7bOm*K@uXxaetOYmcY4vKe|j+-qWI}Wm)+?_m;UKRS5T)HPf3>I zrx#szrx#uNrx(K^Y9C*HFWIfW{o1R~71Zg)(~_n5=|z{_=|z|R>BVq};-?o~cBdCz z`llCNuLrZuye(Pk4L*Hu+D+e4{pkyXsAF8zaXV2b^hKQv#!_b_OP%7PPTPrkJ3~dC z;iBHL6ZNjPsNnwS@Eyrg{N0N#yLT_T^xwT04pIEwi!Qr&FS@Sc-HSo}(c!z2rTFh5 zyX<}s*`@z`$ie-2rY!0c?o*%I`P66nK6OhltM5I@QvCFy%kK1|>nct!hCviRz38$# zz394%(~EP1vDEvLrM}|R_qE;heWO2pVGwnRi~811)Mb59cL!ss4e+emz;%}*R*}bLGrT>=7;QkWwtYoR5`Skr_H+{eAPv6pDrcW028yEGvov1&w zMFsb+pbsTW@pGOoyK|l{{d1n-5XH}Vy6nz*M(LmPj0oyoLFYtEMMap?7ZqtUeNj&R z=?jA>7Z(*}C(5lYD#{a#qkkk>Dw>Omu@hxziwf>LQ__PE@%J6;+;#s$eH7Nn2D@axih~qGYK`TvTN{QB^WjR8=mjnw_ZX`l4zEW2rAB zOV#3{YTJpblcA#Oa#8i{MAg?86FTiXYRBvOA_5rGHE}xVI{O zC0UBUIy1`d)tOQHug(mIDE{iqD7#l@M(MvgGq{J*uO&Yks6N`FqWT51_kAl_sy`Psz)sY_3>7tqiyCYvYKXq5VZm7HvScZKb~wuJ z>~NI++2N=#h#JW)HOkIXqxCH{HW*8NCs}G77d75a)PxKbHIa*&WG8B}zNo3eSn7&o zsT;VcX?CJ+%urF&xu~1$M9t6_71Vb#-%FO7$wl32C+fBg6*Y^Cnr$a)j=rd%zMJ_$ zveX@1)I2*;^D|V`0xs%KJ5dYuMFsVR(T|d)?%|>q*@?P0Lq*-kMJ=`ywM1W3P~Xk` zBw6YKE^3*bsO1?d>On5*Av;kIYm15qYD4~6veYA7)CxOMk7lT-m0Z+gcA{44i|QE6 z-uH`SsmHmf)pnxRWT+^9OgGBzm~NE*G2N*3!C2~7$x=^oOKq^T)JAPfg+tUPF6wDJ zQJb|z1^3P9Z<3{+;i9(KiF!6eMQ!Dxp0g9ROU9!}6E^3FJsOR-X1@&j- ze@LQsa#6eNM5XGB3hK|u|CB_%z(wu06ZN9DsNlXC{Yw(Hhl|>4Cu(1Yih7BQ+HWW7 zWo=PWuLKc1BOH>Y4sub4>_i>TP*JaPQAg}Vy{0WHsIO}yA|y+_&PBapC+f`%6?K%0 zI%X&8xVEUEKJ$!-lq_|Ei+amW)X5ALb&88RZ71q&ZBef3!K^-~WT`V;)H`;f-px=^ z{6KS*-GSyP{R7QW9|mKoD9KXixTQX_v($NQONB!e|1tR}yC0K}(*H5}sLzA3RE%V) z3*1uwv$NDieM^Nw6#p^#D7zn%kJA4!`KWJ#v6LZMiXYRBvOA_5rGHE}9HRI!-6*?b zx>5Sabc1?uh=`Re#gFMm*&Wl3(m$pf4pID=Zj{|I-6;KIx>3Ie<5RgLOZ~xD-=B7? z?=S7u7u0)QB4km2b5Z};iTYPtlsh6AOXU_V<&HE(xt%tm+^!526~#rl?L>LBMFsWK zE)j8(rTB?hx7~?YxBiLPaEOZKmda&ksodI@a_0%gr}9Xaisz#8+KI}Sp`!TfSlxE7 zV|DAlj@4Z_7)!-VmMX$6MeHn9RNqoz5LJweO0W}ETwhelU@VnavJ^k(>9#xP>DE8z z=?;S^e$LZvch1wTf6g=FP%xItCs~T0^K{#t^K|Q<^9+ZmirlA??R=_|zE4#N#!~qu zOYw7_Zo6}yZvAtf?l6d|!7WwO&Qi7XEmbENOBIkT#m{-V?aq0+_0M^_!yt;E^K{#t z^K|Q<^Nd^@jHL=nmg46;-FD|Z-TLP|!y$^F^K{#t^K@UuInTskELBLd6hG(bwmawP zzKV06VGza7dAjY+dAjw_c?S2HXJN@w{G6xT?wqGv|D0zyMDcT;Zo6}yZvAtfLA_Ne zqKITEe$LZvch1wTf6g-;qPp{l-oq}U_tcK)L47w9K_pA{;-Y%niRzP~qWW@C{p>{b zzs919OO_hIMGdqQH7G+x4d$YT*ohjdEy_JSn226NveXDJ%4;WTq`s)pp^F;BMUAx+ zHBMVpQ1A1MC@EQLJQp>=PSnH<6*Y;AnrtU(ioU4&!AxJGWT~lK)D3o`re&xo{x)5= z-P?5C`ft+>>Q8rlG=1+e8MDY``Zo3n)Zv7Ln?%BckRB6dl zbGT34Zs${TwS6iaqWFnex7~?YxBiJ(_npC5s*Gf*h1^ni*;(pteM^Nw6h9H`wmT8) z);|&JUL1_2%1V}6!Yy^bou!uQTPh5q9^j&u*@;@NEh?y2{6>_MEX7a6y6sNHy7f=Q zhC>uT5$m=)5$o1J5gXih$mJzV@e{FbyA!c){S&d_5XDc#y6sNHy7f=Qx}OMU^;M88 zwT?UblXi~2Ufa>bA&Q@fb=#eYb?cvq4eE8Q5lNDz_=#Az-HBNDRh)>O7tHj@qWFne zx7~?YxBiJ(_ts!URg^6C9QUbhc0RRT+oythqhLg`Bx(m2^}L;^of#@>7Z;UkC+Y=l zQNbO5t0Y-!Hy8Dyov1w-Drzqmwa-q}OWLA>`h)w3%95q_b5Sqbi8_#>qF&*m4%&%2 zq%Uf2Fd?CeWU0ek)T?%)j%28)*SM(H?L@tyFDjV7T8pSES?WzL>ZqNlV;L&yI2U!o zPSjiaqJsIWwTNnxrA~5Dr|d+X&QMWrb5UpPM7^Ue%Kct2tFO9bsrR|459~yp%}`Mv za#82(M17^_iWh&-FzG^ZKxenv$jXF_W4dm;W4dnrW4i93j_KBtEX9xMy6ukXy7iCghC>uTrt7vlrt8)}rW@1` zmPFK+EX9xMy6ukXy7iCghC>uTrt7vlrt8)}rt1#sm~I`(Qv8^%+wPdITmP7DI7IPd zx^BB;x^Derx{>z>v-i~%E#+~T!P66A6FfbU+QBn&c`%~tNur!wl*>+3l(wkgzJzQb ziE?vM9y?Ld+M+y0FqV=<@ngCkyJNZ@{bRbGppNOLNS5NqbUk**bUpgVbi*NvAJg^N z9n=XuDic^#gr}^hoFg*djShz+#Ssbrb2!||#ddp= zw?Hj2-%a$t9TD*VeSdvc$k)SD$x|8H;F_nrqq`#_-_1~uih%!!cSLxqc&etOthC!x za~pKhqpadjS<6#99VMu&|ExA;I+YDPaKr4zTRWWaKZnDUT&(hLPgAwbL|+$v=N{jk zQ<$frqY6+_8^lCX8$biKLo-kF^dltf_O#UAtO5W`msAkON>)1a3P`&6(b9C(%1+ zPBmF{+ezS5lbIcE3^2kix+6^IBitm8Fdas?iH$H*ZI)T;0n$$%8^DY?KnwmbJAmjr z)ST(f!W@E`WsWEsT*EVmjWEwM-{EBOqMO47|8qE^lZz!(+3mSkJw&pv4-Xj8o;%Z) zbF^n6)M%)U_S^-7In(@l0lTH#PUsj9UE<*)y|w!S+}cw0xan_gF`p0V))w2Ga$+7Qv62dVYgtv%#u0k=k@BHYq)80U9=4sYC%0&vXO(9I^W`|tIxJ=MtbrPxr#R1A zsKGCRKrxtS>9_N)RykHf#!@NW(LTMPf5U;;O(J#>p&Sb7hAn%cwk(5D&Yv!1PK z{xb-)P&J0{o_Bjv)wH#Jz4-kao^5GnHav6yYG%W;9cuJ`4bKj!xzc>;IW~A+7eL~n zb3Am5hYr-AUQplL9<>Oqd)w>Tr*@zNYSQ!$WZqdczq4rHotX|K+y~slE1rYt7GtH# z#dmvN(;bj5JQ@b+vNS!GE=zMU9x?}pMQAQa82EM18!)jnT@p91G@F4BIi6GrO9szvq9%0K6$6- z{^0pB&2_Des?sjc2ixHmHL_#JkB%-N$d?KIlon#3k*@5YJ-?(aLyNlD zF3*Sh)K-@4qjdCB&hBqov+L-u1plE29-svOr3W6U1plK49;5}5WvU=btN^p5S@Khx@ zNe_I35**+oA^)Ueni5=DZ{!=5;HrAy=}K^QJ@8FRa7{h%3?;a>9{6S@xUL@f7A3g8 z9(bk_oT3N5RS9mS2fj@SZlVXCr35$A1J721Tj+u3D8a4tz_%;GZS=r%mEd-I;5(Gy z4tn5uN^mDV@O&k>iynA^65LG>e5VrJLl3-A3GSr_zDo)2qX)iQ3GSx{zDEfjpa)*0 z1P{^!->U=<(F5P71P{{#FIIv_=z*6g!6Ws+_bb7p^}tJ&;IVq(2bAFPdf;VB@I*cE zawT}O9{52ec&Z-wAtiX49{6D;c)A|=5hZwr9(aWke2X6VQ6>0RJ@85;c$OacF(r78 z9(a`!JXa6=xDq^154>6lUZ4kFqXaM11Fuzr@74o9p#(3|1Futp@6!W6sRS?41Fu(t zm+FC^Qi7N1fj20@59)z8D!~uyfj23^EA+rmE5R%Ez?+reReIoOl;G8R;4Mn8@Kz;wy&m{EC3u4#c$*TuNe{eT3Er#+-k}6<(E~rP1aH*??^J@f>4A4C!8`Q8 zsY>upJ@5-kaH<}7w-UTt5B#DMyhjhbM+x4i2i~g$@7DwGQ-TlZfnQRB59)#UE5V2L zz%MJoNA$o4l;GF(z^^F5Z|Z>$D#6F}z=xFJ6MEpoO7KZN@T*GjX+7`}CHRaU_%$W? zT|Kbs``Mk*@9TlzP>y_75B#PQd`=I1R0%$>2R^0*f1(FIt^|Lk2R@+$U(f@;r37Ep z1D{laztjVtQi8wM1D{rcFX@5bR)R0vNws0aR52`;S%zN`e7)dPR01eezXUr~aSw7@YH)#sRg3;rBa zOtPBtj}Ry;sVV;ofwHoi@}CeWt0*a>9U)LwRZ~WWKv_*q=?a0ex|-4*0%Z*~WpoIX zHPw_x2$Z$dl(|BntgWVu3xTqZnle5F%DQUGd?8TQQ&ScQfwI1uvQP+=4b+rHLZD1h zQx*+@vZ0zXAq2`sYRVEJP&QUmCWb)SL`_*b1j?pr%CaF)Hd9lU4}r3|nldQ_$`)$M z0%dPCWw#J0`=}{P1!31%6@9fJ|R%{S5x*2fpUPFazF@_ z1J#s+LZBR^rW_IiEyMNPRN1j?yu%7r0N-k_$uI|RyUYRW|+P~NDf zye|aG>1xU)AyD3=rd%2VDc6QTIY&*oE(FTk)s*W)pq#6w+zrra3<<=tw^)DS4|QB&>?fpU?Wa!&}9_o^xP zg+O_qnsR>#l#A7r2ST7+qNY3;0_FW`%EKX0E>%+=34!tfHRbCeP%cwbz8M1Lay8|# z5GWs1Q=SNc@*y?l$q*wNij3Wfft!m215GbEhQ@TQ++@_{-1<$g6~=@2MiR#TP@f%1TwvU~`Xuc#@Lf~RyO#~e(19j^8MGs`m{%3xbgyjo{f3i2xT+HThX2UEpEdFaS5tzI`h$HxKjaUtt^^ytbN9RpyZc<;V?UK2(4|@&`9pf*Z!1SAak92e(jy8^?UA0DtZeZm9$}jk%xz zU-SpJQi7Yue4zk;r$d&ZL&om%Tn^8W z@x;i*H-|)KR`?-=y+&OB7mRp1F%iOh_8UR(ynHthdgq7U1^jzAh28~u_!oK?hTcW| zdpCpLgvWcKcQNRl;NQDB^e)Z=ywJNO^iK5e-2!@-;t^fwT?TrW_3zyhdY9uNTY=(IwUBF0?lg+WYz?XA!>NKl6EM8-xAuR@z(tjY{$HAf%sC$N-;^K?)&F z0tjgmZ!5(2n`bsc1}lXO^$8iS5YjY&kf!!R#H1s=MH~@^D{Vc+6n+kVd#1O;aby2v zpWR2lU@b89XSX&k8+PX2-xcPuFb63n^=-43Dn4P8;iuh)!#@tk6L8@_A z+LXoDiLWZm*nJ0#p1WdROAky;2dt|AzM%uGrvM&J2Xvq+0PudaeRJZ z=8n-3Qldx{L!Tf~0^Ci&nkGDLm=q83u&yVGN9Y<&qOGpxNKN94NFy;Dyd&A5>3`TD z|E`$#e6~9);hOyiuJEpyvp(F%60Z4w;EL^v`N)U6AmLj42d?C4Kav<>N(^G~Dd{P=U7Ps&f!*%cT`^yA z-dGaLcz-9c0&gyoOUc{zKX|_Zxz3c_Bsb&yljIgS<4By3^KYL+v)jr;^02P|k~~7! zcoNUM{+*l}8<83tnUa^}rCnoPB(KmlAIWER6)ez!#a8vgi;V_OBQ-WQB|pi}ICGQy z0%rkIKza8a;)e#bYHYl@B09tul~x3`NI_DNjgyZQ6vin;3UTeQ#C^9}m=tDR3y{J> z*CM0{@7mK;PY7XM3lSo8ElP?i)pv|<7Fc~@Q+>yHl1_awQjCp5NHJlY1d_ldQT5EA z#Yu72wHPTbbS*(ja7jnZkvhdENFy=VDM?B)d~s4zz$X&eV<1SymW2OHjV-+^=3DB( zG$f{!BBdCjEGZ=*N|Vw)MEL`;$dlg6p*0l+#AaqS4Nmf^B_&I@f z7M3~=4&1SsIe0}YeB+iSu3w&bzt^3yJEfr0%uAk!Zy9w zcEsBCVpA#;-@cbN*Bx2cDunHPv7L#y^;IQReO7>oLKj6>K|_!DI)Nn=Hr=%vsm5-w zJE|t$kQz);Z&E{0RFl;7ncTMk z`lZGWV!dmTTCDdFQcLJvo7DE}4OuClot}2S%w4n&sl%APq>jK`m(*2Srf2-{z&G4% zSIqamo2y6aG15__on+|~FRhzvNE$NcaipQZ z+=#$)Oj;@=Zl=Bdj|56|-w|(wy1# ze$rg9YYWoCH^C4u{@xYyv(G78l9sIR1Ei(Uw-sq6_WhO7wkEKs!6TQG)STj{X8j(LGSL=v6XF*k6}6v63+ z#SaPGF`J<_JA0gT74EDX>BjENpxz^L=5=fgObP+jN7|iqXQXRL zcY(AA=@E$Zgbk^=j)wU?=Yf~9q^Kw9$p(9Z^b`i`MS6*I!)LNL>CJjSNqP&t`;b0j z@ATXJi1@}f5=|+6Nnb|(6zMCF_aps$_YF_CVyqnz?+wfec}eU>!SW;WI_?bITXZGp zIX`gEHm278q(9U8H0dvB9Y6-8H-zZYz`isvyPu9FfqOz`^gGXM2aPPIG7A(y`Lq6h2BHR5V5y!3b&beJCqD%m>p!OfEh-HrN@Zb-N^U>fp0-vY$M}q z2kyudjp1ZCQ?Zi_7gUTOBbW;M=?Bk+y~NA9rV_8vbtD;Sbrrm0WM0R*z~dK|d)^Va zC!{Zb@>v;0MllJy$tXd>Xfj%~8K0FgWDM)Qhl~+=k0oQp-hQ((j*MfNePo<~8BfNi z$B45sI(|^#TY%?XUtE|#CNT2-WP(6GkxW!taJ22JX(m{3v|YAMm+b+uvu(f>mkS7Z zD)3$LWjl#XVs~|rOcL&DGMOCcu66|`?I8<&K5$Py{1h^U4S$$S5r&^irly~<*JSon zf!Y1c@K)fS5H;X45_ojDf!x4!yg_adbW9`Dd}c`d*oEy6Hxl?H7!sMIsS!Drx=FE+HTWkCNVa?7|@y7z3&s_*}toN7XcA@uNGFP-Y_o+L`9jy1)+@0i3HqK>or!dY! zvQRY8_ojjFB6qRgKa#tI-glF`#areEx`*7udjCxB5qd8oi^SeO1Bv^vh$Di!O;Ml)YWB*CHpWIKo z=5mnxg|17U<~=l69U6JvW_vNef^*Y#w*)zuvAM)hD% zk*63#A@Y>Kuz_r_7~sy>U>nIs*0l)PD0JOKHd$TG!KSMRdzw7W7>bgo1%}OJv&E2{ znkykSSMii*$TO^KN%D-)bqm?z>q_@-bFiD#gFQ>0WelarvjW3bvNio+&ynX?*D~Ze zq3brXE&X6K)Prp&+ZjVSvRz={uI8QHtR8F^*~J(t zl3fBrDoM2%Of$YfUSM4-kr#xnyUFhKcXo?sgV%cc2+@@xE zg}lO8>X26imV@LVW64#|_obaPjWab?*k@lP0Uu0^ago@F|;6W2n=tMHyMNZ z&AKz?C^^ddwIWA_e#gi$Uq5m6%@Id3_{MXb9A^w|$Z>(;1Ucb1(p%&$)~_9TOXzo! zoV5Cx*1KIj(kXI^F?1lO1cuY(w8daXu(!$EtZOIow$SwqIb(HA8w@^aQ;+rzd52MS zA@2wj?~->d3K)%jYW5y^k9F-v-V?gMPu{n>^3m>4kM;rifKl`y9|#m@$yr7bJHYge z56OqDUoY~Z(C-{M=j)gDB?%vG9{V6UEw{D&@FVgOW9dUa5?IcY^Oj(6S!c?}c@wgufDNQ$)}8B0Qpp)_>6pJQLv#tC!e!^gUIJXzYFAoub<$Ekp8C| z%9-*%@;`zCw9OA^1UyW(qDxi52iXUbRPD~2CI zz7p_Xldl=xT*WLa`-XhOx{f5@2wg9cOIBAt)ZOAxY_6Os-;!?`el+=3z+WbpEve>R zeMi1yUB{B|gsxY}6{{;B>K?^V-;?hdemwbJ!2dvgu<+(kKaw9=*NNmuq3ciNC#x$T zYLWj?zP<5h@-w5DOnw$9ej&dwidZo>{+0a7x=tm(3SECAzgb=RXp8+l!#CRRONkvOrwHGEo6tsm#lOEH?mhGqQ!WCXvNK zOPocPww3^t2QK7cpu1^J0>wj1yanP4mIqRh7XWz~*&_*fvqY5=On2shE1L|7eKs!N0eIN_>5gf#$EgAs0~HHok$wA7Rc(^hLOxKN9M zw$Pdcstql*Ef81mcpwFJ08odKZKXAdtS+?FwaBblss|V9G0-+zlR)*MrM?B?3RVYF z&;S4p7}*Y5lgLt_CB-7M6f}ej4H;-Btx2Fp(9*~PaRqAvDQFCU#*8eL)+Dkf(9*;r zvlKLi3r!hlH?2vaX3)~i0&xXv11V?@faZ*B53NaLEuf`^MP?~z2^U&2&^}s|K&_yq zl?CDoo(QC%H2_*Ovi-Csk+p%AHWrzspe7t(2;=-)0zb81TCE`5LfVIAO)QP(3z1Pp*4xD3$%2x$Up&P_TNMP z&=oFpWuVt-O#*d;mTo?f^aQm&kb>?2=+4OAq&11G2ekB1Dd-6odNR;4T9ZJ%prw}u z;x>3Hkb>R-=*`GZ(3(Wn2U_}AWR?y3!iByJbduI2P(NttXMwna4S^K&2S9&DcAC~C zvH{RCz#>cg^bKZVAY2&8Kxb%80u6$eK^BNB*ceE`U;qqeWbe|NL^cFkhFD~l4Ti#n zp$zmstx2F^&@#*daRr+KDHslb;f(Aotx04fpk;(bW-0K(1up}gqcsUM5?V%DAg@!-E$i_p< zc#F(ZFaa)1V4w@MCV?hG%R~#r6+9D2!6X1oVq_O-O(L5NEt4%W%M+)-g((d5C9O%I zsn9aj2a@8!mOu(_0Kg55>}y(+$fiNdG?juI;lhm!bcxm^&~#{-u2Ar7AO$x8;3h_P znbst-8PGCArQl|`a5Dp4p*0C~3$)y#P_Q+Sf|&rA$;f`7HHqw2Xt`CT;5N8$8w34B zYZ7P{w9K+V+z*}$q+m7xW;3#1XiXxU11)nbGRqHchYPne&~LORf#yQXTnoe%Yzw5| z4glQ2$o`-;iEJLU%(KWW1@qy;d^w7nnd<6v^=a*@CaOZ zgn{B|O#-cemK7F=+aNWNf=2=HC?m^9YZBQ?Xjy5ISvGhKE~&pdz#;f!0FHS_{M#><*;h2>?97$coaM zM79oE)>&l9sksw4;fsL?p9Ij8jIac)Nrda6WxYh0$O-oZB76!!Pcg#Mv?dX5fR+s+ zp;3hs?hQn^5kMOmVOd&}2sc5?CXq09F(=#?i129uJ#zX-xv{fR-H=h%0zGkb>s{@H``{PHPg`PH5R_k-^e%f&q3x>n?_< zNox`!6P4uQ9SNv?h_g4lNLk>38_TH(a3L4Y=?I19hV{3G^nkylH{Bg4g4# zTQ(3C90kBpM%IJYB(h`Ba?BzFAEe)Ufr8_3;Wz{JqBRM00$NU3AgUotx2HM&~n-WaRqM%Qt&na-ezP2XiXwJ11)EKWb$`G z@4$t37-$f!NuYP3XmNcCfKM6Ocv_RlK7*FeBn31g zeh#glGsHw%lMol6<${Ia!@m`1`2PXme~fH0tx04Tq2;1=FIIH_0xo>PKvQW=0(}WB zUs@op;ADIg^~(AR0ADe(X|yJheGM&NTV$4kZ{Wf=3^bkAB+w;jxnzO3f>VJMd<%eY z8QBb4lgKVZ%VmqqQt%yI_>O^Yp*0C~1zKRum|w+k1*Zee!uJ69o{`;3YZBQH(DH*t zW-0g)F8s(qvuI5M{RAyPSsqx|wf!>yer9BIXiXyf1zLXbk@;4Zxy}9x z7k*`+xwIyMeuI|Z6bjC;KO|Bp_#FVhGqQQKCXxLCEq_>KR&@CjF8s+r3usLO{RJ(5 zSs-qMci6iV6bk+Zz~78)A+1Sd|3J$>7MZ2sU%2ou1Kmw)A}G>vm_#}(5LfVS00of| z0El2@i)c+Ei-eX)i;OFXbixHE1Kme!637KDE(^pJycbA86abfn${$;LeNr3rJyieD9k`> zX-xtZftDf)1?K`pq(}k)!pPRqnnYFh zq|Y9a#i6x0Lu{fo2~h%CN>~U!{CR(irahHLmIOdaMz)#OB(g+kNn~Vl79Cj%E|g-R zEwmnUR@id3>8=WEHqjg@Ja{ngpr}EmbWLx55AX-O#i_H2_p&WP4~$BC8H9 z)qP}XYn!@Z4QQ>w5c_CNLezwonihf&e=)wMoNk%J*8)H-Mz){UB(mDjQrjZqsYYZS zxKM|I4$ztestYZ36$bdie_@*n>H(l0BRfcI5?Os{sV^!pgByH|5ZQo%4%3@2NG zWZj^pn?+_R=nfaUGtfC&lR!P7rH2LL3N8my&=UYX8QFPSlgN5OOD~JeQqUVN^k$$> zXiWn3ftEfNh%5Lmkb=Gd=*!4Hqcw@FAGGwd$Sei@;X;1~xXmXNJ9ZIl#zW+YZBQoXc=aa zSqg^3h2acziPj|02xu8$fw+Pn0x9qUz{|)k)0#v!5?V%DWR`+aaA6b!U7gweDn5zc{@IhHzbZR?A+KLQcn4xrl^p+RdB;aq5$D-l{>wEY=~@D2do z!3cBFnnXAcTIPwj%pQ*BL+gBoh@&+Lu>e{YSO~uI{_-C_Ez&(sC zfz~9lMbNT{k%@l?1q$wk3->Zm30jjt_d&~j7Kkf|5EalTBU8a*04!!?iL@q>ErFIL zjLdv4d7b^GN96r*;eG}xO=}WpDYPuLKwLqjsDOSHZYp>H01q&-va}|VErXV278y)I znu6tUVL1bpr!@)mAhbMafw%&vzXCR&4?*vT7*i6hNlXtz%fl9vrTG!K@CXAX)0zZY z0WB*m5Fb9ufB3ZTiy|Kdz@v<;GObBuE1_j2BTIWgXPO^_*2fs4Dy>O~RnW4^Lh#{Z z0uBE-03K&#)oD#4TMaF%efJ_f7p{TUH4IUc)+EGQXjy9^`0z%c;hzA&6O61jtx06- zpkG1PcgFkv?h^lfR+uC0vZE0LhD9` zNTD?eu?bo>F+|$SN9lVuxfyZ=67e*^o@SJdXicKr3@w`_5m(q(w2{xig=ZM339U(> zEzq*X0&xYo11WeG0M9bAX0#@eZH1Pt78y)Lnu6!x!gCDNg4QI^HfY&qfw+RWKnk`4 zU^^pgMQak-4rtk7ky#3!hYQa$P#ap4Ks%vjrv>5)@&r<_3jn(qSvy*j$Woyt)gpua zlK#aqc*6^D;ROcjKx-0cH?-`wKwLq5AO$Z1;6+B(iPj{tJ^hU2>>rKvTn2{k?n_;{T7*};AOb*G6VIXH3@V8S`Jtst{`6^1+M_$ z6-L&J)+Dln&~nfsv;5!?TsXu)eP~Sr9fp>}7KkgzA4tKg0C<&=^`kY3>uU@#fYv0$>(B!G7X4a|Mo~U|fk4B*0f09c*&tez$lipOHyN3k7SQ33LhDh6 z7(#0j;uy3Xvk-jvf`NuV4uIo~Y#6OcWGA5Ighd8-pSB~v1sC38pb@ktflflpNejdk z6cQECti;?BPXXW*BO6I;64_~JIcKrhL}cc z65~usKv$pzQU~+c7FSRzkb>_4@I52DkJcozAE4z2i_B8+ zBV72AftJvk1o{bDezHJZLFqsWeg?qLjBF{bNo2o3%P$t0rQlb%@GAo?qcsWi8?^jp zfw+P)ffW1>fZrL}gR~}*{Q)h1SY(!hKjFfk4D>LqNua-=^PRC*5bXXv+pj-e2&IkZRFtSy&CXq!#OQc2S3r9{T zv^p7LHLXbq7qqx61RuV9py8tc5XH#W(wao(h8DL)#tq=~zy%Kjt)n#w6b&uW7KkgT z5J*7`0Ad)~dRmjn3}`VJnXnx=W1%&cAvVyOgvbRgxhw=9J}J=fxdD)yk!_+ii7XCU z;w&R&3P4K%i_B6`5H1vCpdGX(feJxOAq&J6R0^b^FaQcOvYoUhkrjcK zA{LpYfWQU9K&iAQfr>&)Q47QsR1Tz|7yybfvfZ>MktIM&f<Gtx2HL&{En0aRpTaDJTPgGK}m1 ztx05Mp{1-vW+^BK7s@fvL0XeQ<)Nj#1>y>-1yWD}02LV7VOo>OlAtBYB7^8+u%qtI zig2MK10A6?36u;ipw<5%U_|vm3Mv7h5+i$^)+DmZ&{CO^nNJ*PtFa1PsKP*R(wYRS z3N2MF5Vt`MQ2|T&eNWNOY5=Il$d1vPL{=SIs#|2%EYyGtH5ljwtx2Go&{ER^aRoI4 zDX0a2T8!)@tx06Hp`|t>6C-RLXsyE#r)f<>)P+$Fp*4xD zKD5-g$SeaifC~*6=v`WqKq=6Y;sZ$^gw+nDpdkPnGP3t+O(JUqEsazP8pDOg40M*( zBv2D*X`)b2Cy;`s0BFj{&e57g)(l#ji3<2T4f96S4Mf-+K+PH9d0LYQTR=+-iO_t# zVcv*({)F_o#Mu%MEg9PFJa25g0|4REo1tc)+DBO(9+JwbcyYn=J4&|LVE_fOluOT1GIFoK-^7J zL<5NHv?BmIGO{bQCXsc5mQIXJTqT{MwKGHfKx-1B3$%2x5PbNC;_$|?9dYp6DQO=L zIJ*L-E8~O2*x6O$>jo{|7#}>C#r~PRClCA%Zfc&Wz7j)s=+&JvpbiH#(CNBx@x*wy4paw(DjIGC6wD;2VJj1dCv8%>x$uxsvgxmsuh$gqMnZ0 z0_CBoGg0qBc{%D|cckHU_i>MLkB9OO_cHfGP`=_m?S2Q!A3ZLQ$MAYed1`vg7Y%Q8*XUu6@AI@##E1K9s}dW9E^E8=3OYS81U1f zhS%s|3^ay7xyIOLJP+k@KomC?CtS zCC_t)H$EDE-Vb=<>&CZ@?*QfU`1SD{4R7A2dAsH93FXne=kk6G9UkZ8( z8iu#vO$8Sgya&p^3&j=6Yj_JK6>3nZ5tMxjjVT0nF0`o7V}(F};b{2jJC(iDU_3n%_(*Vlq-roT@3u7*x6#= z6a)WBh)O7wK%i`!&@Tb}Dq&i}{Dg&2?o4k}XR1EZGOjNhRl$1YIScD|w*gAt--NbSK6b-o%E9of5l3=}nxTcr%nw zC8j362<7p_^NHX$rSgImh`Qj1D0hVqG0J4)?>^7B$ZmHO52mX0f3 zvUF)EyO$nOdK8qiN-r)A_AUK#>64}3hVqNjzm@*e@Rq4krb!u?_cFc9j4m?{$`xgv zE(3NdbD+$rGG`2LSx4EtWkGk@N@W|B1$&mAPR>%fU;fr{^bWjd2jhu z<<~%&TK={2Z$kNRg#r}{8{P`FE3~iB3CcSvJY3;XC{rsOt#HEdCOMOeB!OQf)lcf2 z1pbqBXVS`~$D!Pl^i~qge??Elgo-7hY*ev(#a>X}Q*m|0C!pM4@$HJRE|X)E6O+My z$<2~`C-;MLaq_z4r=UET{9f`|!&@m&rLvVOK-sEN|4N{*(gT$?RC*f9Bb7d^bl&h* z&R;pHawRC+RUTX!{Gswgl{Z&@7Rrm2|E&Cv;jL1yN~bC?e^n+{xuePgC||5{qRJ^K zFIRO|bsOHQ4Xbvm3hS@x)T(z@y&KAxs-CX;4wOGs^HehoZ?&e?dR6NS<@9RzRJ#w# zSE{{R?E@%(sUBNB&hS=mS-oF%@Ym|MR9{>j=Ck^%)z4P{2+BWdQ|~?6-saYTk78i z<%;^x*53x@|LXry|8K+FplE|?4QfI;ropTR;GYdvHQ3%@CzM|`_$MX8@TQbVsg+U} z$_XiRQ|3dtHf2}JZYaNP7}+q&@HQ;nuwFx0zYV7}T+r|?C=WDzr{VjCw^80k8{G=!^Nn6_1p8BC7yJxe0+bCJcWK-m%7u*|YrGoDy^T*chV|7Xx=HaSiBP6A z>Dr_Rlna_X+63&`WM7k0P0kqJrtYT2nu0x>Hf-9hDfmOvJDaX-3Vz&lPt&)Wf*&{Y zG)rg(e%!24v+m8nkDJ}oY;`m6<7WGtz1adBfW>f6Jtn;KwaTww&2= z7L<>++}d(Glown6+43L5+p0*bDy^zRIjYsIt!6{Hvek2~c0l<>tG`1x;S6h$a zZCkc&gSL&JyrJ#Fw)a4}q3zzb`=R`?U39xx!`m*YUE_AmpuDNwqIMA9+HG$4a=U|2 z{@OlQdx*E~E4Odnz7>=++b?PV0F+zXA8LOD%0D~AcgSyeJ5=w`x&!Q=9cFh}*5M&2 zcXW8I1H_jO|8^|U5#me7ZXHK;91G>Vj*oO)3FTWIFLeCE@OE;+FR>*+*}c=~PUE0l z*y*uOtD$_m)0dsTF}$6lI~VT^^V+$0=kc8raNa zTi$NvyMe!T8`AB@ZZn`<-fdI2XQ2F~+t1y8GrZjkc2DkJ1%0;d(U}L zuIah6=L=9?>J`z;Wq5m)>Q%Q_11Kl=n%@id!(LDJ+TCj}lvjF3^^P{Yz037Z>D?I0 zX}$02y$H&Uz4!Hg8Oopf#PrE!c>7fB)1*&xC};G!x6cwNpXqa;&mky(>zli8yy5Lz zrEiPAt)aZN@BMw3L3y(0^|K`B1LyzpMXlD8C&LIUvgL4k$gK-hdP+rwmvy;4UcF4|s9FJ}55_ zbPj~LJFv{a`U4w6Id$Nj1Mh}%-M|+HfQ$(cn4h>95op3dvNK&^#%j~;F|_78Vvq8c=OfZgDi3Ks1pINx z%ppsLfIkk|I^@t0@W&y44vilQ{y4Py(AGoSK{!(hJ~cE_-Xhdl~q>ae53P8i z5fLK_jUZ6g9no<_7bxeCSTW);D0h!IKH{X|^+tJ%dW%Du;_d3~0p(rZRo*pFzUV#S zJ!N=DxYh=n zM?oAKwSUyxqaY5Ajvbvix(t-fM)w{KacK17(d$M(1?9of?~Oidc*o=!Q+7-RC|ix` zKV}e=4~*F`2IA0|BV#@sbKdZd%|AA2EX1L)?ZyrsI}FN)#%><_ER?T}Jva7a!#l3P zxQgQ{L)m`Zka5GIe0bb5vGLo+gTIge za{S*Dz~3hnpHOoG`1^$M6KuO?iY7%>t2YGSF0btl5SPnWym`ufQ|^ay%am899ES4usc}>D z8s4c@r?#Bh2Flx}E}gm@%41VMoqECW-ca<0YB$t`vd0Z$ZWs^csvEZ7uoKEtH(b2o zE5kdj#I#z|U_DIhHf_|ju~4p^wrd)!hiRWp`*qqMhWEy@H#WGjk>PbX^G$a|Pm6T+ z`ZwaJBhmx^XUud&fXBD?82kQplytb#+RXNU9UiF-07qEYx(>I{)$9(z9B4{AqW!L! z*D0Oqnn@e6$YCg;B0SJ_-`&9IT^+dsP>3{keK=J|N1P3nNoU@4{D1T{`%@$6^fiqF z_ROb2Ez;}uce3gHo6TBBM*+=I#F4HBBaSxIQRpg0Ge?Guu%?e3_Xfp;t3aYm0T-gPHO{S3Zq@y@Tu*n)ef zT!&j1Z~uCYFFHVXN2BXx1AK%%H`^ zOw-d7JB>ajM|5?x$OHxwjg(pR@K9UE(JGUeNHkMs(!@M6PivmUuM-Oew;ho(J7N!QM6=c)+k!DoTE!7wx(#&%&rqM0{FfP zJ{$0T@^Q-1Et4BoG;UVVD!OeaM~^IEUD3i>MXzY(k&a$j#LA+jvyx_>@|AP+$wHCS2QEq)_g$#F{#n{{#KbK<@G9V@xXacd5A0MQ9@ z>izpULM6wn9O?+7Gvs6&L=RUKrdld5j_QM;`0=06VY3SIu@e0aBnd^#@veU zM%zfXG3`UQX0(m9qNrWyKVhq0gszj$bwu|;`=oatY9BE;_j25aUZnIQ(UZ_dYESa_ zrV)-M=uH8=$@D1m3#p}OD_dKc_M)i(+A8o0q;{hJ_!nRe9LvzP{?oNg_cE8`gJ?4? zo0)c_%Mxw&-^)_5JRe3^({?q}-OOdW0&RCywlnQVmn+&%f4M4_?MigLt9Cup{mkXN z3T+sa4NW`JWsEkw`em$G&a2TCgLXyJ9nEFE7Ht`lElqpU<&Cxset9dF`8ssXkX_Ss zPjk7iN1KMlrlwu#vPYYSzU&pte*?N|*j&|gS2GN3LfeMbwx)e)I6&KmFB~Ys!e(^c zu)D75zGisXf;PS;Ha6`{!vxwm{9!^7F1Dg8Uo%%W-PsHq+tAk6)YhiGY4|`}U%T+3 z2qQbtwXeBro9=CflbvYu46(UscN$jE=GQ)~D8frBx_XAWy6Ns_nAwfC&rsW&_NUznRxhM#>n2iIi|%z2<;25X-Ijv69DHG^vEu;F z#dVzv|M@tG^KsqhL!Oh8j>9-7nPN`Nd7)c5&dK%L%IQ3a|AfD5I)Y0@GL2}a>rs#J z7+&92U()e9&P}G88|n{qerPzuxsgN4^;j$ZV+vvIOzN9GUY( z!yL|0<_mLX*b~Ct30z8X6&dhnEVG-vn^M}QB;W5SWE-tk!v((JxW`@c4aqhCz+?n%7!zIpL)(Mx2 zuz41jUY1*W=JGQiy3gSpX304;=aGg{oWraaM$?7U#*Xv26tnD7G?$|pRzJbH%+hmd z&L<78IG0&Byrv7YDUQ!@X=eGQX)aGQ++M&r%@%WN&MOVOIHy@Z?4}F9^&A&*sb-s{ zYA#pvv)C_jZnM?gn)6G;G0tuF3CD`C{53A!Y`1jH3VfzZsb+(>sbG~W##<|YE;ad^Lf54@k?U%N>yv=a_6V7>#m~(U9 zX;{ZO&;DUOU3gD%{DMn8$1HVoxtn4BH=O$%HTUNH({PV-pL4>!BJBTxOFzdgeRKJn z;r}o6fgI@rrXSF40DU0mZ3Bw!;2#VHIW`oS;UH~Wh=8a7ZzsvQ%L4D+d{bI1`U0*4 z8VrtjjD7z)nngrnXvopqgc%y?ixfLDpGb;up--Ssq=iY}3pQiXCve-~YeLvQ+!!jj zS5Jw zh87Gh{}Ecu@M3O91<+^EXEc1q^c%V@q0iv96lgp0Zx^Kcd?h`Veko z|Fvxex-M*IB`_3WC<-zZnc>LX))LW|(3e8+CDWhi_J+QM+gqUROSfIv=1OB|!q60A zXfnf-x!sjTpF*DsgHM@$MYlclDctsSx3~Z5Cv1P^F;row3R9>u!hyS(BUF~&YTTI5#g`q3Vq00qarRYG&_~fnGog=~eoD7_^ikaA{kPf7^54RC-vvV{hSE$LO3iR; zZu{NPSJ789v9Fr`O1FRXRownF%XS|~hmZ#Jz|e}JHIs){GrXGVKri%J^w})nv!>tD zv;ch;(}F3;M9>$25&VAI3D|zqakndR<5-hF~bhP@F|Wu^Eod zv|w?aPSX_haZFRLHVw!s{e^U8GKO*t<=Hfpo8jC{ zTc)C~qpxRUUpM`orZ4F0n7(9{bR<}vLK-s-Lpz4{Y#!Rp@NT9v)6wVA=W~G1n|@Ez z8uWQgYl2M|vKmfEZ)RYq$55X`LcJO8%{1p0^nLXG9OV0^|I>5_eIL`Etd_Qfs#i#R zZpF}#p+AR(elz@=>CY@&1Golq;2JR30ZoH&4PY7+YFd$1@j^N@2e$&;3UcUHU~UIy zS~M5e0C-~oDsZd7t%Cai-7d^D>TX;c+%8!?=ZU@L@Pew3ZDLb|mC zw-Ve+Fx?9117g~>6xT{XJ7wo^iT9?TN|@;vt`&Wop?~E}TM22{GTd5lYr!<^KYc(< z#~#Er^Pl#@ttDq}E&lUvre(Nh&>#GL1OHp?6GD3SFm5%t)nIz2?E_+(wgT6Vw%srk zt3z>4vx}Lo;o3oe$Z5VG>ir67+e+MeaO=Ue?W%o1Oy5@F8oFxxg&M*TpFOsHGmXPF zls)DX*JZ}fqma(6#;pjqB24Fk_5m@iTZ?NcXglIIkn!628P6i!j=wM$9Fb+rN46|O7XtHS03;@aATYb$Ja z#r@#g?FU)Ow&MEQjOz>67w%nQ_W^N@ZNW7bcKc?fc#B%E-M(wBv!sZvxXy5$;a+yl ze1NVs`Yw1}XV)&C;a-8Z6}|@BhFjV-3un00aH&xr5L3t1*%OS;59uYFREK71tfEJ4`Jz%m>UA6W1Na;;gV&WEYJ* z)odKG8@Id+3wPPYeega)3)fc4St()*h{=9S-AYB1BKG4t#C3?N=yme}GbP1!nEm1`?wwg@PK8fL z58#$~-NGR*nXI#9uH{^bDe6I7kGLK&MZNAmV5X|L9x+B=%h-?Hdj7>z)@Bihaf`g} z;St?d&uz22oy64j2(C+9mzcU{8XquISX`GFtF!w)l$GvJNM&EgEi==E%dF%=sP)=~ zbTOrU6W1rMPfTeuoe!9)Ew0aN7YA{VLE8rF144>>47bos7e0fXR>WnmkRYbICvctO zI>l5s)B1p!^5QzpUNIQ=)a*5%Lh5@Gx7175tnhkBc#MlahzEawAe>Wu3bV|q^A$FlN$3n}zD z+=8=Q_|3|$huXupWmu_ntBCWsj&U7hDxGD0z*@^m5ue~%&a(4}`&;<-x2$YS^AQ=> zGWtVS_G8pO(;+-Ae}-E#Zq1lxXL%p6((Q5)7jR8y`T4|c3ezm=17_NdYa0ClV?y@u z0U`aqh+8#o)tG)~8y~RJ@T7<@acyUtdBv?adu+X-&ZC)*}IC*xaQFxvYT(?{iuCfNbi5atsb{}Oz(4y4~S|0Z@Bhz%)H}P zsNM>5nqACvAJ;zmLr(MkoPNJT+W!Y`{kZjG+MnZmKurJt!WfX_=0B%zfq4JEwAXwL zz!-p!0k|e|rVj{@1OH$ufT;jJ4&+!L5FQI69T*F8tRG-1kTX*O|9MY)Jiu6hdm_fd z9PR_wV?tykrUtkia`HS`K zd~jo`fZGJ73g`oAJ|I3uL}P3~zrfglJ|Osj`8a{GA$?kfsV3SaC;Nc#SYcr5fZK+! zZRF%-f%k503*zHNE{qWvBQQpw4=8-Ve9XWYVZ~0|N6;4N1C|d6j~j8AO5ir4*hX-< zSj#0R+d_Qoh{srgu>xZS`hblOn2#SAD{%kF$@?bW`+xht@EDR0Qw!WyY_=6#PXE1} za)OP-$B_aUGcaag%s?N|@B#C&1Y-v7BROH8#Cr_g&xOa6LYQjcHlwl4;Ia#S*<}YC zijOHpFm_<%)GAs9o@2ZHbcD~^F}|R0h0(V#6$o!CkX7wzJ{n2d<$HSdUQUB5Pv2L0`LuzJ{qx&Prth&A0g|h4BXcA&`$?JNibrd_Z`ls*NcQ zrZ|}5pbun#4_J>@Ns)Ci?x4?QfX{_%%S4{6oqm~*SQvM*_B`S`#QDogJ|H}5)yI?v zQyxrt&<8Tm2gFCN6pTOUdl~3^S!tU_t=BHCG#|Y%{#-j7qyM69(FfE%AUuLK!W0No zAWVVK2d;w;h>v1TFb<&)UI!n<6hWOLwP|@8kVu9-kK;&6;6KgeeiG zMCb$8(Fepwv=$hT&=;?xFJhXKdD4{YITz-m8pb2^hwJHwI5zqp^#S3Ltrez7m?B|{ zgg%f7d_a73YlCqKeKHgHB&JN5GEpBeAK@@Ap+8_uKp)5~J|H~GwZoJNQzlHA&<8S+ z4~UO+9WXwjZ)PIj%q&|t>bSn?r1@xv@#*@`1Fi$KKl(s=9}ph#I$;WhDHNtq=mVM1 z2gFCcE*PiKM>C<1VtSE2y~v3+F(3IbPN6^KME}Qo&KiC%Jo*L=e=l?u? z+G>rA>-Bp*my`F8OM(jY^>VVxAGLB0yF2T42V+Yb<2kf_!Ejf;{!|AZYTpFdV zrO~UpAGR$e$1(QdRdtX*m)-lf9kAP4CU8l{B^j4w>_9s1fc+M;HODh{IURTT-%joP z^BH4#XxnOXJj)pOlg}mZV`lDv-IlWrmuOs~af!wbWPlFXZ#~;_Tw|v*K&LbFGKHT# zb(wD4f^u9-y(a7juLV1hzB^#I745(!8<%Wcvatghr~~#}(j<;=>~;p~HkV%Md+GJO z?w@UI%JGeTcwU|2_hFaPN(bz=sGYck;}VWbICdZdcEEnCn!<689nXLrPb*6bzK>VC zytQpvInKS>`@rXb*Pk7DaUHPRx_0G~j!QZ&>DYk`+yVP7YEE)`h`4h!r6JMg3fsYi>Rx{(|k`CRb1U-QMS_@ z8+q)^|Mb8Pumh6GmGMctMR$;o)Tsl6TGFXw+itt+ST4d%9q;9Xhbz?b3a*X8G ziXC7F{;LDFZ8pb9t`{=%dV!yv{r_Jbu=}srM)Xm`-$}yB%H+Fy>c;*h+w(%T8InSrXd7kfyy-e#Ju-nehe%3jezn`69 z2mZSQcH93&T&n)-DT)>Q?~3u7@S0@C4x}CfcEg(C<4m`IG*p34@wsL)u z8P^y5%cG=ufk@p4T)IBDDeFbuE`DDQ1?)ij>Oku8fVXZL$5@WB+#0e2?7(yH zfbE!oV{H1GAGx04{bC2wM+crB7li3na4GxTr!YPS>Ekired2w3(H*cqHdw{6mSZiq zjO+kA@WMJ^J3io8%ennU&+YvF>{w>*fZZ{|8ZK>L*p!x;E5^^xA(kCT?STDp!a9z* z9CNvqWCz%R7v2Heu>!~3)VYZBGOqxW>NfKGa)@9D(pLxU zj~O;`?B&?YEham_4!pDu*p3@G_NK3Slj|JbFLoe(cfju0VGEbOFKx=>W0Srg8{R+O zzvtBf`{Rdg9D_LqbL+_tumdl>1GZxbj=`MUpV!>Z@53&!1Ao5*cE=IhxfFisQy{DQ z_p8cl#cP$3J79k-v4dkV$6{_t*#UOom36>&Ji)P;>yC`P?%-!*ztegL?2ajRacTU@ zro^;f6TUwVW$eJq>45!l#U75y9Fw_KWe3=SSKa~Ju?5HEmopD?y}|3u4zL4%)&aZY zi%+;ze&ti-pWQ0{|M)1g1DU4-smB18J@Uwqp*C(Oeh2 zjCBEj27AU1JX;6sjyn!=DV^q~P}cd`>dfoK>y=SEkb3OltvkfAnqxJ$yzBrwkY+nz zJO1EU%{56zU6b&0vD+D;19rzCN4T_3vr}qDs2V>5hfH?h-*>?NIOG_|Y>wI73bO<3 zK$`D>?O23k_P?J4xsKp9WCz%R7u^B7AX6prDX*SWT12iSr1*8#iZlyh8)r@JYbk6ikDb1dgr&Mh@Nzz(F_4%m)YIF@t0@FLa={GRL;JMeNk@bs7^M0b%(^K?5U^Kp4O zj|;CeuX9@OKjZ)v+{pkd0r!4qs-8O)MFTL-8GKw9NW1CX9w7U4ATMIaSX?Hu4gjC zdWN5oJ1?u!gSwq>7HSx?95VMeohY6?7*LQ!2WpVCdYV=@!XoT1MEPC>wxW; zhGYDn&xc$u@cOU=>_B?#fZcJ;Z7$_A+!UT3E6MlFp_CnX{vEJCwzen zmEoV^P{R&nybjnM3+WZ-0?q|I5?}||flS+h-;akl7w{R#w9f$7xfySr%X|9l_cZmG zNU!78fWslDM0S83$kZK3JudRpJ8(|O)Lr0`>e-i6yk@*+8L~}`&H$M}5 z%?>;OCP2OUT~c8buOIajbFf6$T4 zvTWk#&IU{*wjgi$9-Rx%S}oVF%cO%-#XJ z|9p{?TMRBO{$y#9*&h{teohzc!2j!j{n1oz&KsOJIB&26>;OCPH#%TDqT;;4^%U1r z>;OB!4*Z=C*d0~n<(7j>jlZ$f_&c@Y@8R&n4rKfe*dJNt=lsF>gYySFzz(nj&(Hze z(G};9jK5ysnvnOH9bgBZX9w(#u-v!>;nL$7mLAWuhWuU}*4Tmc+X4HdEO*W$oJTm1 zumkJ>JMa%WU^~*{Jd%Fb16<4T-mwGhz^m+l-O*MdZb`Tl`3FmpS6N^FY!097K-%em z{SjAT&L^BtIG?Zs>;OCPFFIg5>f(HocIJ7mxp*(w0d^ogcEIk)t0=c9T$=ogrAd0M zKi@NlZFb=KcfkJW%Zu|0=M~N?>;OB!4m^hr*p9$BuRQ;Gn`39kt| zzz(nj|GWcsM`XU-!f@&G9G5P9EdTjq$!o`JmytSPe^lnjd4}^0=NWc@9bgAuKnH9` zW}IiZhRR55D1H|9nH@+w9k4q(^XHa^OPLq2l;Pu^b{==$3*L)Y*#Y|_v;fXGoNqYa zumkJ>JMaQKU^`0Ve8aWRt6cl=XS09oK*sNY-H}=#w>VtdyuhUmhm(vyobVp=9{-&V zq#mt#>dSK8;k?6nhaF%C*nyYO0oxH9=N+z9{?1y3zlS|x2iSps+X1_yw({KaaH;bW zmOB5ovi$QL_Sk`p(Sg(>H*b9~=O4~LoPXE>c7Ppti5;*Vy>b4@7;6fy4S65g0d^q0 zbinQit`fIET>8AkrB8Z!MEE{AoU;QjwgdJ@ag{j_aUSA4#160n?7%DNfbB?*^U#Z( z^SP$t^<@Xx0e0YzJ79M-7sf3SmqM>#Da1$fj~`857hadl)`8R`x(Iz0&PSY&I3KYC z>;OCP3OkTGCxz=HI47}-uds`mZ9T}(%RLY~@W&lUotJ#{k(`$}FL7RC2iO62APscD z_SYLYFa7b{%{2|L3p>CLumdl*13F{&t47nd$0|f0#Vr$;N@-xJ#3A724gtL0yxwWG z1F1)P-ujxHpEy5peqsmM0d^n_b-;GC$N7otsIzMys$M9=*f*oK7 z(n1HG9{p9-$8bxW`;%E_HZa*a3Ec9Y`A;u-jra;1-KZ zt2Df{;*gOxh78^Z-iKG+0oyiNcq`-5nLK_f}Q(3971o zhKkT1Qjrecs=C8qRnu{@s%3~#wGCTTjN!Vfmu0c4@3d9Lnr5g5S$nF6*^*SFY#UT# zXH7MAE~%P1H&qEPk5p^RPSqwyW7RI#PpU)SDAh4vN0pR+v`WeUlj`EyMs;;Pth%{X zR^8o}svZUMs@DpqsapAl-NZx%JnceI-A`<0qg(pSA* za)p}f=db4Ztx@lk3RLfw+Mwq9msbn?x2X3@S5ga0Z&!-~!qnn`U1~|02=#uMPt*s2 zQEF-6=W1C{ZM8h;pjuHjMy)J+M6D{u!Laiy^M6E4Z6d~)Q-yi)vn5q)b7v$YES4x^=a5Z z^;x)5d&7sS&#O47eN{%N{Z+H5167-DSUXZ(s{NU|T&JeG zQfI&Vrfw~DweA6REjn6Vk3Ouvjfqt^Vvehu^%|>N^-ihV^_#2j>Yr72V&m2Ku@}_c z28rs223OR*hV9k;hS$}Pjgr+*jc%!*8+TE^G~S^eG_j~(o3vIBn_NI~5;U#pMNQYN zjizt*jpo?At>)DHvSx}))Uw81(XzEj(wtk|)Uvls(Og>I(aiDPHB0OgVS1fEEDy|}%db3K z^1>W-&0+aqS#;H4`C&%=ZI~;}q(2FBgE=`w!V18$IrzieVObq!!3x5%I}C>vf;l^U z4D*1Q9ah2$!(1Hhz>2`E4yR#7VHU>-m?tc!qaVx*mcwxwtQah}<8+ueESKY#u;Q@1 zjyqu`V0jEWm=7$!<4u?^ET16>RublBXaMtrxf-UyO2OO>gJAx!0*2$T(y&5?k6;0? zf<_~(46Lx>dsraM!x#h$f)zCugq4LAF>ZyGgLxSj!pg%ujo-j3z`TuzV8O6rSrTCt zVI{KEfmMPP&$1I10`twX6jmALljSBX6y}%Z1S||z(n+pMILzNku1ghIDW?;#s;~g3 z9k2*kX_Eu28Z6N1HY^fW#?%s49ah#91&e|OnbyK;z{;E6hSh|XGhKkyf(4uQ!D_=Q zWUUCR1FMwP6IK^iG3yjqG^}#g0k9ZYNY*{Dda$spD`53uq0VlwSXdQj1FQio+&K!? z5EkJa0BZ!R>f8g?7#8W=0@egp&3P`YDJ;r)B&->%y7PWmb68F14X`*^jqLSdEnu~? zSAw;K)yh5?77weNJqeZotAp>5#ibQ22G_~Mr8O+tWhE>TR^MeNtPQN5S>AJ7SOc@X z=XS7Ib4^%#SR->FtOKl}c`>XbtciINED6@w5(`U)HM4}kI>DM+zJ_&%#aZ^kQee%k z&af`9mX@DjU12S(;jnJ71S?wR(j69W{S?*%*4nxn_8P2}^)9R@tc~>ytQRaXM^9L9 zSi2nYus*Q1ITpZPhjqv?4%QdeK8HLr{a{HszFeTHUQQsr#oyQEIF46HVBrI z^B!z4taGkWupzLnxq85c!n)+Pz=pxP=lT^k9M&y&CDVB=u@^8~}j!}{fI4VwTPn71}; zB5Xk3iLgnq!Fl__Cc_5h-42@q8=7|sY$|L>K3CW@*zkOgu<5X2`G{n=688!p< zM!wasnXr-hX2WK|-pnWWayD#qKDn23V59QO=Y1PCHott{xv(+$r5p2LJbPGHeNKnyZY7 z@55%e%9!{8>@8OrpO?aBxytyw3^vm(A8a{nj+-8~0yf)C#`cx4xo$GHuY$epHUhR9 z_KsUu*c#Y8x6fc}Ve{SAz}CUub^8If9`>HwS=a{Hf&wxoZiFo=AYNDYO7~683Q+8H-QBJ}V?+@oCtn z9z|eZ!9MqJhMj@!^{53q3)}Az1Um=Y=P?fUHSC~AZ`gU*0gv^t3$Q~T^I#WYUwHfk zy97JpaRGK2cDQh1*cI5Z!r5Toz>XGf3%d$CQ8*fQ4R*Y+Ja5-wCkxB-_ATto!bf2@ zV5bXz2)hY8RrpufE!dgDS75hcUlozD?mO7IA~M$9ft@YV5%xXoe34k#UD($}q~kxp zE*6oF--BH!atwAKcDcxQ*pIMFMde=p1pB6l+{>R~SBlDW^b71-QF)FYz^)b@1p5{C zZPAXfhp_8KKY;xPyIFK9>=Eon(QjdoVYiDOQyyjoyXBcnWie~8J4GMEbg=I{8^ZLk zyPlyi2iW(Xn0w5QuzQ}EdoYLis2@Dh7qb!eqbK@e&H}sdiD%X91pC<&&#Ku3`^l>` zEGz7Rmn$qA>=&;%m^195S0pSu>{qXOFc;V(uTd~F>^HB^RW`H5C5NWG)+tZ3752DT z9#{^T&g)m@W6r63G_6=|ST2}DF@IQYn7-IdSRR<6*Z^2wm}9YnuzavA#V{6_^TUkZ zt}s`asn}zf8_dZ&237!;%{vh04$JEOHmo2lyY~=SA(*rG5ts+e?2R$QTo~q3ydbOy z%vxLzD+;p|ZvgXz$iApd(m}`lJuu?Gh5~EwxFn?b>yXGpeQYE8dRbc@o%fRsG zwbCVr!>YjoOLl-o!pf99466<+TXH=t3KryNfYpGNFNtRtD;yuKoL?-g7A)AW9IQ61 zg5OA39ats5PO!SLihjpo(Xh&Xn_)4qkWwaCJy@6@o^f-1SZJxnuvl1?QWaqhVBw|4 z!WzONN_B-bf>kYb3f34FS?WVr6IeC>?69V=s8V-f&0y92o5PyJYWj!5;$SuWC&F65 zYWu$iYYD66e-;)GtLwiLmH?|$+6rq0i}C*v)*2RFIv$n?t6#b*tPQMQ>8Y@`um+|3 zz}mrLOJ9JshcznwF$~vLYZ#Cl))CgE^aEHDtZ_ghEE(1;pgIiKOKTc11J)T97tkM; z0&5;{1=a=DGGH$Z*FkGhCO@njEFs_#tUD~eOnX=lSnD#iVEBAmt1@$7Jz;Ii42JcB zC6>7k>kVsH<^T-uTWcHW4tpKeAy5bF3u_;k4C@C=3XF!~{b(Hn-+>K)bqX8~8wg7d zyagKsO9?y-!_Uz=2Ni}5fprbSm}VXd>k`xjHVoE1C>Dl4uXPK05B3J^wV;u(5wIRX z-^1R7^$I!;gKIs@dcj7)`jj=nM#FlS?ExDD>sz)lY%J{cvP)p&VExPDYx8(mzq0pX z6JP_&o`Ow;4JcOvHVHPkTz1%G*r0N~VN+m3%Qc5hg$*gU3^olmyd3_Vc{*%ZxnE#! z!A6uj3!4FZqr4w%CTwJRD{L0*&GP+Vvtgsl$HV5pMwMR$dmA>kJifnqE^JKs-(d4# z|ybtpt*py%mwiq_O z!WGyO*tFoXu=imzg7d>ZfV~ww47L7^QmG|u3v6+veXy;t4=SyM;m>RDhq%E$ge?nEu!FIrw zhs=fTgsloe_sqLsD?^ULcEi?$Y=rHBt*%@M_AzW-We3*w#=PC%=Gg50!E95bVQH znez_Ac7)2DcLerPsLXjsVY@_5|683SJ z%z39^pM}YscN+F-n9O-!!9EYe^)#P>?G2YX?<{P8xXgLyVEe*l&ifj6FuW4%JnTTY z%y}1Jhr(sfy9oOtT;{w>up{BP?&iy|!&PL?y8=5_MdrM3U`MOSoOcy=qDmO-8tix# zne(p0PF9gQ?_1cHRnEa~z)n}e{W0H!ovJEx-YwXfsxs%@hJ97FKkPf$xvB}UJFv4= zSHr%Cov%6#b{F<_)km-&U>B?6{hIH=E=2gl?!zue~^)b%EzK$x2kPW z*(@6DPPJJubV2(rQV-L^?pC`BL*KOTBg?}aVfP~4UR z!G4aM3p2rfs&0g3g*}M80m}yarFtcpGwfmYLNJVN+OO3|!CYXEs&|H&VZT-14zsxA z(5dS4VHmTt$5B~fIbgc#-@$ObbXrsxEEminswgZsOdmB4mIr2t>ITDg&^bo!g5`r{ ziNY9U$qzHuaDlnPOi@3;@cDF3H6maIVA*PT!`xw6YfOR_gk`VM6NdM#bFT3T%mZew zfw9U`80Jzl2doIpTH_}e-jB{wGYaMj%URPG<^{`9a~iA|EO*Vnf}? ztWd3eumD)W+HSBiu)?*`0ZSmvqjpDF5UgnJIj;a0m9Cots|E|KTOSq)D^qs?tU9c0-8W%Tu%NnkU^QUn>mGyE zgq4f-gw=utM?1lAy>u0#yTj_hDn&Pf)rD1zUJQ$dRgNA5i-Cni--FeIg+-r);b-eY zV=ymRVqsNcFfUjdz`|pC!5YFMVw%D5J#|%MmckmtB4Z}Nn!u{X{0wUfi;6h|!|&Bq zuU8V*99FZQ1r`UZQ4jNsr3I{Zy_PWiPgkqnN?1IsZoMh61X!JV4`HofG4;;FTEn92 zmxd+6>etT&YXhrSe;}+atU-PJ9!on|Z2h&c_OM3v--303HH=lTj<6>6FT;{xjbnpg z$*^Xz`Cy%3O=E|`I>X{(@x3f5u;#HFVO?M?V`sy#zM)+BXb=^@Alf z^nmq;b!<2qHUQSCA>Nw>&x0(*#I>vY;1;&g7s;14>lUsyKyzx7+BxN z#bIM%uQ#3y8wcy(7@yTL9@ek%CD;Vmz{a1#Cc*|Z!8mT21RLBWFKjYwP!kzZnP+yumba97W+!Y_OK;dN*vghN&+LY+X({u} z9@y%ZGS7SrTh|iT#PSJjZM@7gpTah@lzHYe*!uV=*k0JCcwgA(u#NFD&+LP3iI;h1 zKWuZn%rggI+v0KUEC*p*6J(zG0=7L~=9xpV4-;^%VmS=kk>C$I0{bXoChRC|SHb|; zG1$(8gRtYUJqftRmJ_hutz2PW!ahlO3_A(?xK$n4DcEPNO2baWK5aD%_7&{&Rs&&Y zV0&BbhnJdVVYgss64%3S!@g?c4*L#vu8j_M2X?ki4D5T@`8I*DyRfg@$mjh5cCn3o-g~eM zZH~b1!!EZ$_bflcF15v)+VT_Zo3>a}TYiRJX^SO>OxV_HA3N zsVxs-*V|%EZTSs$vn@Jmc?7%Bt_bWg>~=e&^06w|t#(*bTQ%67c9mdw-@5PGErjV| zciWAEIl#VecN*phyVq_z%mDkLeKD93_GA03uq?3q?c-ohu%Fw9!A!89+G9;^%?f+a z9&2iAHrOxi&%vBw58I>j*6grfJ77(1b%8zVfHk$%4EwD^0?gu)L$5kSz^t&x9hSp# zz;qoZ!E!1ez1HDtST2}DhuyH;Fnvc~SRR<6Bfgh4FU+xHD_A~QmX6h6`C-P6D`2iL zQ^(0LH<(k$^RNQ2Y#s6ZS>0h-lQ2(P3&OG|VV<@Yf;lH)UbT9_%t@_bg<&p9m{+Yu zVAdqetJb10OETtFt0ydH(q)(zEJrftRckR=?qtlXRy_auT*;VMt;Jz^liR^c!15$x zY`6Nr@+V_#x8k|h=j(*A-C7dnmWdtY|0n+lub%i*&}kYApxz>Wq2SioWSRJ7Zq8 zR)BeTPJso(igm`kYOM$>(HZlq6+O}yPrG~Ll_QSFKfH0V$YQt+?;{(p@mGTC2eVQ}Dc4BVlE_RDo58mFlL>)IPu9~Rp6GAtHWrR!&~2C(pM0kDR!h;HbfwGpgpwYt*u}&-RHp2L49=h2e3p~{qE;rZD93!RD!jIHRyrfTG1^%mU=y!8hwnuN|{a8 z_SOzcv-VI*_Pbp)GE!J*It-?#C4Awr#Dk_W0uFT4UzZjK6<-%W%a#DHmYd-wy zstPD~yefp>wTM_f1nx(@fJ%Z<%J|f75=qqo!j|?bz>j(sb&no%-F*n$A77bHCd~)1{|& z>36$oy7ttr{cbl+x1QQ9m?8Uv?7!iEkDk1jCttgm{^M)2?Q3g_GU1&cHJvn_HC;4a zHQmhqn~TZCZ0)a<%cq*s7c+S};qT;lI9q~=673|~OLUOv zD3K(QEYV4#vqXwS7m2PC-6Xn8^pJQ>qNhYJiQW=@Bwm;3E74D)zr+BEff9ox21^W) z7%DMLVz|T`5+fw)7#k_|ro0*{F-l^z#2AUO65}MsOH7cMC^1Q5vcwdLsS?v9rc1mf zF+*ae#9WD460;@dNW3jEPvRYkcO~XaERc9lVxhz$iNz92B;J?!Kw_!HGKu99D*F0@twpSi5P@2+8CpZWsHH! z7-kGt#`eY*%9w2Iq>OR$DiNVVo$z-!L!2%s<1FKBrGlJ}E9L9-vBF<5LikBUN<>Kb zOH`Mr;PjO;)-cvoD$41qQZ*39qDD`pN;vI8m@qh2!|yZRHU6NC_l-X)r{WSNBzz=% zB}z*8NtBZCmnba}AW=plP$Ed8tVB79@)8v!f+Z?SRFVjhs4NjG5hf8XQAMJvM1({& ziAag+5>XO0Bx*|3lBg|FN20Dov_yF+gIV#2|^m5 zBqmBsl9((pMPjPNG>PdFZ%NFMm?<$!Vz$H_iMJ)@O3agZN8(+H`4S5x-ji4;u}EUE z#1e`3B|eZ?DzQvrxx@;Il@hBYR!gjrSSztkV!gx$iH#DQBsNQIk=QD+P2xj|?GhhJ z?2y=rP_^ZmsU=ay>4;KQ<(UbPFT>?kX?aqP7>^>H9x3&`x~sGr2;BmSMH25zER$F* zu})&M#5ReY5_=F@U3s-vV!y;;iDMF{B+f|SZ_6s(Wr^z&HxXK_ypo@*yC?CB#6yY4 z2)$0iQ6h_kvxFI;HIi5OI}l2rTf$YsU80DDmqc+1Ux`u@0SK+Byb6*iFHupVvP8H< zghX|Tni6#+Vh~zOdDT#&iA0=4f<#-14icRux=Qqr=!MV{;-62|{zC>e*W)dwB+5mYam)#*zLN1eod}s&x z2o6u)OCNb9SKMKs#1MowSY8d67$q@QVuHkEiD?ouB;*D-%$1mr(B6<&3ni9F$X#%d zyWk*q!9nhVgWLs&?Gkbq9G={Tk@9Mv#6gLp5+@|&F>sK_z~O6&ixO8Pt|7EB^6G}f zZ3%fG9OQv;_(|e{gxn8DxgU;t2?IhKFRx4za%UXn&N$|f$Ssji!cC%}L}7_1_ic*2 z@|Gwm;V%&+QC^~=gxncNc`ERCqY?5{Xw&7D+#|<25-}375{)F9OSF`b+vC_yA{n8{ z57K7JmnjlGBzj5olNcy5Ok#wDTnNV}A4}e(HdnrU@^hbjgE{i$JPEl+j*BHekXSCU zN@6WSdskjy+lZZ!Xay1NXBsxlTlISAQ zT|%B7gFHP3xhV#DW(;yuG`W6;krHxe3=<`$NKBWQDIqt-AUDMz*Uum~McXc~R52tHdLOPA_4QFN5TjlSFn2i$qR| zd=hRF1tp{^I;o0Is-gwUE9r~QPeS^lD=Q&=(Mex)RV5-NYDv^ZXrb~-TB2(t(OjaX zM507HiDZcsi5?QY5cn5Wc-2p0pu{kV5fWo0#!F0=m@Y9}VlKj1!5FNJ17zWU*?2`W zUNc_Mj5mxoHRE^kYPoTRGHx|)Q^qB-E!Zg0Lw@t?@@lNSnq-`;j6;pXlyRqVmomzB q$#}+iRx_TLZ*UO5PnB~zsZ>o_(^Pair;H_ye#$yP;a`biwERDo8*}CW diff --git a/target/scala-2.12/classes/lsu/lsu_addrcheck.class b/target/scala-2.12/classes/lsu/lsu_addrcheck.class index 620cfd9e4b35b95a6cf29f23dd890b9b83f89745..098f186f860f49cd802eb61f6d5e6044bd812a9b 100644 GIT binary patch delta 10230 zcmaKx30zy(mH+RN-g{bjEJ7f7Ag~2umk>e-fxuuP8xX58w%HA0$7V4G47Lf1+iZ2y zEG6}wByEzm*=pB`mj>)Ki#^H2Gfk5&N++FZGfk({CjYeYziyK@UDC{X?+GJ2&U`*0 z_q^{p_jk{|_uTs)_~<$A(Pz1PPQ#(oXLdjU(oAW=heAqVE=42o&;r_yExI&QzC1y{8T<7}y2^AfavQJ#apsa^ zV$FdoQn0=v0eMAOr{87UUC{4~jVp=latyZRYE0Q4#l*-iBluY*9JU^2y|B@jqB*$3 z$0$6iVvWwHRCwoEyQj9NWZ&4KIvs2Wbxm4>%a-IS(rfH^PVXSAgGSp*Yty+niIa+h@0=dn`KEuWU*4q$u)A`L_Jzl2KK~Y>h2x zQ((^L+)b>Yn>6Zlti3Ct3S71LxU<>ln5a)H^-m^=MR_m* z7}xCHrR1!Uc2{3bVRu_Plwy2DVimY+*?3{=WOw1XF2%IaDAfq>=}#%^AKJcXh)iYFr?l4#d=jfZDDx$(F|h`w+qN%O zTdN^pia(gx;__T3W-FTDe9RtHnf%))Fn%pq^uPWXX|$#XVOUy9Mu$s8N;) z;PKlH`mRi4A}-ZvkHI|O&}l!=flDk3l&=Xmb5#2}3MNOQ__nDYhm_cK?OI~|NNe3< z^+ZSEWF=>GSv%dcm4>+NDWhASfG-pWQDWTiq_JeECTe`%(or~AA80ZzSd!c`y(l0K zSi3f0hEQS^O8UAg%xzYW;-GJkRE^eAU*xWNRgQmNR*Zc6tk z@(%b5Em>I*F+0Y^)lRkBhkSL5261b|0r^fajBMw#qUC!;TFHPf%GfrU=rAcKgj$>6 zo~z`p-GU)Qc>-qK=i~f^(Yx(4V@nY=kxA~pR(EK|b(VQ+SIJamETi|sri>w0x4qHm z=<~*!v!>de$ZVq;3#`b?@CToZ}wiMjr7 zzceu01hMsQ^SniH3=J*S zHP!Ck<1<)B8ao|>V@-HbaSBCjmEg(sXJ}RI&N;k+y}cEK38t;i*~+GdK#jH2G16!- z)=c#j40%|;ZnCGKzaz7JBtHp{88>$AvqEd~c8kR(&7i*~%HqO)``S36Un)|unA`1x zH3nnJRC~#|hqb5KI*X=<@K{U74Nu$J@wR$+oN$22w&6ft>^RZm?rY>MwNbmpL<9wH z$@+Ot;@hH)WtfoZ#vE59J+)-gTg9|T;?*5WY{a{3ux1L2*uHbNEng^|u8&i^RXxHNAH!;<}rQ(R->xGfXV%-&<&2uynfzy}OL< z6F88K4P8K?gu9xI3D({2Vd;3Puy~{57AA3`YZqQ1{Km6FG%krTYpNS>uwwjB5L|nj z-Ikh(&_LpGD{E|6-?Dbso)Nr&CX-5reOIqm-ai<2$A6ZN(<$k@uNfeGCN4SPv-~DF zo6n~!7(0-HWlu-J*;}K!VEIaPN_14i!06b_(73}^H8nL}c9xG}>*9FgAw?RZU>@g5 zm25q^tm}+V@RBVeKEac%vUQRt)nvcceLQ=feOk+&W1r=rf_$JQ3idgkyr=jwTFdH@bgPzmNAy+8zJKLO z8FQ}~^*x?c$kz9HsF%I3FOQ6jZ1^GjBeBzuf#}wTotqsSw|V`uW)=I!^7|u6IsdL< zf5N`W!#26&e*n>UHSF;p@Y}}ylOwj~{yjqjvt=swXUhen+thEd|D|O=&;DZhj?uEL zUuv=If2&Cq53O?VU*jstsl3CpceBT|>=E|=Ex$K9to|+gJ292_mmeM;s6NmBe{rI} z=b>Hh_Xi-c*JQZMKR!07Vm|=F9uQ5Q{S$Ls%YMlInTH;^&tH}w88Zg{#{ONzALA6q zCI`mn28V3pGjqNB_RjXss@PAk|IF;v{vnY~S+f z;g-PNToNt`mn_bn@-QU_qyka&ALO}>a!-?*Y~{&8Y2!IF5UAq0Omq=Fm&KDqGSA`3 zVcE*#$)apod2*9%*?6)fTXvoVWXplp&9dnP!eP}-?cdIGE@@-95;3LsdDt(fRK}Ad za_lCaOv_d!4|B5D!;{a+%*&JgvbB|m1=(A}lh4b{$CDY^s^{S_`5=Jh$~E%j78y44 zWLCCXcvzAg`b@AkYZ1wPPi|p;?$?Y=p^JHGO26(t# z_736v?~vgLPZnfrjEAGLdoK@PlC23I?vbr29+qWmnulYuHN(UGvNgxUS7mFShlgeB zpqf=tXBTQIA*CxEQq zo+2vaLB)Mb!#&9z2cp60_-DjRRm*eVmdowr^3xMX+Mm{9#3?nw>R6G-I?Ka(*?LAz z8t`(J^FOC1jXXRjd+=s$kn!_)$I8}=JY1B$7qO6+zciV+;T(5TO`3T4p3J|;!w+QZ z`{EHix%~2^xHud+8#OhdHWd*C~t^_?T zVIT)W_T0L?dWL~cSaWQ|U-v`yD*jC%RjECCGl?gkC!|ENdaDK+SgJF@MtUU*4B(>o zMuRbl`7n2dEn8teT4DZnhP~~(%*P#i<{vSxm^#s)6#d=Y73LLpijcCx{Kq-=d1{Zw zF(xD*eLE4@gcbJ18}yzLBeH2{G$co#*0UGRv!zOMfqCZ{<_Y?%XlRE^v^)mP@Nd)~ z11Y*!F^Sj0hA*XkNnpgFZ;L@cpg)R%nf%~da%C~8t1O&hxfNEs!s>Fdv*ir?Qz_F7 zAw$aaKk0rQ^kn~hg?)R4{gs~mpY;sBC3TRZvxF=u`Zx5?I!J+cX?!eX!+W$M7H)&f z^k4AFaz%>F5ptx+KMFa@=b5)wm|s3YBHXzGI)DA*1@=SyZ-xDc3VO(imV4w0c~XzR z(iS}!D&&ZNT;RwGr<5eEf>jLQSiu@5nJ?srOUfP8^f5hTD>yCv0S?f5MbAaZ^I^|D z!+d*vJ~qK7Nk$5`Fv$X;;M0;ZQVw>(E_H~d^>OgXW;t_*;E-UP;0RMG5{f>pk|3!# z1*g{wWYtQOz8Q?m|0WqN-#UXHk4b>a{6J|?a&j+67CP0eOEq4qhC{4apD3vB( zP6ra85paPLpfq;#3hP~At5?_>u?Nmc;szR<2ouIDvPx(^l1iC?XBTUziawZ#DqBRA zIQnrSWW=J#wNfExtpHQF5$6QRPT{n&WN1kw$xXs0NwSuHETCkaEZLick`32OhMdQf zB*~=YFv-xWN|KdAr6k!zdy`Ren=E-T86~%0FBx+3MoDtf7$zCo7)jD2cqGXk^r8_Z zcgd3JDJa=~y=2HanIcL4S4x;*Q-g~K!2PH6S$0< zH-xE$3PV!cDr}Y1`sl42P_181@SP2q;NbO=A!p%6n2Nj-c4}&AOd1%k?oz1CB>5Vl zMv@<$rv(PMw?WbEaQ7lw*Ph?_#pH+~5$h>ZIn&=O+!!{?pI9r4k zNq&|-k%jW1`qN~i{AU&Cj%;cAFK35k9A2EQLaQWsfNFA3vRmHoy*Vg(g9?>yft4Id zGBY8 z{H&@xWRWD_v&iqaI4iz@uh30a$ilkUbBEV&`%|I!9Cs7mivfBBBbm?XxzE#+R(yBg zN?*l3a6A2@6^;}hl@3Xd&?BXDr_dAjg4r$X{`3nbhCZH;BfIGj@*zun(SC%F%q2bd zC2F>Tm!7ae1l@0g((1d{za-9y8I`TDo3L5IpBEn?D&`5oD3|V69LFT@MRo#zUdEqS z!_IYAOdACqWKymGGrvsD1&|$i2|Fxf;4Ap^8to{+f9knRPoM`sgWf2B0(gzGcIheK z4i@bOD76Z;xc^*AuA0`Wq({r+!`VhizHE z&>y~KA5nKPWJlvP@Y{4i7?3vkn{>Ju43XjAS&!5Eit%&RO%((*h<>)nu|N61}}9D9YmlH;H0VK;ab z?04x4ZfJ}TeaVJZ>rBkFTnLwFSs9qGTjB9CX@!r8%_VxF3{p3W>-WNEFYN{Tk1{xj z(_bi;R^icdD1#I9?Q(b%;kiw)A1f=b;%c3?S4d@bD-s;xsS0Q`d^*VkI&#-qmX=B| zYuD?5c2=UmC3<@$RKqfTy;9Qov)Ejw8#jYl6_TU%n~`0iv&h2xe`7P|d4;~d86FG# zC;MCWG85z;;7)K)F+r79m8?p|_K@m}s=Jt=I$3Q|+pv8^eOmn;Ca8H#v!XeV?O(MK z+9)Qd&C`}^E3th^`-b*SY(I!#Bh*YV;+qlQiCD$U2<1wo-&oaSSb*vC;WPfAOVIp8#k}BE+tDk<%nQD2%`}a-t6m!i%4d*d<1smm@60@KX4& ze;J1RJ|+4g=@h#>f2;wRRotiy2A76;>(5XOpWq)+cCR^kP66;Y#qrfUC*Wo6)rBV0 zWKs&DkKnRO*rAX-eHhwr!Xr%^MyjEgRn?+e|>Vr&-M_} z*iMt_f?J5DfRg|R*1|I z@h&n4vf_vzBMTHEbn+JwIuVxeClD4Rb46`L=0fI<(jqHCRvO)otQ1*!bQLl;va*FCnW$R;3?Bwi%fx?(G0V55g^R-$Pi1&}*nd z=0#R*uprxlY-_@!$hIP@O}GnLHL@CkLso;VF7fxsYLWSpDv_fL>Aa){2jt3gw3gg2%8aZPi;cB4Oz>ESCF+J+p*ykvhB!PP1(p= zk?k_YBHMv%XWCI@JCU`g%_7@{tj&A}vNmL$=KaXpk#(3)A?rZaZGHe*X8>VW#%6?F z2zO`XBJ4)iV<|z_gRIYzhHN*oUdwNh^&;!H`~+DaGQU-<4?nU&t5_fX$Ode$A{#(9 zY;SU)VzH{{ksWf1RlR`hVDTYj2azon4~QhlksU_1 zRQwxci^y(rZ9{ewvYTCQWJ|~bu9uMokbTbe6tbHM9+V@}-==@|Wq4nSzoY!yG1!QI q0e|lp7L#I delta 10335 zcmZ{pd3+n?naAH}G&4FpK4dwz$CeMt@-17EWm`THJF=blwqrYqPbHRbC-D{EIjp3i zrNB}`4NOZ}jxGcWErevT0|_LwY?pM&a;Pm(pe@@{T9(o@1q$u9>@)MqLY{G6t?C zypmlP6)f-;PF@=miRBr}GgZ-vrWL<5o7I}#;$l-_$ zIB;fVl+WoCw2K0?fUYyDh3P4oE9WiMjh?*G`mmmKGbA!I0y0D%x+T%r|ph%GfC4s%Pb=qm9R;bAgXh_ONKg|>P+rd3*!rS3$C$l$4Gsa z)}5(h>elHTExU})xz6aVa)6$5>_#Drjw>!rHE~%({o5PTZC$3B=@Mglc2~*JXoVhj zZ&NcVSz1%Jn;C1KmGBwY24S=1uR6%-fpc&ed~MA?;?jEreU#AMIv}`nuC*<{MRvH=K9tvBS_{iBO%Z zV){#Uj=rqRLa5U)7`DSX-N+l;b3mA=ENmDa?=nw%TCM7cM8kMxSkyw8&S+9;Yv*$} z!*Hs$IK7GSsYXMQw?gmE@^GrbL_>>L@0zU6t(t-z`AUu0Ks__c zN7YPpI0kF$4s6cZ4D%+fch=@pF^l0kQ%km?XjY%lve2j$k6<##6%Eq8wh!tPyp+!h zdZh*`da5U4ozbdAw$08{}9b;{gY=z0I zVj>Il`WA*)sgkr)rud?P&h3_VUye$}L~#Wslgc%-dr4Ikw!=QFH))Ie;^bqN8S7=8 zL)A+uHMu+NeR*T{%@eM2>sxGi{O9_QtsUq3TwnZ^3HBfabM;DSc?1bGjV&TSsba@Fab--}#s0NKtCXeP9-2gJWIWc1i8k&HB9WV_<`!e1JPrhc|*fZ2evmRluQoNIP+Ruff83V>4`MDa(e82)xI#R zYrTj%Av-#{T9VYTUzC-1BuzNTV?( zw8x>-a@!13I!2wjovq1@i(@hyEwPOWrM;Drmh_2^0^Ay9z(LhEvBoBr%m!*}m(R15 z;u`C8_0}>v$3Q}9-(c&3=qtCt&@t9kFo|(7P*XBnS!Y?Wiq65orTSr9VtK}CwyykL zJ4FN5!hWVx*`=FcieqJ8dZY&Re^vY+P10)&pRgG6pa;;ocEnm*vTuWZ~4#)JU z?{d<-tvj!GFnWE=xUs0WmhYqi*YeYW3sjpjhr_z;eYKHM3)c9eUT>Na>lh66C6@Wi zi&ne~50p9;LWew&L5uAv81P*_NrJK{YuglAV z%IcRzEeJS(n=_enI!lJ+amMKG%{6)KQ*B{Zv&od=)2kgJotc#k6P9RjyIYDTJNe9O zbYKnMio6*tgX++opsj9_XY5>bb6+^(3%CN2ZA}LXZSl^b`nde|F|l-}Jd!m)Rl!U- zKM*q>S2R?M)^3bQ5x2DKp@)fV)a&%FB9Uyd0jOK;2g2%*Q+>y*qPRgPSiI zYOaXtz!Th8O%K-ENoH)d*7?wc5*#Q5>)kmsyyT3@Np#K1iFi5O68Yr%=+Pa*BR)1N z7d#(uT*=j`Zeh+-D5%3dPQ0Z9iNy)+;l@&|6?bcMkE_pHVXYqPa12yO8;d4l^ZRz^ zSr&viJ(F6%cHnE^O5O!g-4)gapY`fXsup6_X4uGEYw&g+9}W}RCp=CZs5mlk*8~#Y z?V9Lx%ne5?C(($->uU5A;Y@db+1w5yJ zqU;fYY@*f=1hSb_EH`(RhA7de1=2_=PTt;SWmHw{v6IjBoYbZXP)0t~5r$h8$a~B; zW$Q^GLU1x_?)37-k$(PB?r9x&X8Ez9l=VQ=0~0$f-myu6`%msM9s4f#ya1c2(oY0Z z%HAp~y&#Y>YW=qW_0;>)@@GTCnpZf#-07#w@gkpG53i89@^(`%ikU~`u>mmhm8LTL_a#-zh`z}(6(oKcIV{onVmCgo?!p! znTfrF0?%N79(a`iy-I(c1H$f^@D2#Pnz}R^QYAn?^@gB#eqt|rL#a1RfFbIN5MY#A zk&r~#5$~QMfsdk5>jcD{2;?x0T`!O+YLy8vOT8Nf@)gP|1hSV}xL^y^yICMd zD60|3G_~pk*iSz6VM+200=bUDCV|XQYl{F&v~#OKzDn6vfy`2Cy8wr&w?iObqpV9H zbJXe);5zEvA&~1S>lMg6wfY3Oo_Ysx{$HnXNFWQ;8WG?|>fR;5&D7c>z)@_v1Ao?;FD(qzmq|%uWitPdTpqAq}Y( z;JdWn5dj{c)>naq$|rkp$}%uIhmF8rk7tK{m;br|57C$#HKayEYBi)zAhmR?TLe-> zty=|BN3CVNe(3nO3#1%b2d08$_Xt(_wm@oV;CBR4O|5?w;5hZ(Bfv>&-3LT9Fyhzf(km=O$S6>AhNPO)}j z=*n)) ztKB>#s&qFDkagq;A+FP_N3_tufn93Jg+%FtFo=Od>17e(!oQWZ!v44qkQn|8C9PC3RY~hj>6jio8NXcN{%3`I$H4uL4$8j!1p9CnDp{52bTM6t z{=JkP2?_9?R2zx%?@N0l;cIYF`V^nSFDa3kVx|)Lp=38ewEE+7+{Y{2r&6NG^9JZvr4E-bSa^UqAO@pMPktxCuNelqank` zoi*_0%d>e@nav6A7bn<{vQ~J@3j4_lpMHY-T7>#(?o6kOV%!WFw{^1g8JC_ubMzTk zmOk_J8JC4VtLZbY0)5tGP=G5yK}4Vj&R7BGxIhg_Suv0iT7;RLX8+M?VE-B6mP~mN zpTHMWi9o8#G8Bu&$}*HnQVcZ02I=j1h>|{yfz-(I6|QoH+q}Y6%iVB_0cTY#Oc*aw zslfCUsZz02k#b8f#-daum2!wEwdrc9fb)O|6Syz$i;$7Pou!h26;dQOh#M5iYRM6Y zlC@NFISwW3ua*ore;KDpHpK@?1{PV7EEmfa$wp~89wnP)$>PYCtJM@9Emas{3==$V z3{nehk)q}n-HKY9^pO$Ow$TLJ6EMN{t0e=@?9HCkIIes!oxt7ONG>K`GvZlEYN;pa~^Mua*orpEoIzMdl#M;Igb0YZb}e(m^vy z1}g7YW|X{ES!Shtd0Ezr^@`M(^h63u1u8Gbf>PHi%RUQCL6l2H# z5xFRh+EMsCdcTSE$N2Z6bT{=}L=S$qhz_vBi&C9Kd75_M+Fg+Dm7DX@1qY6Jo21VJ ztKlMQ+!2&uf5b+~8v}7rEbY#NjHF9z@1XvOgjEhsaQq6VTj9R_AoH=Dn{*-%?BN%K z1V$ptl#|9O*+~&j9P*;H!KvginAwFMmRLRYGX)IsK z^YMH|;+M1uDS!+PKZN)@q#`siPp{lul5Q-(FSR?R#|j{U!!_e?lU^>sj(17_l$*Py z>_R9^yjS!F?OLDM7rbkqO1?tK$iu|(v$J39SN8e4Vt-JN0dXL>2mQ)=Kzh9pzi*2r zl?$8mQjQA}Ic4jlEiT9~{s3Q$9KPkTQLk`&R=CL(Zu$f-N8BdewidyEdBok)YpAMd zXudq+E-9f1GI@EF2ws|84>oCk5hTKK>CPfNdB>$6)8-O3smJj%*T6r1`6KnIzzM)9 z4~m1zlut?(#jq8gkfdUm$hyJ6uUtMbXV${y!Z{VR?|UOk*9xb;1dlR)IzD;1+cV0V zj*6qo0H>v+C6Hiv8YSq*+-`BV;&@g%R|4)Z{)a)r)6%m!_z9R@3aOue4Y!vn1#+kq zETO^Qo)1Wmmnu8)1~%pA*J^h1y)p&5{!d?fYtBlQ>tPX>@9Fi*D*jIQff;XgLOUM%6sGOR{g>Hk`$l<7~9gq{z|e@;4@jQPix2jyQ~t`y2tIaqX;^Ox=^ zM~U;&3+1pm{F^}%^AQ!wnL^+CjX3j*(%?q0e7+h-Wp+t=5?Qd?yBjg@OOnA25BToq zp5RWgetv+TawRtUvp$><_a4%=)cIt@l~) z$M(0@kF1}te%qw&uniNm{fF)6w%1v|{Tcg9YM@^FTQzjy?*VG|tG>|!jA)f39;Xr8 z`r&l2Xxso?2N73`N|Qryns%5Pf*%EUC>w^`AQ-$k0w1urGVEJ_SH1jq=F(?GB)#&8 z7gqOAz@M~qbH*3p4IUm`tvdu`n!r}qlS6XcJJQh>$WTUG{n-r=C0E3IH^NUD-xi|f zcaS3fze%yWjFhThC0g~HWWA<^Xf*?5gKmasb=Q+J;RT`2D`h`mCgXn6XncS)C%i?P64hi&QaNc(8YL~s_mM5h|3zBOD$-)kA#Evx zq&4LhQlD~)RHVF3v?(8wZI)D`wG@%SLrM_1D<`g>olKCHGG%JaWWYuE(0=B;) zyRu&;6FK{cHpfRMZS6#B+eN1A8;I7vh3vIIjO{5ho!3gVdF^DzX(n2yoy_JplDR^W z%)5HYqU&~C)uV*4FOmIZh-lQ|oPpp(iAgHG1y1`;A&N(o$bFZX)J9?_3H$=G1Z2s4 zAF@PbN&N4TB_T8OuOLfCW>R+`Ga<96EAYvTEJgh(vJ_-#>fa!WZB4awSPfoMV6zx4_OW}yY41r zxyWp~SCH9|<>}5KvmPa&&8RvV{5R*S4byo9U{S$+I2WcA3J;51*fo!Ms zeq=k5^;y4xtQVQr`Ux^GvH|P=AnQZcZWOKg!7KAehXAAZqoJF`$upQYPviX8% zkuG2iXB+2VL^XIf(2~p?q?dkojB( zk@=8)#WjrV5W$0TSoz`mqh{!ah^ diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class index 263ca8e18d351d35c813f28b6c7ac43079602d21..e286b53b8ac2e246d203b3aff7492119b0d1c6dd 100644 GIT binary patch literal 8216 zcma)B33yyp75?u`%5yf9^eZJ9l~N zzmGiuV7ZtfFv%-bTKMnmtd#iQ+36M=8&mm0zHvzmLSR(7D_e5CWi9I~`Hbhr5EU3Z z*nUwdo$^uw4MSbUaGbzW-^HeVJzh4QEpI5~da|CorKg-N zIa+NkoR`g%yJ8r}HXAGxkZG^mDeQ5J#caltxp_q1^u9R1%Q@{TTL_6sv4y)(p zS+9P1&KF1}NH2AYO2D?O)_a3jNO#ly7~fNNz*CL1#=jXJ{wV7&lrEKn&Z6t8Vss(8 zOa`VaL0>8WWwa;dWiw7Owb#k8!IY$@yX@pR8mqOcl(SyTc4fz^&9{ixR-4Jkms{7- zw(71ZkWi{rCR0?t2YO0%KP?k(K2y~Wm?~UV!w*?17mBWvNtII$KkM@aXK$gHadK{P zm#ZX6N-~2*e&9uSw<>z1mvK5Pp67IBb(o1xuTtuAa!#d3AZ9*PNvgW(LM}%uv zC*76G=UuPFHt~mz>OV(~qol&9^~4CA-Tl?1NCn4|CioU=__{@>M>k%6|4@x?f|Sxs z3}D<`PkFziRSj$_Rc+l?Y9x9EHQoZkE(XC6ozA@(-7&slP^RpXO#|acPg04AI6jUD z&Jw6Ab4?VO(#{%%teveAlZ^fA7!#e@rm@6iOi@0!GP)X@L33DQDyAu;^CYGlmpde8 z81D5FGY$6!##U2jGeIS0VYb4)QKHdsJ0<2AE+aA5aGetK4A&*mWVkm;%r~Arc72WK zL6dBORbiiI63tkkD$^se&~R$N)_Bl!OkxpQlvzb$vElYgEHT`EiKT{nv&1sPy+vZV zsp(rKRv7MW5+@q&?Gh^u_YR3whI^;PYQw!tVvXUhmS{EHyCv2d?mZGG8ScFj>kM~; z#L1@I_YLl}L6bt_6r8Gpc)!GY1N?x*2E%<&Vx!?cB(ceGAC}l`xQ|GjX1Kc~PB%q; zRHDsrACox4aQ8`^X}FII9Je-`&z9Gzp=KGBt@F3jq_I#byKM34e)0)P$1yz21?H?R z8@IJ@Y804RT}MOgIn+OaN8&hyUY62PE@sorF(=maYY5Piy6NjM9!=2KCj}-qRf`^M z%6KFm#E}H*@F}i8en4&co{CD>PjFaOp+=}Hc{Gks<1=ies?ty5I{#~%&&4qcpJ%>L z_4IfgZcm~;LCF_YL{->EuIsJUuVCe>3T>ubd^y2_pW-U$*Ho1k!&jKzEbBrHUlWKn znIONOz%zK3SW-XSRsh}h1$bdG#dKLI9|Z7xN`Zy zsU=>e^>PfqPT(aKS!1Bt2iE0*a_HMXeIV^8;GYTF|4Tre$Ej5_N3wZN&V0&i z>C6_3Wv@l=CW-hD{+qyf{7;}|pdswW1LgNGq)!Twz!(v!8F)$)6LmG?sdn9p32xU% zw0CTC)^F=@ww`*L9*1pG3>WbPVuBm)I>xDHpO6U=6C-Oj)LSZLJ^chX)T66i-Y->c zB}T_ZQZyt)QuWYS+d{>ySVwkOK2@$1xfQP4pm)UeOrGx9mE2CZxHYxYqoSd`z$3@@ zR57c*`-RD~+;UeTb55$5%DHSzW@y>QJxWoZC|rKlZ{l9MP|D_a8B=cBItmr;i#PK$ zLUo5a$F$`=7T1>VmN5B5xY7V8ph4{d$Tg_!t|>FPrcBbBGDU031g$C4v!+bM znlcS*$|S5QQ?RB?z?w4sYRcrRDRU-|VdKe1botDjgT9$8wH;HXrc9KYGEHjAB&jJ= zq^3-enle3V%H*giQ=_I#jG8hnYRaUjDN~}ROo*B?9cs#Cs44TErp$JlGS_L!Os6UH zoTkijnli^}$_%F|^P8s3ZkjT;kuC(2EZQ0I1b(&fOOOt0egtP9Boh%6`ForejugtF zGzQp$bNI#MtrdK35Io8Xo{SDl$=ib9dMkJ;wp+mG2f;}zc)GRP7X-nht>76}@P$Ee zgB3i}3ce@^9%BX1f@6s}6$Fp9f*Y;ibPznw3Z7#HyFu`HD|oIIyekNvU!g0n&J zL@T(-3hoYqkGF#7Te~V31W&Spn~~@A3C^nBLGa|E;Qqy^z}dCX>ZBBOGUZjBEJE2b zX!Zo1OdaZkHCSu~?+b#bS;0%J;ERLc=~nPkEBKNic!m|c%nH6V2%c#LFSqvlWkK*P zD|m$!e0dN&+X_C>3cex;ZnT0|TEPc`;5k#X1#gWwaa;FGOm`=%gxp%r`zZni82 zw*(_XokNt>81Q;0J=>HCFJ0c*tbUVK-nH zcjTzMzxfdQ`taCcJWlSjhwz0ye94IV@bpo9wHIfHDb8&^f@gLd#y5JgjqGz|ztxNL z!^|%TQ(PFPxX38J*M}d}ROv^(NQEiVVG1`)u`5iG4O4U*#n6VzQStLbc<~5cVpII4 z55M<08YB=8W+9vl7 zm<%1&{cgAlsc7sYzu)G8hpQ*%$9TuC&dLdlx+_-`lVYgu{ z`Y?{SkK^$oCh#eEqTr>6ScXZW9g~HJDdHMT6$dd*JcsGx70ifC!OX}hm=)Q9*^wd| zBUfWiULsv-2tqryAM(?%j3<`+keXEB8-D#9QO^A7}YR=gUKYxWPUVr zm5yTsLsi$hNrtEH_>v4Q&ajfak5iZQ>Tk4A_c(Qk@z!7}xd=U|8=V&z_(EPbKcp`r z1RrB~m(G(H@6pu{&mVl?RzKXK3UH?>_>RCICOEXY ts~_$}1Ydn9$Ii0KR!{|EQ2;28h_ literal 7953 zcma)>34B~d9mjvONxI$bYm%lXZRxpf)1)Q63#34A2nGtIhZXU;-F<1Ed3&(?mZpe^ zh{z?1h=_=YfEtCdJ8Iu2OfBfig@6Kx9D$XvYXwPdC+`5dH?^L|NlGJo0pFb19qloG9u9 zrquc$S4<_nq(IBqtfCkvu&SKJwt>9ord)qZE|YgXXGh+5bJ=2|_H~PwEEb~}FEHoj zxhUcSlMS%I)H0b*ruvinoy6u`&PzlwQJ^VcilRxNLD>!5uCA<4u7xg2t*TTx>)t{x zL$95=J#O0Xi()GKtTs&`#vaPrnOxeTvAbLYS!k!g1m9D=FFT)A=ytOyuatH=s*>qt zrBl8qKazHvR&lFNr(a9jN!b$Z%@tCP-Iw%y!t#TqR@ntR<@K`5mCYQgc z%k1scO6^xk%~hw$&s-iY71t)2*jsQMS&S+~mC5>MM)H#Nl1>*SJvVI^k_YTGJ4UAD z`+YmZQB|o`$#=bu-O`U$TWUz&P-%Uc_d8FfZza2eKwO%V>2yKnJ=*E=Gtx8eWYZPv zsH@~E7QR?TKUZ+;t(%+RiwI{fiP{AF z{E=$XM>dWnO)q=MQRx)yyz0E{!Lb(A1xaa{5n!B5-alw7Q>8$cON~xyVfV?FsP2=) z(96k{CXm?2cCpoV?}4=XR%ORvn)GXK9UW3?3R;+fV`7NlRRZ-smpg&k-K=EDvdEsA z&MZvR)WS^6k~y8lW>)#s%ux%oajbOeu`ov$yoN6Sa1wg-)$qZDE5h_Z_t#sAeWuI0+}qM!d_yDH?pYg^gN! zkA+QId#{DfT6>>`En0iOg{@lqfQ4;Z`=EvGy3h|2A6tawXs4)SFulBOy^WijQ)AIb+AxUESLf0`n`&Xo!EOh5PXF7(Rwi zu#_IZ;HDTk)>Vy>5YP~Tnb!jtj5DuK3e0M)6kY4e_E@+ZLvhq&n9EPOL0#E=Nk-j= zIKV2XPE?hAIEGK*FgvMYEXQIM#+A<_F*M>)hV5iN?{PpK!Rc|Pd{(xof^Frp-dTAI zR<2@DZZeC{$64?fxCoYOD$9%FON^g})gX$m2-LOeMm`?LWB4kAT-mXDNr>X>aXcZ- zl|E2Q0Q=w@)qS87Rr|gf!&CT{tkj-3weK*_lq;pYqWCV!a?*Cs&F7so^ZPy*&q~9} z$tuTZV)y}m$flH`(Y1oykLAw9U{UB#WB3Vv#x<NKLM@JMdRJXpIte`)|@JIYv zU}0<5XwAwVwc9!RPsd;5^nX!6?BUd^nj>zOlQWz2I(pqg!S_1UrjW$n@sBvB;hzE> zqa9&(9xZ=lNj-vp$JwO+R1G|7iQ=WI@l?HnMOiOQ= z>6Z%J@z!rq8{Z~|Oy|r}W}j2oncU~m(9)gb$zgZ0;L7)r#O5;`zb}_QD_KZp9Cjw7 zvDL*bN&o_WyU?Ig7-%9~ zX~6i^A|pPv78$RVWJp$$0a;0gUL_fLm1Njel0jF=#E4|TRqqV9N-|3F)YMEpf~Cx# zv9ji!;ZgZ9I4a4|s3ZfUk_?MVGAJs^kf8!YRr4rX-`Al8kLiGO{VjxTYkdnv#rZN;0A;$#|wDqnVP7Wt8n4e=~48 zTRw?z9efj%4Q&si`+h1B(Zc6Al{isMrfz8moPjg>##1SF7~r#maHA2PjdKj}&LG@m zgy$Hmy*mgu8{xUwV<>rV5T0a&=NsX3gK&!xUSNdJ3&N9)@IoVO2jMA3IAMg7L3pYW zUSxz*L3o-GUTpkSP7t1MgqNU~<1jcp-5@+;EIcy1_j8!G88hh*W^&BSX3`GNFle&D zOlFSFgf&=hg!4gomJvSA2p59zY$M!Zg#93VtPx&egf9rfbBypx<98nj!gG!ADkFSh z5T0j*R~zArg7ADJyv7J$9E2Ab;k8Eik|4a$2(L53mj>a45ngYEFAKtpjPUVB`0^mU z*a)9sgs%v~ON{V|M);~A+-ih7jqo)=c&QQIU>w`m2H`d%d=lPiSPZTU!tF-*6l1lo z55mig@J1tiLl9nWgf|)C8-s9%5#DTsZwkUIjPMpCd~*<9X@s{L;ah_6DkHqj2;Uln zR~zB&#zAsh5ME=1Pc_1~2jR6w_%tJYXAoXzgu9IJT|s!g5xyJu=#bm67Y*DWqyAvq zAnqT=gNJa4+NTHcnPGfRCk^9^NATq#bhjPGV|x$bYeP7j>JwC-9Ky~p_ubm??O{A! zmCyHvus6(bZkXY`FoPXtNQN0w+Ay|t4h=sV#Lo}oSvKsK!+5S->)#x~Z-?N%g1uj7 z{D(pOrFq^k{x*z%4dcJ%JlKQ(8$$LdeDX)(Q#cAA|0sMe&^}{3V<60MVVL2fFvG=R zhD*W>mxdWG3o~3EX1F5Ea8;P$8f{RYaeT;UyteJI5PRE)MZ+O6ehAlv<#m0S;fA(B zF|lTfiAFWWZVWTr6lSmD67G7dfO|z+&=0ucDRpZbLUa`-1R`^ z6gsF5tQO*!$Q$)W-c>j82DzC>$Vuqu0sb=Hyxf8*7{*jQ$s3&KFkSF)E9T=Eu?aJU zgIVHA%og|ISn(9*h!-(8(ujGHC72)Chy{_oSQsfF5xE+RB6nbMS-SOIF3_rw$JArM+2B&7GiD0X70In@BI0Hz5j!TVeH$`Z5ma%rR8S)mzr2!!hh4#nZ=M{n#QKdE7_$? zuC+NkSI8{RrE|^wnVIzD&4K*XaxN1xN=&2978%YgEN2%p$)%fflf#*%Od({HnMNp= zooL2?AtPiO>%1oREKR0!Y17!;FNx;G%ycd@S!f<1_DFVSE?rn&%ygMX*~HC4X36YG z1kFvEE9vg;no!DY848t!Lni~tc(S`KzP0XV$eb{vC3TT-Nm*%Wz>LMayF0<(8Dai` z2>5H5-y7f55Q&;SW@LNMg1Kd@87XPVMtjQ29t_0G<6F$KrY*^2yw+?8tZm4~z<)^L z4=Mb|Dg1byD}Pww4=Ma(3O`$;U81@@u(}`ukeQy{wakYkGb-r%rBGuAo<)6=M;WixPD$#>KT&!ozlzfJ;FMJljpLByHxQnKS5)~5S3YOSOMfJv{h3$liC0R0WIYAu%YGY@eD>#t zTK^ii{#y!vNa26@iK>CPYoOEx^w1FV<$#5m&jVIP@@!bRfF2r>d~Wa>rJnd&S--4j zox&ed__azs@pZ008x{VL!oOeP$Je{^jS7EA;qS2c)Y0*3*PkX;p82w)_bU8&ja&ad zrJf6@9He&10J`%g z0&CC;tdh@xmHBpHmHog0RQj(3)*&UZ2Ccx#K$ij30y^83d=9M4w*o86%K*xJE3mS> z!nXsfY!?Sm*{*`x&u(B1-cb8l381$W{*c1|@DtsFbli=gp;C8dq=zJ5IvNVQ6C)iD zI0GLN3V%r9uTl8%5?6km!XHxjwF*BjBe$F|p^eO^4oY)GXMe1SUWN`zb3|u(E26W! zjOZ+HMRdvMh|YW~qO-h==*+hwI?Kz*&3r4Ov%JE$B09^M3;UJaiph#3_VV1 z7e{)Qw<0~)FC#tktw_)9l@XoWYejmlU*TJkp5-~BOS^WYr+yn!_;#e1{mGGD%3G11 z>sRl>6H+35T8Z=_E7CK7WTa;RS&^OrDkD1ctw_)E3SWuzX(iH!>_{*D zcUOQ=2L}|cUq*DU--`5Hzrwd7J?E=O0lb~03l@sNb}a3<&_m6&0A~c zTPpzjBO^WY?G-@wzq>Mo>=ghjSUS#;o>s7svI3-)6(D4<0Ayf+R{&`stgHYF$_fxx zR)7U%1qdrEz=E;@gsl~T>z9$9>$g?_$>$Y-`PK@+@^S@WzOn)=C@VnNS^-$zjr3t< z1z6xcJ=bHc05pLYls$b|SpgP?+!Y|KtN;sfH`0fd6<}dV;ae*J*Y8I9u(ARyC@Vl% zSpgQ56(Fpv01Ld=XaB7gfZHo0J@b_nV1f7gGV+C$6<~q)`pj2WfCXg*2wN)v*DoVI z*RQMq3%u9odXyDlf%p2%S5|-pWd#T;E5JhBjr3t<1y~qT_{s{fpsWC4YXzV{u%PVq z!`2GG{>w zFhHF>eQCHU&=5&)X^O1f)Ei8;B+CNv(`KOO@Oje=_L&nw@J};;{c-^Oi^Ol3SOb2> zlJ5s9`g%iqfU^=%>4KTZ6GiG8TQO_jgm%J*7) z>Hk&9XaAXBK3j%*a>P$J>>&SD{+!ESR_mE}>nRH>{j=!u*Q@-3%ipTBH^;oAIbxO$rmC8b?Wqrzh1S-Om6es0 zo+%$6=}vZUBfaVL+L8zQcGWarYFj!H+)#V!Vq)aPVAIh`$XzNgABEiB^^v;B_GDmo zB-)=$H`JG2j?^XhY)Ayk7mm;D+0b=pXQXZ{)Eg+BGqVlpv!t?u%{tlMgnu44vxUR?^Tn zQ*o(vX*@Vp6X@PfRq{9^cqCov9b?yU&|7Xn`t#Eet z#nycGk!VZ9g^mXF|IX?3)@Y>sbX!X_5Gp%UI9h(OHL|`u)7DZYla^x-nxUz&J-4n8 z!oSS6#l=DHr+PYXotfL% zIvw9x*LN-bKu=(8Sxd_qQ)ClOH~PoA3cY9c%}{-NP~XCt?h6~x@BJl#(tW`L@xhCU z*=toz)E^f^lWSW7o7=A+iZ*qe9P64H*fP}8a<2PGS?ShDx?yjiF23<-<;A^|*9O-S z-m1&W9}Sjtcb^NDVEoc8rHN=dIa}8?tLiU|S^DYifvxeT_SxF5*=x63R|dxu(}%W* zqZOOco|=trdxGtSYru1UeD6H`UdfHsT|Sz)cy@r=eV;3rEVbp@-SX{r`RN){mT%ZT zQ`;%ady?HbISvi&7>C(*j6*P#xYa(p0reho_0nZ_y?d`J_Cwu0-HV}`wUit#Jrk_! zo*VDF+^?}9ErzRiWaCDCBomK2fKU=pq zpKrZ<^!OwD%P;N+E*|c%aItfb8Je9rb8Fk~OPzCvDyG^iN`j?@irri58hXr7d#t78 zeCyPq1jT`eCdRfkuJn($+&ENqxM3oFuvA1teMpW!TCz3W-h65A!r9?lXZ8RGx4MDX z`+EYBN}5-Xi$&tV%W<>p+Hn8TJtZY&!SY9UGz6Ncz7UOXWNly4oG8Kg_9dy^={=1% z1ja3LU!65>nc9XD>bH`Pic5Q!;yWAaCK!jwp&m1acyyzwt~HaneeGl3>~W<=n2wu1Ld{`i{(@VB^t&@x60Y-=wy_wc+lPo^{r^lvS4v z4GnB;z1&mPxIJIn8Cf6So#1|K*tx|F6|x7{otv#~oyZ1nZ6kYoEqmz4h3<x`?VGXNH@n^}>zF@O_0WyMO|6$NRIj_S`@C%Dfhl{wjhf}uPMU9- zYH_0pc#W*M`VFP+nM3tW$0{!F&5mFkFpg!9bL}^D*SBAv*@Ag|u4{qXn{n$64-C<*ts~{|XVmqlC9tuzkiGrD+2*?T%NMo`B^OS(^SGv^vAy!r-psY&6!%lRWjB60 z-cZ(_nHdIN@9UaAfw+XY$@=}QZ*HjzRMNaS*K%{@Og!0Aj<}tAVCQz=?n-&@HuEs} zw>O0L)OF-fBsS9c?ziXN#^8d)pPYAf?YTqMg%HX++;Y>Mmt4NmB*#(d7dvij>YSdu zE%Eb^tAD`J$2g+j*4+@}t@*y&v6(&h(RjM`q}@0*d98lmS>SZ$T4HLYsjlM@>gOx& z_|@6CKwP{saK3fvP<1X;dFkQHJ)Qm3zHS%4@$QQAdncEMn^weywq=r^t!DkS#nVw;> zLhVI;HD*s+zOVXlE!MsD<>kx0Lu-2m@UZN_@j%*)G)Ed5HgrTP_w%CSHDI0S|*BSg(4MfwLzn4x@ZKl^@uWEa{DP zKM;H{7%0QKlw7Fp!MfBy>r&ai;Leu(Sj%kBHt=hD#>&e3G8LE1P^7x#NNjC9us*)4 zELOesa^1nMt=p49SER1irAJO~s>5B#wWHs)CBGjH`dmyxzsv64qVQiYY#lY@q%!Dc7WpF?Q0=C za-HoPMBEyCc=<$iVMQSRUI<2j|4!h({}|T8{Gr6Hm8Okx(#O1_^#eHH{Lu8#+mkC; z$AT5fmZ632p7K!FwT6Z*n4jwhhOpj5NA~B=!vD#!>d|Ba#w`&XYMI^GK6|2~v371_ zM>Mj2b|_vFh^_5xJX@Y>&1|n0wMoP+YrR2y-gjq*cOKwTVZv)wik#dH!KOx6hXh z>G{dBI!}C2z`!m>}=Le!Ku1!i@SmPHQYuLSc zpVZ%7-_cOgB5{&m7~XiSxvp!vq|JMsEbEi<`Qx|F3}Am8dxANUZm2G6tXN*p^>*#Z zpKHwnzf!I**jPJ@YpY%vhnmPv(#0eC2lqF-X7(f_E-rb0I6WSSCM7--jWdX2mq$9q zkoUTDtyxOApFBEn{-F%ki<$=SHE=BPeniH}Z3XNbN&bPF@=GnKuXJr%kV>83>3+or!d1e6fc6(W&X1-R&v+K=cSkDFJgeTgk%yi$n zesa8R`9yG`0sG#|nZeQ1 z^Xkb?u216bT<7D-9^-Dqj(O=%+KMOrgsZFL!L0W>5N(j{JX2_IzOXNE;rsgdVE+o? zkL%@m#`W@i#JIQY+}JvlJucRnJzM7bx*yolTn>3_+_4|3N%qKiDdR%2jMgQ0Uxv6# z>s58x`iDb3-96!0EA}&HbheLifcV;YrTKi~^4LMbE7ldcp3%Nv;wC#1^zQRyeHYpq zN*r%5Bl(>|5LVs-8 zK=K?9N_Mkc{y6#%^LstFZ>1-J`+_9v^#f-kz%lN7?e&jwPW#Wqtr|0^>$mLHrhAUBt!6<=KcAW8 z!Y1S)u)bq`ruJ`ZP8RR0GJ)vA#HOzKOf~l-_W8&Ym~q^vA@3mjlkPVz7s+A&RV0Uf z8sw~YY^X(^E0e$Nw8M^5)Q<9z!CTek7cuXvDKBAGc&<0a198UVcKelZ*I>d%aU=qpE{0xO0tY` zHr~0MEHkSlzy8+t{8;PdzT*PBeCyF+ z_+!P1<_pLh1jpF!a6iRetY^P0}gXifT3$H&)(W1Bk@gpY~pPKsxRe(G1|x48UL$**DmIgVo;;&x;G1nx0E?SAC_ zfQ+|Re^oWrmTz?AVp7kF*J*D5{*x)hXY9v#9Id#3{h0UK89zHeKW5&~dyV@9%OA>@*!ZP=7VR(Pc;ZkPP^rNK>wQ&dt8!b4RXIWekM0li8z)&zVR&9uZi(# zF@(4^bEvcF+#u!&?&~^s)BO$d5ORN7e`|WrrFQIVZtb`Da(_B-d+BWR#)lAZH(2!$ zZaXMmW1qHnc?5WFHp85+#XQY!%bgtn4&}b5wqu_0&-p7~|=N;}iD8#|{?U|pm8hmzi#a{U`P2>;LTm2m?2jiqQE!u=`jOVd4$ zfAT(ILgr~npYF@WkoOwnz;>MW6hlU30UE#uX<&lrq4=iW8qv`JR9oNTs+!nIO zOG~$!F}WY3arErVFPhbI|2T&6=l!_MW6S%l2Iu~ura|t9<-V_>WT)g8diFORoxQ~S zRSVBy>`!N~FTwpx0{f`O9nAw~<-ozFE5O?n@&m_Cj@Q}ydR$|DI^U96vi9{y_tU!2 zI?*>xag@gy^XF<`aBM-w>GR^~o(-+IZ{hJCKe+MSz{b`<-NSN!o=*)n%~W7tvzzXB z_3654}Ra?0Qs)K2Jdx4 zNdw!Z`-2Ad5BG0fXbT4>4EyD_VP;{ zHyMv^-1J_fe*(0x+puGAvQ&=iN#JTd_d{Ws_ASXE&%aFvdEd?R(^^+{(S3<5Z{l@D z-k)t?eK{YIAIO&+;C5N-m5k@?2dy`C}!f&a@^>CD?xGCiVOBN-M?7Rae%j~ zTQk@nRq{Nget&@SRyICv5FT>Kw`MJT}Pn<`-nBzXz z&w2hMlqV~BnA;`u4f1{z{VDISyN=2Ge!|fubB)}$ZI=7V{g{7<`;kS7Ltck6sm>;O z-?WwcO|B1$-8I}U?58&u&X)7~$@a$1lzOx~;OF z?(Zd@0}X6 z`1Kuu?H)g9zH&ZcE%UK|DP3p93&I(mgj(a|`l*hS)nCs3C2EZm@^_*^nP<5FVt;1n z`D^{Y8Oj&hxKr+H9XV^?-9osx^TR7ASk8(kgwOH;+V5ELMCN&D z-Z|^7ybqdu6!YDh&w}o6jyxjwiPrepc~;b8ji0^Wp?reL^HJ)_xZ%B~byUd{)TG^Y zAUlG5qCMWU4nGh~+i~v(){kN2|M(iu6Lt?+>oMW&NWhNo9FOey&imkol1)~>Ulk_T zzhTE3+%L*;lKD}2zbNB~bHA7@lh?8x!6CLQ`%BsX?zi{F7!TS<+xumQkNyY0lJhfH zP6U%OztFQ8`E4_3=eK)LQ~>8g?U{z*b>Yx(>r}FA$j%=e-g!O|sIl_jlkr{c*w-MA zV1F=${SDoxI~P#@G1Q0r{m#r>-KLu} zLwNqtbNF1m0ncC32a;$&JQ;YfkfwY^vUFsooAU2+9mBqd^KuvmTF2CDJMV|Q8ph@P zPAl(+xB@?Rua5^f55(6LZ?nOhvLBFltDQwYX{Nf7)_GcYD1RyQ6Lubo+KK++`ONzS z%MR}ota9p4(yylaXdRS!8`!7#hx;|V-)nXyWgdX!fG5sJ+51@RQ)@XNW$#C0crF(Y zw94n~9rNSSsg=30{kRXNIA=c(H#f-VZx=iAz4W~Q0`dUJvvS@8&w=~QO}cWPA8XI; zu4{dyWLZ8x&!;-q9i6+F$WdMd@m_tqg8V%%&zm9Y!=HxI zi=EdGb>5m8tZSb?y64uBxr;4W59R%he9o{+Ir2qA^10;r!NT_Piyc#E>G?+;?q4Z? zj{E@n&sWa-h*bSPyvNg3)Iaih#<`A}0eT+?c=ffvupH#)sIEOZAJ_ThIL~&`T(9M0 zUbXnfMf!WLE8mlN2>X?Cdaq#`&toRX4sL9p&ym~a(#MAtxF^lBg?N&JG+r{8!cGYN@$(xL;QR{7{tdH;px+ww%;^_S{gDsOe3a<01b2L+3eg* zPaZ$Ly-{eKO;0Z78{xs;etU$RALX)S^PsAL!O1L6rzh$6vw>_LL+0ppFH`5z?`jYC zo*F%78fKqqL?xZ-KY28Pg3-Q#-eI&>{!I-e&q07`7AUzvu2fh*+#Ow>&t=+CVK9q| zQ$18-J4wK$l9hC}KqY09vs3gpxR_a*m!eboxlC$4y;#VmbHs>AhAkhIS73+nyW~`Q zYHHCm%AMK)dQ`qiy?)5Kkfsl!JVL5Vg>)ff(JPCX2pH|2*{M^7#l7g9+|*JbML#pn z%%!emQ?ou+E>Em@Zc2IpiNf{FWGaVl-EQ^4WIjhfUPef1&d$y*7t#~COmpAdROUuz zY9zA&FWT=-()FLFZ5R3Pw|gav&;wt{U(1*2Iq8kV%~F%$rkBfpL)KG+BTL*UDRM^u zqjWKMqu}kkRA%mueeU=iBh{&NAuXlX(3Px3{=}Rrkag##rdOs?S??v4y6RL|N$OIz zke+eHGni6~vi>Ui;rb!~wU|jyr6gYkq4Wy4aiqvG^r3w%@nEa5>E(0|wk*6z)0mRv zhQzJ6DQnYnH&ZTZt=@91S!j!Ut;yQmPLP)&X<(^9ucqL(yn=CbczQN7wIZ)8=z1{KR$=r~z$ zm6qq0@Y{TO%@gYpNz0~iM^b$fM=WY}qBSbkq@!5MZInYn5Le(VVf#OxFwTVc*G9mW z7{Y3tx|v^|o5>)Iuze0GQR#~{Qhaeeoy(%vX-aV?Q-`Qi%F87iFlogl>2uSuWD-+6q~q70r{y3|xu~>NTlz<#PIj&n_aIw@BY4xtB;D6dTrT zO$9ppzGR_TT5~29H-!h3Q7+5n;E{25MtUlXxpqrFzl;X6gjA5%f-7*si*so^%H2(! ztxQ?F(4J5sS-A8FwsBHwc@_~OwX#TyD}oWe=%KJ3W_g~tD~lZM;&!di5BZD!i(*lA1;f7dKJSIJ#%P&dGa-vBD%%%&I zSEN6faB{`M3?vsab7*f^YDrYv9gAIsgh7=oQrbjV5t8XZD@YwcBgn2;_~V)sv`Zy7 zY;z?5^InFdEXHMvyku>1kyazC)hn*cJVbW6RH=|PNPMym7J{YJiezv*<6a4=RVjIP zETGnLJ=}+i$l?^O$~>f4A>2h8cu_A?asqQDtRopV-sEr>iSlJw&#N5p+!yL7zsh3!Sd!DYjoLiy>4!0MnyX5l7%P;1(ER$1g zNO2yp<@U?r$99!H1!P!(Yqu51Hb7~`J?vKPXb4j7E3o#V!XDo89?ncU7cKIllJF=8 zD=Y6>QSb25JmRS=4Kgh!fxVNXo|Yj5TBsbZ%S{AdVUkevvnr)^*J(BjSbboZ@b=!V z#flm-nAOpDgK%Fpk;_kB!-9z|o}9T9-8UAON$gtlT%#(BY3qKcGFr)NJ=Mxdo1dPR zZL0JX(F|Ve;n*?STZOc`j87=dpw~8$LUwk3F*AwpEAhq?fsGbq3H$QH$YhC|44&7{oN3q2|n_ol>u3Wrs-R#cF4?dx# z{q9|}RRk5tS$EkwIjabA<<{M`EfrU4legWFiFF?vO(hQ>9!?$WQ?L3@!j3E(9!`#> ztUK2V;v78&;pE7%6ef(Y86$n?(Q#qAWHQ!0+O1Y>Uvb5BrB+-{(%qxUqp2e&`wzo) z=bCFQ!4Zh&MIZH-!tbA9^|T%C*5U~;tY49dY8Nu3%VrOrE&Jl#Kv*eG$9?CI$p8IgTM z@zT#juNLtV8eXf02TzK0+cZ4nLyF|u0XNl`-oa#de=j`LaN(nd3okWX_^IK-Qwew*p1(hd=kHJA`TLW2{{AGMzdwlw|0)jm^bDlBlY=Kx z-F>4Y2=UC2bS2YcqrIuKeTOM8#2h&W7Kbb7?dh>aVp55R1`v~1M^p{2gXaj_lp4m+IMo$c4;Jec-W!kROX7LAC~jwhX<0W?$bx?U=Zc&kyIZhtgtT#@nl2F zj-)W9O{3;Wa%2<}ceHnS`1GmK)Nt?NzTw^;%!u;7o@CFl-c*7*3BIkdu9ZHkP+1hD zJPew}aaF?VIklpZ)Y&9_T5F5-CY6xq2ojfj%&t%Ns}=dyS;~^f-K&~bhahT+V%XdU z+Cx@l6?zBFVsgFIMqzRK2U8>G-ENVi2uIOVm6imt&^#Jfi*s_YAFZ|9HPSP9IMt8- zcLg}0*`ADyCPxvexaqbQMPjSvcDE7BZMZ#f#HEg~2L-O2O7@(P3@`T4B%47kgn9eY ztu?|-IX}=G2-y9`SKLC`c$Bf8g>osapq#7XzF=hs@lw=6Jkt{K(Y_<6fo}`X_JxIK z`%>aL-Zuz5`{KBtM{&o`qqyVewQ6`2cl>e`cl#c@B+-=8Gs?@!|S`;&P7{v@8iKZ)n>PvVh({U?)$ zQ==zSr$>5Ir}|Hiz?V?}$ms;495w==T-?|f7H;fIiJJ<#B8(1pQ;o${a#V$LZTCXOa4$A|qnPp5HYq}GTXQI8(cBeL>xz5A zdb-hj6!rIF8LFbMk@CZ+M*f37-n~QFSIb9*T{{(y{Q*;!$7Xij4z<|Yny)e6jmK>K z^k;E-jGawE9i4Viy{^=~4dNAi6!J#QM%Rc){GuuR?hqgrGx zRE|e+^yYkK^+MHsOVxI;OPKF7-yb#KYkr_IX#OFd?4;-Cb4aZ;qS1G29h5(4eyGYY z%n#$y<#cv#N|=9azKd%6sA+8QsncV$;pMqe^i*TW{1~u4aPsi!{@zA<46%wVtbE-3 zvxxbp<|lwFy657wPT2e;)&o3pLVg@i$*3EaTV#LEgQ~;>X11 zXE8)GnF5cuX*^CHBCGFOrr!nU>Zl&inyUl%l{`ef7$#y>YcA(hk(AklAr2HPhQDjs%-HO zOcBB{KSHbn@%lU4r*P-%d8pR9EU&*I^P9Tai^wbp0Z2M6W)@PjVe>m)pCMqm1FCrT zBZ^0529ut*K>MG)+9UTKm?18ibP0-EtG-O zR0Hru2pIF%3Z!4*(?_wb4^pEKw0I2S+ExImQ2;)jqkwB$0i;F&!0;&G+ExImQ2+`&3b?ivv;aw9 zyj2CdwiJjgwvfO8dlcNUry`AlZ|oE9*b}Kyz;JpjxFem=j&#B|(sXUNK|9h3-$>K7 zt)Lz0gm0wj+E&nxbiy~%bZskWM>^pfX}Y!*v?HDHjWk``3fhrw#c;a8850V(dulgR zxm5v?#pBY7;dS>1sNj^Z0v8D+s;R(-gjN>`L~0a#NN9DDK%_>&hlExa2}EiXd`M_@ zkwBzI!H0xa7YRga6nsc%b&)`%M!|=KRu>6GY7~4(Xmyc5WU<0N46i!!bZw11ks1XI zXN9#dNFh^=r<~!yysr{>^!I5;f1hvk>Dsoc9sPY6Vz~xP=4WT|k`54e!)MdQ0|{#! z_u*lmiw7b#3O+pSbMZi=M!|=NeJ&n|)F}Ayu+PN2kcX}Y!)h%6q5 z{l1Z=Yg<7(())cQP1m-9cBJ?FMw+f|1?@=h_l-1N+X~u|-jCs=vs>g#b@ZrpvW0?J zIDp%66=Ni4SENP2ejftp+E&maV80ImbZskW5wPEf0J^pnv0WwiUDpXvc7>BTd(~f_9|a zeIrfRwt{w~+kGQV*S3Ooq}zQXP1m-9cBI=eoDrmP=2CbtoCyd1(bpt*;7PK096Nji zPuG?~A~g!Wf$wk!o=A;?Z{R!JfhSUmF+>Tr=jq^1T8_ZoX@ z^Ts5#5Dxs@SB;C04lO=9eE6ViyKl7k=l}>p)4AoPE7+Bkl=#fKU?Dk;*8~=NiE%lX zlkZB-hD*Y}I$Zp8Xz|klkcg?tLeA;Dl5$@aE}}?S;|6eocR<}&y72}*k~Sq(zIt3_ zb!d@wz=tflwtA1qV#5ajpDMJUUd@s1r=Xn6hfC_cmPyX8NQ<}wfK^dNSF+)f`+OC- zNF)`FM?jYvAP%^|BT}OP9C;L6h#k;E?0^qqbZxgy3$X)$j>m$FDk{>r1f0+-z24Vm z!zKRFaglXEi>w0xi@zS~Q-1?oc#($2AArTv02fCGK(5o|s^DscCoKg=#Li2B*69Ek zUL7zT9nd-*V8E*bhNA;orvn&xb--|RK>fRdIT9b(uzL~HD{_;ElCM@Nr? z7C#P%;ppgb(1M{B%3I+G|9Dj3^;SU!iR--eBcnw@2GKelKQdYrWDu>>@gt)}K?cz} z9X~Q!6l4&s)A1vtML`D9IvqbUS`=gut14>;wzUgs5$x5#s1qW29v*X7BB`_U3dK^&7(rZV-0Tt@( z_;EmKOvjEM2b8$<+EH*og&I4Qp!Dbv!?r`TPREY}N>VyHdK^$%(rZV-0Tt@(_;Em~ zO2>{K2b7%j+EH*og*rPJ>PkEx@;n|Yd9%MF1ss&o)K%mM2PHBchxOo~)TP&91sqhU zv*QN`r86BndT>wz(`!co2Ni1UP!7|hLk!yv(K;Q%g8hICdtjsnyj3Vzq4cJ%3O`mT z!ReUQV}-JoUONg_s8DCej}^*fI(GC}q1>g{jsgoR)YlM^cp~eoSMLjyiuL@s%+^J5-j{{1XI=<;~KuJ=s9R&wesIf!IQI8HWY&%5jbo@A=+^M6Z z#{p$ay>=8FP@&F_9|x2{b?oSIKsi&d9R&wesI%k80p(F0J9->Y=G1FP!2uQO?D%m& z*;L1l9tV^^_1aNzK!rLxejLagtCepcTBAd`QjZ;C*uD|1)A8eg@~4iD9tV^$_1aNz zK!rLxejHHJ)Ul(-0VPDeb`%^?q0WvU2b3>$?C5bonNY7C1qW29v*X7Br9vG$dK^%y z(`!e;0Tt@(_;EmaPREWO2b9_L*dd0kL$t;YDbXD zgR+!fI|?$WP-n-F49Z+OcC^Sy?DZi-GAtcQ7u!kf#o#+zBl!bXYim?`!|Sw^bSl$A zCb8EC8Od;Tw2(>c^+855933rW5_^FGwL>Mt(a{1VvDXI>$#8VE07>li0Yow!9W6i- zdwl?r3`a)`ki=deKqSM_(E=o~*9Q>EaCGzl@uxQvN_tb$8h}tXQ+e}rHeC8*U*{|N z&IHlAO8mf3@|_8ybvk}vP)5^kpgy~qpaiA|7)o|i7V7NyfuUqK6Z-6Cf)bcsJ774z z>48BB%o_WNAw6`=EXp6=(+45KrEl|B2x+H6J!&Ys>90^e*pn5$-CrT3oeK4kqSU7{ z)KlTo_xLM;gj0zgWAfcidOMn$YW)m6y^=y@AMjPCWKa|O3~GWBrt){%bmM0AS!Q;= zaFaU?KThzBf|6gAs+!UE=T{TNu$!dMuO=vk>b0XFQJ-H;P&(DC1BPQqAENyE)dVpd z9es$RbZV5J2hXOK=fkC+_1jgDNy5550lvJxD&W)STN9LC_39}2qC%Y=AjYesz)7EP zP5ASzl419WKHr+4^s2{>l5drT8sGf+)&wyeJNgJk=~b^C1s7DPv*X7FU{I#fYPasZ=iKLejF&N)dbNx9X}2zo$A;Dtg@P&K*?0c zjvfb;CiU6@!_m>>fU==p9R&yaRB3`Tqh1{a2UMtQ4~E*Squ@ZFDoyxPrIKN{N1rN9 zP-fI)M@f~+LXB_!RB3`3jvYM?C^PD{qu_uFb$0wXp!BF?M~?%_jC$=TIG{qE9X}2z zJ?hxe*ir3uQ6dUX^WP@&F_9|x2kb?oSIK$%gm9R&wesI%k80i{PBJ9->Y zX4Gp(!2uQO?D%m&=~2gy9tV^S_1aNzpih-1{HapOub0ZbfC_bX{5YWWsAETu1Imng?I<{)LY*By4k$h9*wN#FGNWEQ3J$1H zXUC5NN{>2r^f;i*sMn5y11i+m@ux}?N~%=SIvqa_C_QTXrcae7C^PEuO-Yr?LY*By z4k$h9*wN#Fa-Uv13J&y%(FEl|y*dgGs8Hvd9|x2Sb$rv~fbyVTI|>e{P-n-F14@QE zcJw%)JgC=>f&=~Qovr@FsAO1nBwg%VD(P%JZSajKPAJ_ z(c+-hpZ}B$M@JhETK)M?$#8VEIB50fKPAJ_(c+-hpZ}B$M@Nf;R)79eG8`Q(4qE;B zPswm}wDF+TpZ}B$TSxzTXDekiJvdO(ow87a1OMxttxCF6(mEYqJZM$Yos!n+_~JpU zlJ1nWPRADyT9tICq;)#Jc+jf6%qeM|4u+b4Lzze|%Evlo-}d*U8zEZtuXnamj?>%w zZiJA9I?KKY(W<=ODQS%k9-scbZ%5yq%vk3Sn0Vw@M#89WhwI$xY9d$>tRzcokOQ4q%ueAe zrZe=_({(%g&MPgk&r>LoAMXsOuS}#0_@)d7$W?;fO^V%ObrGx%){wDUj3LIcbUK%v z$A?j*sqEZjZh0!xj6_pv@=AJcE|Xir0F{vpYJR|5vsPLJHw8CS{rCk*1-a<@h9`78 ziXFncQC=|^+UOc8RuI7lf{kQhD+Z4~UfPrF$B&qt&jHC}BIxU-=Y6bS?e|M9%kZ|& z_169*k>!I3J{a6Zt~Oz^OcXF)_~_}C^wO2pfNnu4Fh7YYr7FSHJpf*+i(pGIL52~H z<7yrF&Y3 znT;@Cel&P(u_#)4jH|+z`__2M(QEX1`Jnw-->Acc>=0Kz zjBZ@KzKG*#GM0z;@8~Ov8T*;dG6u%#_n1 z+-!{RkY;=}Rjy{v*8w82OrGh8vvX4X9wUSF zxM+e{ww&tz7coFru?RjV_*`n>^Q6aaB*!jFe$LT`r=}nKJe@_6{luzh@yV|W2^w}Z z+2aqIj1T---&c1-&pmi1f-ed_My-4?_B{StarRhxIag@JhNEQ1PAr%8ca(q!!u4Qh zp9sD@_zJ4`m2!P3tmK#Q!5VBzV~f}A3xMJ^42stRyR+A1;53bDZ1L>A^-TS+B?4P5 zZg&o67M8R4*r6MGwdx}H#^9S`MlkqhVXiUb5hD=%ZDFo89!C`3a0%NVtjAeRaG>~G z^}<|dt|#H&hlDNMn0w?VwQISMp;OJ!OJ_vz9l>`-A@?p>DWW&dkn>l{+(vw5vf8Yn zO5ZChwb*KU}TbTPL6*7g{&QysoJD4gH z<^iTc!t7)!BFrwPV#0igsd8aH%v7Z?4>A=O<{_rm3iEMHtrupJsTyH+GgT+d9;P-3 z^DtAJgxSl~eZoA#RD&>&GWCEkk1@4Xn0-ub7v^!Mb_(+ZQ@e!O&(v;V4lva$%t5AF zgn5#wR$-oEs!fMRZ}W@=2BlT2L@<`h%o z!ptz066Q2hX<^PVH7U$1Ol5?bWoky4SDDHR^BPmvgqdS%R+zI)<%K!N)PgYcOf3m> zo~dPFE-&X6gyTyw22sb>oF7E{j>=A%qKN0_&ndY&+!z|`}F`9!8(D9k4@^_Vc9%+yPS`D;wQOqjpU z)GLJf6sBG!%%?K-8eu+-sn-ef=}f&rn7_f)n}qocrrsjVXEOCxVg4plzaz|NG4*@G zd^S^W7v^)AdZ#d-%hbDt`8=lnK$yS9)cb__e5O7i%oi~AN5Xs|Qy&uMi9}IZNGl2Ps!V>pdMY zbJr%?LaR2Bg#1mEI{|-RK+rkEhJ9aE%x%CfT@z88|HPZsE0yOV&_Ou&BxX1HPAWZFs;5WXyJ*Y3ize<}wDsOat**M4X0_dWt^4m?w4FsCyi33K^65qY6Je`w z@2zI5ckfNu>fd`4wtD#9gsnclH({%n?@ie1=erFwoshBj-bRl^?-9QwdXM-e(R;)% ziQXfAN%S7^OQQFPUlO(YRTsDTKq&jPDDKqzbvUOiHJuH@BD!VSced1B1l`k%Sn!U{ zIVVxEoNnD!4ya-wT~zg-TXh%z+=G7Z;-7Q=&t3fE^G;akjy+6|1nwr{Y*Owx2<{15 zT$gUS<-@Y9gSA*pw_e$2YV{pv8Qu2DmZ39OSV}uc?&(}Cv_}K8I~>}@3c6-k=T9Z% zSzaur8+=R5IpT{Yb(m8n?VZEjW+K#KBIxra{h&v_l-tcq#Y%Z^%Q(0RROv7H()Syd^=p0TWM z-dM8S6nortV7CP2A!%IQDnlt_1D&0ASHmPpN2;-)ZvI$?>2$HX+I0?KyK8msu6C6k zRE}%At7$r%O~KA8=p{)nbXFN_61EYx%5=1jf@3fBaZ_7+#vWItjf?)H_wHSEmCdJm*c@dIR@r>|MOWE;`bAgSeELOK z*?js%SJ`~}MOV3bQbq52^HeOn%FR=;@G3V?#llwqYJ-=81@?KBo2TNMSGjpA7GCA% zsaSZGn~$2Ko`>Jz(qd9kY#is&6Zg`q}fumWXc6X7xzhs!ako0$#S}Vo=;BM!RnR( zlD5u}Q)8+c?V=Gs$$T`h&b)VGb z@J`0urS48%yGLNk@zjMS%}JT;nQrjC2WYaKZY6fk)npOfh%3i!-nl2-Q#kMJllO2= zmP;sa7~plP%;H=+*F2Y=#etX2gYr*L9_$;1J}R6}PiDG=(Pcb@r|aPt;)N?ky_;X) z6~@EHK`O>;6k!}mnYn?wOygePoRn{c;cXsziKk4e<19@39SFz6!#BytN%nB0keut0Uo8g1`cOv1pg?|_C zEO7;=7BhJ71bTSSqSDf{cvAcwk?`BY@5EarXzW=WZ#qw}V$z!deIoqs@Oz>t{R1?F zE5nOorgvEW8puIqMncAB^^4THP;9j;W*B0er_*D z3h#H?uLe!#bGgi90R_$3+1cd+y8x;1S#PI`@Mpq*iT7H=TE{#pYro=Z2sJnq{u|Wfp2uk&6x*MkotR1wWD03a zzCwQSW+?o(^y+zz&P%mvd5y_a)s)q__xU0!sW zZTRoPUx|jl9R4bL=4+VQN*zyW7^K==Z7;mPNrvfMD zuD*b18}pO!Pbu*JOo=tL6okS!L3KUXXF1V0o1R?EHzs7eY~RmhCVemax$Tlu+%&pe zEJE7%V&TENX=?&X!VM@7yLEd5iuB8!)Xo(01P2i@BLT#dNJ(WdQfeA|R;$ehsf!^@ znnY+PyRjV-gwWUZ@82qwQLzDy9Q5C*@j6j>vT!_2F~;44eJY?CmK8pp7( zN7f6YkEt4A9A~Od7$=z8AdG&dHVI>Zsr!U6$W#MXGNoeb9{S;tJ6O7*5yna56j|OX zjA5p>3uA<9+$oGvrgjPAG*i2UafYepJIrf$lDeS;o*dA{0G+H0AGu*Uh+WZH;~e>j z&OXmnn=mf0x9!5X$o?D<#yIn!afx{k3nRt6L&CVsRC1MGaV(};_OLJ}nK~kjDW;AI zBg52jVN5gCFN_(c28D5jsZ+wpGBxZSa%n^uSB-1bsMEs8v9o7|G0VI$Va&1W1!3g5 zbX*wo%u5Mlf#uS|SY&Ea7)vad5k`UKW`wcKR8|<*x%8SaR+u*{j2lelg>jQh7liQ$ zQ%k~lJX6cUxW&|pFdk)%o5HxwyvGaU2~0gIj3;vG6NK?3<~>OmPiE@Zgz;-kJw+J5 z&eYR{@f408|=$-h4Bow@ms=pCim3~gz=k9y+|0( zV(P`hcs5fn6~=Q|`$l2Bka=$w#*3Kx zZDBmd)Z2vdVy1pq7%yS!_l5CN)_sRCUdFt43FGC=dyg<)!PI+&@k*xNFN{|)^@qZE zHB%oH#%q}RurOZBwfwO#UdOyY5ytD8`cq-NflL2P7;j|i&xP?Orv5@0Z)WP#!gvc) ze<_ULX6kdocq>z15XRe>`YU1l4pV<4jNfJIZ-w!DO#PiOexIqY3ghie{k<^W!PGwp zQyA}J>f6G2H&g#8jQ240Pr~>Erv6zN?`7%-!gwE3KNQCMd5r!|7$0EXzYF6J zxxGIY#vd{D6JdOishrs{?98KyQ0 z<1eLu(apm6EL*!@7@uR_7GZpz)PutKYc6dP#@{ftM;KpX zYOgTn!&;Vf+JA-NN_= zmmU_zH<>yjjBhb@Oc>v0x#Pn44paTY_(!G&h4EdMJ0*;NV&1SYzQ@$4F#egTGs5^j zQ|E;71E$Uk<6oG%D2yL+uU!(xzjA$-h4F7(Iw6c7F>gv3|IWN=Vf+VESA_9nrmhO( zKbgu2<0nkb3FD_+^Sm&A#=J#g{G6$RFn+hP&7Q-jJ$3ZaNc2|pHa6|HnzI*N$}cZYX0mhB`Q{Nx_GjlZq39FCMg-;_ z%FbmA2l0hWbzf=SnBWHSjEMeP^w*=&Cq|OPYZtv_qWlf-;>Ec zSPw;?fi=~3ulEL5)q`IwWOJF5^K|#Qgf{-BX*4)(yn~c%@v|e*XGNcbCXlz21^U^E zyNkJlqSsnaF1@tWC8E!Z{#F!qJs*h!+dZ#fD2h}|cq*U5Eq?AM{e-E{aq-UmOpSJT zwcZ+)T|Y1M(Z`}M#!Y-~+-8Glf^CBV3ACrlU;ZmFXB$cx75Jg;%D_S>xlv ztY98qnXY6CnU%N#UH;9c2);h}2HcWFKkZHO4dd5?i&NOnJRE+Eia(1HQhw|t=j-RA zMk4wJjH!FyGkRq)zasBPqkk1OnxbEb8qHEflcoUzWzL3vMO2!dqd#UAKe)0+$Vz}= zMDr`jrKS8N{dDN#(XU2~_9!wAYwRiHq`IPCM-v?A+H9%xjY#w#qDUWXMb4~9Vj5Wi zWV=T5{aL!1&Y{s;qTh}}1Gxk9w1|E;vMy>gME?n0KvM>}2>E}{yz$-*{;DSHKS#e$ zll2FPiEclL=npw2A|X)Qhz#!XQsc~GCJpD^%$o$hJ1+Jed=bZWG#>7rdM1L8L{v!Hc_=JMv z1WvT%pYCT1*Xeu4c>>HGhqRvB9pHNO>$Tb`wtmol`_s-8LX65?xP>!PvJ z*m^YsgUA?))tJVx`fL3EGm1HuMjwmSMPs!w>?Kb|9-!{PUUG!yR3Nt5T87fI^CEV? zTqR-+xcO(f2at+jxvj{RTXSzJdmZyn#J0=15!)fmZ*wO{dGRu49Z;K-t1_ zItM)y;FL7}-Vt?IvW+dm{2m)j2=j+b;pe|5_EJ(Ee@$FV8hJh3(Y&#?~mAlj=~s~;Te9-j2kh1s?}}>*JtveH`?zkF!sh zKVgqX;SpLXJ;Gm~9DMX~ie=CxJ{dH`$3aW(8nk%c7#DaJTtb)t_VbIw*i^W?ng8?b zl*1!pmt$$HF)`eMT;#bng%4i9UV4e#_|=-^hQ+31GuRHs@SAdP=g^m(N^a09fq#pJ zD$#zbzsmuQ`tUf+FC#$if2u_1w>gvq|d1BDrUZMHqt_2 z3pY?(i&F8r^kFFW2zHRP!WGAD^MkQlvDo8d$W^7dSx-QijJ^apO>WzhSN9$rgeC%o z_!r1qt)Ud&aO-#o5AfhU5?qbWWKxdbwtK}*cem?%r?@e0h_Z(GnX%s_Q_rdl#-4qr z2WR%uDpl6Rp3C2w(k|!R*`8E*?6<0nlGyXH2Pzr~?CATm*D|5l3$eT`;=?(MOBoS+ z%zSq&_M+H}P0h2M(Zw`L(a!bc+$1z#Y8oAyC0W<D6*DFxGC#y(!u229h^8;$f)L;6#+RMGhqHO`!*okF6`?X^#FuYDR_^qDFn zM7{P2?zPW>_4z6ztm!oxxvP!1QGGi1(ccvLgi$4DfDrmq+{*|mKA$>VpT5dIeGLx$ zeU%X*pZ=D8`UY6vtTLj-KG6XYp2*`pq8=Y~$rkXOobXg-2M3vcI+D%h8bh(~s-MVl z3+NUv_Pt2#pJM-v2^ADkP`G8f7fV*#(fiZ|fYlw9u4GmB?nTV1+Zy?zy+JFMljkyM zf8rk+I_-iS!Whz@10wn|#@sK9B#VZPPOyLyt)=v*2x@dfg?EC{`4c3{M(G3=>bz6pL#t+ON_15F~1uDyor=eDGSO!yQP&GF4n-k)L!l12hO_^ryJSB0uSn1rlXb zbVi5^czH0X^@TM;q_7c*SA-fvVk2h1v(qIOp-^m&h)v=?JnW!-c0k}(=JibBR^~Ao zb_JeozJ#gm6h&}X^c23t{mUcn_D=5390T&c_zqY9=ZzrWbVnZ(O;LEiTbMtW8)DIn zM?~xznr~vrB+`Q-v_rJlo8V_fenX4Pno5-itx zYm~zy>$`K2RR_a}jyCbZ47E0Dw>j>fMvjG&avILe4B{Oppy05YaNn!P*Dc*waA9Uo^RQj&@Dx zi&4Cv;y~0_D!b%NM4T3QuKZ>m`7zJu@m6cT??#gGipE@sqC$M1&yPpk(Bli01hTiV zsT39tA95fAfq=nKpHSvERUZ<#3o_9w67u+4?Nwh&&t|4@JC6sZJeEjY`n;IS&t{NM zA|oYiB!?=2KUrll4iAVxnB^AmS|sfhf-*A!!e@LL@7B#AUn&CStZ?1GUY^0rW>XULgF^evmGIxh$f0Bh$oR-hy?5w z2=7E{+baT9?9Wrt$R)hPmJ#CVtczQY0Btl_7etk>KOdpK{B0gB+%Wj6M%mlA?0H3H z*xLRsm%X5<%oEV%af&O8sg-mV0ce%maNd2}8Bt@DYsY=r`{i;iUZ#$5(mM zRmW|murf`uF??oS>;I^KuMi~5t!SD}KU{-lFbP+*NPji=WKIwXb4@yA!d zBS;71P!xiC~6fQZ9)ZT1O0)=ZVzR}+}R zBN?BEc<1V?T!sfUzB0Th@Fgz8yP3YS19-83%YNuD>%fZ$-{i6%6_vr`@9>cSxTp;C z|BGDqQ+36W4aSPpLe2dv7NFN1y$H6K3*!rH`oD{+#|`hNWm$Qks0=rHpW!k*p>U(C zG`%FF>*u85@^H~m@YEsSe!wDlT;c7k4!q*_Aug*ZD#I|nfy?3|uwJeJS#3pq*dhY=Gp|tu9$;!4)dSdZ zJs<+~U3|U*5uk6{^HtG|qXSRe(de0-I#pQQdpI*meezQo^veN><7^v){3p!YM;mxd ze66ZArFbsWX-R&m7R$iTxLQ1lsC3f_c#VE4Bg!A9OzB6;kv`IDvkhz7(m6aPe`9yr9!pd@{xLVA$Oj;%Lzne!W1U z7P=+$%*FU`c6bEuq_V?!Rq>S!__HFgjUh0Gm(`eeK?HU(Z(IbLm`aI2GgE1T14f47 z03tw#67Us>0Np&xD^s7koRkxK9Enu=rkBO z+e&i@PXzp>=rr=g@(Y9GYbrSIO`%nx>(L9@qZh&aV^u~adGtK?=%rx2tjbuU^9aKU zkMLy3;O={Mv0J?E(cx9_j?Sj?`;D&k`_=0D^+xvVO>pAPRYsirdM*3)R zLg<#Nt7o0&f?AHXhb@ia-Zb?-qeBrv5+#4l?yV8gw*@2OUI!GBi|sxsE;+Kn+r zyZ7U*8Xk|&;awW0zEI?Vm13nMxyVDfLt@jma)8asCJR|7TFkkfv z>=)j}+DQGqS}so&+eP3kd$*IkgBE+IQD>ykabjeq7|)4)B5v1A*nU%Up$qpn$_$@Kw=!7xy zs2F$&?9boLt{fMENv^e@YK7bEO0oY0kTN99X-$!TiwBd^?(gAxM@3+o>pcT6R`9-? zs5pm)h$mExi9nW%E}-a2IyWsU#<}Pc`CtQ`hyW!O*&`5@7n~fJOk0I2kV*12z7>#o zCimEk2;|rhGDrcsX_qw-;a36>WSf9A`L`G=G)lGFTqfSIl@SW_D!4vnLl!_{=3S^)%6}Lp7z@FR| zffc5nC<3>bdNSVAwJpn}VxRSLFn%RQk8JsM-ilwxu|AGZca)6;G*n8kz^! zNAr|1@x6q`kNGNoqv9D+NIp{pp1`g=OBiYHyyxJTI7~eczqMiN`FQYGaRa|HU~s*N zh7<7P;iQ>K85h0+5uh{+Ux5fvZiTNv1SrMASH-ifb-xHanf-a42t0+UH;BN~nR*kc zW4f_AhyZ0@_zFaTk}!M)!jCYlU(e)fejmRSV1T{@@6|K)F1%OIZF>*it7q!H_&FF; z@5ejzO#LA~xhr2ZsQ4hAwWPdCp}wkwZXeCd9~R?%%dHh3#!E^SczA1`#ds@ksXFkQ z&Mqz%a?O@T#YZdtBx*EPdm&A@G~=Mv@(VtmvL!1eq6>H zmH2Vl|Hs^Qz)4Yi|Lo4}-rR1Eo1Lq0sHoWJ9K9*hL7IXHHl!S#qgN}4T@e*g5m6AO zDNQ}GAt-k2z5BCwLF@(oFL~MA&Te+d&3b(P`Z@A4$@k@(H<=`pdC6jG3^rGwl*itg z**ExHm;l_tE0$YCgLk4-lLqfbse}gCqI3weQ2c>oLXa)Ip}pAi!&cic#-1Ox=!P*6 zf^4M?V<0437Dmu}u!wpT-0qntZ*Bt`+=$m2(cl)8*v(`sb6gPSag2?)xdbU5A6aE)QS87%yDXU?3h)Hl1~iafhIU{S1{(WRM5GDd&#K9Sb`d za>v1*7Azob=B(TaFf%BdIC=UU=5ci(<)FJfcTyyGV(#Ps=~Owqi#o+OO5l!}cr0Dv z@Jku6gC}=tB=?-$X?FNNT4QpJDeMWJnH$cX#STL-;0qhy)>3!kh(UFyL78wkgUaM_rHesJf>a7Kh7vx^Z zYH$&)u@dRe2l^K~q*m^Ew8lof1gjglu)0ygF8zv^V09xGRyS(HrQGB2(n7d&twRoB zms;bc>*3N34mp%v>WY_OT_P9OB@SbCD8kztuXd+NaPGmW;1H9Q3%+RPseOA6WZzfQ zWCHHXa&ODdy)}1v!nZ(ZxMTQlOF@H#HH^xim#R$Q?Q&&y?uuNPO+12q#a+;L<1L)S zvvOC{+#b=h*bQ9^l-JqW`P$BUO`wQvx%WDxPVNTRb{z3zTUevSTa@BZ#}sUe07qEx z*p3|Uqq#ki&;vlI!Xby}ZlJ*@G4Uaq+Zz)ffy750as*3!8WSIkRw^* zbC~!P%{?UwTWo^4&p_g{cJ@Gr)Me?rFnt%zJr&cz87mi@v5sPiFJt0sGwrS{uw4gu>HpdzUkWFK?iMB;mB?vF@(FA)F5A;)s! zt>S|T6Oz$T5`RU3zLbYhx8y94WWvHO@J&u>MuYEQ(feucKm1nNf!weibjWd7G+?nt zAS9!~a7-@@U>EQ%-p)?V(}D)y=Ox&b+F87Eb`2;Y*CF+=g!o-yYq`lKz|G*5f|7U$ z@#HLEP(}h?^DaaZHBH{K@X=@?ZOMT2pcs zupc7CZZiP4ecp!0tLmDE9c++Rgz{w@Fq~XWH+u)J4;bX>Z zECfDgghsI6DRggn!ybp)J?&mB|4B6X6_yM0lAe{LhkHuP-{LDLxb9y+TqT)~+W*nFT%|hOTQmY5=WZxsnA=Dm-#yJCwbEZQYapSNxXkG#n zl8pm%(4P=J9LTVBYRsB?r}!sgAOlmbi?O++F2=WlUg2j{H=f#~u(?bGGUX0wjAY`S z4O<~jBEvc&-b~^lG@r_k`0RT~`HYD(**358Im|!f0J}^0T}m>&hr)QFqDx{Q3i)on z$rE7v9NT_mpUYh=Z5WD^iKq5(>{Ab~i|7Q{x5Z>sYS+OYWR4O~E&J${j8Z5Px!JcU zhGr{{$*5FCtTiO;)pNJvq-M*bLb<;d^ceY>hq)EO zAzj1SqXYZ)hQSXuGDiy7vN)38=;U$~MMIGZF1KoZxFhg@+0b7-xl9-me#Mpzv#q?i zL)7#MGlmYIF%8=0s4ROKtW3Z!E)HcEr;Qz1RyJxB>>q?5Ae{zhjSU?+mhT>*Hv1@P z-)7&=o;RooK5vRDiMGJL5^$5Hc5U$Gn|zJWA}s49GlucwFJ!!q6$KW;qChy-WqR06 zKecSc*lA@$%V00<)S=uni~WQ%d&rWtrZ%KDu=@Q6ve=xGzYPo^`;o={)Ci(fL5(bw zwlZeWCXg8jLH5N1V;}_ChYyUUHv2ZE)S##a)`jxpw`Amq=@TmN$&>KJr#7#d%>8ZX zL~1|9?!`{~X;u{27mEU+q_VS5Ua?^BG4}HjV%o4@It-`EfwP#)<4W=4i-V~BqWu#5 z(xUw`EGxp1rEvb<)L|2|>{r1_7~XQ?7uZ`8^Id(o}ptFIUDqkM7E{ zKZT(QvXmWg8;m%^LA680{@nf|YJX;b3BSC>*CXt&;oUm*4QARYGs*|Tt6r839z1mT zq}c-}!RlbyXm-kBp?mL?xZaN1-`hV#?CKn#!bcF5GPC;UD~;9EbKn8|AEDIIS+6rvbQLEU$*_P zy&v9H;6z6I-xzG(?~?E?#sOVngsYnsGZWv%DifHNSU5Wtie)i(Tv#|oTPa_o5p&D z11wEo*|Av6Vdy;XrHQ`Gc(DR@+=d53mW$WFC_7ddD~3m}jCsFKOLIFj!tSbdAY^foxOl*St2mBtq7$*we`u~NL! z%p$$mmF6_o60fwf$Vu!<3mR*SSK3*GeNG$NlE#k5D<@b4Cc?p?t!S(hUg>O+z6px~ zr@@cT!o7qg!OGvR=GXY0>yaJn9s?qU@*#<@_rq3yytyZ3lad&eT9lH7&E_yq-)vGG z>jy2HEzTFmPG%drddmE~bCWUIo248+qj@L_Fyjx=fCvy9=-NB>AD4u^GCZ$?B4DP| zEYi;+r@)SVX1|^=$gnSWm3=t7+C}^naXT72BX%ZyABmj>{YJcZO3aZJ8ybn7L;G7~ zfJFvUBgTi#*hq_ORcW=cSS`pF+~uxY&3YbYc^7 z!?B46+V;sIc5D)KUFtzk^cV4^A%1FT4BVoc#HL#0G>^)#DPFuXG&Tb{!AfLomP48{ z@aYyg!y;!|r!AyYcDo(X4_#x8>q zI<>z`$ig3)E3#vk$F7XTF1Nme&m!!E&-@g%u$eV0wlF}3#J7be3lpaF__{;hcsiAO?_V3&BN#Q=4_G|gC8Q@#y-#F#cs98FdA(i?ZC_l zyI*6s+tCgVX&$@8A|q(D3nr|Bgu5Klf?XX+qh0YTtS!dYIiw}K3LAF2;nfXrb)!RC zv8$tKw0pD%Q+*#?z270N+3kdlmwk{l>>`c9F48tE?-&~Gi&r0mtJ@sXmR%i7qy13t z6L9rOhqPl?$5~{8MJ8ILoJRX2=y^zf!6EHg@+6DE`4lH3?iEOQ)gc{N!W4@@`O_>i zokmYV+&hr`u0xJz$unql0Mh*su72c@6Byl@7MVq(gE2eomW;t}$&M`hY#JScSHFg< z-#Fw%c6E+L=33-ji=1bX^J(;S%&`Y@{OpiUEXM^FxzHjP(dZeN_6MZ>>5$GWZJtHu zTjXMkEU?HW)TqgO11A9YRK6r|uQ}-g<>%?=@8Z-k920Jh6Uq%ca87BL*i;%F$GwuA zY`7G0NZ06{)HoC=a?Rq1GH`ji!8JV_$ge&znVHHMyws+X+aiZw2MU+(dbuLh3-&L4~O(&&E+~+ zHITJ{wU~4}bStaY8`<^&C4C*zm))w}c&ko^OQ$%bU-V9kT<@Vbacga*-a)AMG*B|w zA^n-&Ur;YBe>t%Hbu!a?12r0Bjn7FoX|seTFdUVQ0OUxAoWhj-g~~?5r7;c}z}l1h zmNcvM*L21s9>z6iqC*A(o)W}^tF8lM(y0o3i^|GONBj)PJkueA7~Vwu9Jn;sA*U(u z2~FaB#9siJFLcOYhPMz8U#J}TLNx@QEOI@6O?NJ(Msu{u~cgQeilN-<`8>rC+ZL$fxu;a@( zvxW~HF=-f_nmz)4yFf1CI9u4V!EFv4)Yg!{89EPun@F^iMHa)fT{S@0&2kPC2 zFhKKB(s?A?dDwZ>{jO4)IP-8A;_>CIpqx1(gE<))qYFv!c zKh(GsrG3=6f=hYCBDYZEYK$1vxE7@lc#cG1O<^fDuE#6c)VL9)C^c?IDTf-jqLfRG zQe(hism)6$Q>400jGQOW}Da4A}cKdz2qu5 zh?n2eJXqfEjEUeOv6v4Ad2N~J8cZ(kvdG;QSxpW6jGEWcB5N$NmKylwG_MOa@H1&% zH;b&J#x5k>lNv9hbP_f2YiC{`i>#*xenHHG_mX>HjX(}7?w$3rlgt~yALzV+x#7H1 z9WtCf&@K1_oi`XR4ROc_*5ls`FHNvdrY=0S(`epV+^;6@Y;lpT=F_}$_)31>FpI!r zkKYpWMp6U6`Q?qG27Y+U8$%8J`jt118u$q+Zvr*&qflNsyui3QCvIS`q&*pFPXUfo z9Ws*9Zilp?yU&B}zKqe{7$9}r+D5WPaK3rHQrBG6buIwUbI2&B>jczwAzZr1A)}eD zP1N`nExv%#PP8-hxq0B0kOwavdaqLan|$6C4jGeoB{hCRT~||j3WXQpVBSK|b*)3j zvcz97@p?+n#zg4v^Ps;Umj`o_&D8iE({G`47^dF}>B}54o~3W02Ch-(-9hOXOkWA< zcRFMOOTUjA{~~@hrQG8$8PhjG`euie13A;c#QQ0ofr%B6 z_@F~3G4KO0#A5m*lwN}A@FtK4ZvvAUI2#jpPd}5xZCdHi3 zQ!^K(U6d|D%u9fI*&$OwA-NX;uTj&%Y;RDr0HwDmy#WF6dXNXN2h&&~4_aiaMIN%q z!;~)JFBf^AKr;L=#&k9pd&DA-S_HPPK8T9Hf+MF<`UZ}iM(Ml62P?j@5_dSqTUAvi z^f-3Jw?60nkoO~N4?h8^-42<-rmdBav8%Moy$N}H5%ddyes#!9Xv5@D>}dY5$To{S zPR(Mp%0JXBL1`Z~@wFhIBu`mdR8FJwgIsmKksHo89Wsl}jJLzN+1wQQ7Bvs&+VUgR ztcw!0$PSA}35BDh-YkU8w?)0EzcS8K!7LmV=f zU46zP&syX;i#%@;a24IbYn6W#HIKp4j-h5fl#YXwyD_ysb7tHRR?Ke*XL#ea#+0t2 z?9|o#rl7slrtm6!ZtN0DSMz5*za?C4<&g7W{zBJb#lS&#BH)a>0NVnLW^Y*p<|^;Nf#XPR9vnE1(!~~e*COv(1l)7q!%LULdE+R-WBCCb zevfLvUA7Wv2`@IB=di~P?bpIYQIIDH&ZH^cejC@rNX&ZzR2S>$tS;-o77 zc532ODu0DVzOcxb7Wv8|Ut0to`2m*Z z<;cVz>V&=Y@lJ&1Z{tH#{^M{nwmW128=7`tlYxFSANtKp*wFN2N)N$@IksuOQq{Al z>N&tY?~qHGDm>3B|3$d;l0z2=@#``v0ddDGGuuCr^ zllS4$2M)QCJrb{BF4(n|54*OmV!7VHT%WUT?`H)!PkQ-Sd&ZP^ADg7A}Ye8a(Lzb{aoLd(hO6jkBm@23PiHAGn zCYFfP?Si_L{(*@{L*g+GxtS&6HjRRMl>Uv04Ir_hLvCSJ{h6A$ccP#vrTehhW{}?8 zAxl~MUTWfAhJw~KCxGeD(-lBZcPmql+Zzgwr#U7jc7((e9kPriUXDiWLUXdPWa#P& zpsTx$Y5s+pS7G`|)Le*CADR=voc$nYe}^n*Ie&#C`uPJ`Fp!$pVa`Do`Hh;3F*1ba zia0XQ8VbkFINC8`kkgKw;G`8qlFg&|pSiy+oVXk%M zF3Ey2KFk%2g5pLy7VP>uyo zf&wQyWCa^n|D-vMc=uZ{9j?xB$V#@tRk?q;bHXNh`D7Q&=1+D3ENT?MqQ;%@WaqTv z4@$xLaOnbvtYT00U#W_4Gkm3@`Kah(KrL{{T})9&RCF0!y4)dmGev(}rgv*;?4yyn zSk7|z9R<7$FI)R*PH)~r72FBPRSsDP69st9&%p)hf;Dh;twYwcs{xvW3(^Jm!qp89 zxrbd1(wu&%cne&;&ms4+s|L-%b?AZz;p$e0Y;c=DVf%Px$6D|R*6UG#Jm!#%a32Gv z6$sIs*;v98knp5KHl;3Bwn=DyPotJ+AoH^h*_^sq*`{(8so(|7ybChF=#VWebJD)m zCY5u;f>$v2tC0IOhuo*iUAe+j@FwPd3v$2hko#4+TUXxH_b@k%0R=DyJfO(kG{ICK zV{Vvl7r=bGLY2F6;#u%H=Kcb5f9a41S%di<8_YLw>05_vWouPQ6>pkg(;ra5kC6K( zhdiVzF5!9n8FRznQUHU?!>Zf~75^P`!>WG)tolC!?*?eC?;fZh+cHrj74HrE< zc3i|)4C6Zwk~Um4tqU7A7``1X>{8g(Aukky13-;YaJ<*B5o79395jOK&X0edto&RH zUJ!*n3VXtD7Z!pyLej(0BBA}^Cxk-ygir{d5OzWJa{t8Y!6$@5_=NDH!kR5Amy!!l zMf(hb+^0F@C51IxRCX(cr(Ms zy}~k71tWDKjMT3(Rdtd1IJh+4A+ITzx2)`j3d>OejJ$;~^1jX#G)D!~;L>!5yrEK% z@Z`)w1+yXd9EZHAsCvtU$K*WB4TEtZ490J%a#wakh4V1?e8_#VL*7>9PIx9R#oRDH z7sB}bjv{xfgnND!=Dr$oU*nK>S;ePe#TUV)>m2ePn^NDzr(lJPskscLCDgnfrJJd_ z5+!)$x(lUc)Letoa%#`CXTd9W;T@oEg+tzFCCtVW;OlcCymEg4gM1*Ia(`PTG_1AA zavcERgTjYQ$Aze416nvgdzQZOu=QSU^`se;gC;N3KDM1PVW9t_!Q)R+997Y1y|YZaPC5Q|0;amw&CN? z=gijY(Q@#5z6qsQsENzYg|MXcFkX8Dey9c?jJNRkF*L zuMHDc*oRQqM|PMvlP}buV1IE&@Nk+G!MV*I!x`;}s}! zQW|~h%0^$5k7X1<8HEn{8d{8rOX5W}!GAMN0Z=zuRDv}FpS+|#vvp;URdgt-KMd5@ zamY8UpGf%RoZh#)(g;VQhPt5PD2IH@jF7FN#Pwmn=r~jYLwFGk;omVO5o+SPdQoF5 z5T)i1yj>NQS^@Z6i$C>I)WQnnz>hHTXP~Gx{4f(rZQ6IDb!_~7pKvpbpgY`s1BC8GbPe6%2r1k-`d`3cnqN68Kmp-^a?Xt!N1ESc*;u1D@fK?^(xk zCx5Do&W1}v9r6Qvs{cVQu>B-iRb?kvG!pMc8Q?}atRmRk6PN{tO7NQF@7i#{*`yhhCzOrs*{>ZfnpjjGDVk6OPG-ffxAlZvSw&Nz zd*M$SEt&?_D4JR{orX*#FcZGZ4x2@bW}~7xG?ax2=h9FFrSoYB4`MC4kY$D^d>&?= zPeV3lSpZ6*&kE&X^fDSkx4fb&Xb4?$imsxe+L(F`4IPHkwKQ}DO5m3f0L3?;;>9#{ z6kcCKL&u_YGY$QLsY_|7K3-c!Lyb^c4g_3hy|Vi9eHd9qD}eRbk+kSexa&nLi&oK4 zQv}@&{>yQ{`&m=r*Rf#F#D=I-C}=@GA|CMDXt{;Q{zXNlf^QhDKw;Kdk6sjPE{H z^q!*qG&GJU6$fZ&B1%TWalyO^@mo|JiWG<0Et-tEEEa{kGYz9r7JZ6_W@5Aki-PUv zU^K>}U~XJVEY4?9Fz|(#TEwDYRa_@5uEnBYNL(2#K7>WVdbk!?T!%%$Vz~5Id?XFw z(qHjWG=xig#mB(f6YCV!yJBGL87)2zs&C&`TraiDYAwg0;s$x_(^zrC+;DLthy27w zF__)LHm6ZD%Il^tfN#60)Y25Sl!BIK4%y8Hp?deYF{D1jrn z&1yJ#BHtY~bo$iU<+QjDa_LJ$YcX4Y8oCFiQ)p--N&{(V3rd4%2q%}tgK20hUOSzJ z9zp3$F?7X?m&`+V}DG@#5(8aq2_k3xK>&mdZSNAU{S*i*a`1`c*+9PX{LM!=ls~Afg;ZNu|so3 zobzLQT1A}mW5?f$IOoSUsfsw~$4)>Man6rzM-_3-k8L=`IFk&%@l?b)KX#6&h;x2y z&!~uVe(aD*5$F8a@pB^1`LTm0MV#|vTOmc9^JB*eia6)TP6ZTk&X1k;hH)ktP1(tS zBF_0Wll+(j>5?ClATIea3F49;lOQhnF$v<5ACn+1`7sINk{^?drtA zNk&t4ERu+G`>_)aM4aItowXt2oF6-FL&P~hcHV}FbAIf^4H4)3 z*qIw5&iS#!HZaa4qbb`$FXEgZ+wd;poF6+WL&P~hwuxNCIX`yTgotx~Y`eIKbAIdy z1`+4{*l7qN&iS#k21K0mV_U#6&LpEL+rTa2oFCh@E#jOX+pa9)oFCg$EaIFW+sP~9 zoFCiEE8?6V+s!NDoFChAE8?6V8!AMc^J8NK#+hU^Wy6JtbAD{V5OL0r4H+WN`LRJm z#5q4UY=}7L#|91&=ls~vA>y1L8$3ju^JBw@h%
=a2J=Mu!@{I~@1I6p2yJkF0x z5RdcY62#;DxCHSyKQ2K$&W}qFkMrXa#N+(9WR%MBgG&&X{FnrB$&a5;TPnv7EMGnsTTjjl!M5*mFBrORmaEtIaHIVYoZ70sE0(ls<^AxhWM zoaHE8M{_o!1P8mng3^sN=QEUUqEW)nUaEBqjW$K;RvJB%9}ZRPHX5CX((N>Q8A>Z? zbPGy%(&#Rf?xN8TQCdx-U!$~^M)#t$o<@I1>0TP$kJ3g;4U{%hnuXGRltxi{fYMx) z9;7r6rH3djLJ3^(OHg`@($*+FPU-O|fe-#o^k!ziCn>!RrKc#p1EpsueHimSN9j(K zUZ6QProKpX4nyf>n$s9lU!^&1QF@)`bV2D&np2L_+cakZO7GH~#VEZ`bM8XvLz?q6 zN*~jlcTxHu&G{as&uGqXD1AY5{zB<1S|fzgH?&4RO5f2MhobZYtx*@HpJ9*P|Bh<+Mpzx+Jo_0l-k2k%Axi+lya$k0ZK7y&qpbb+LxkKK!mMd=u7Z$Rld_)1oHWZAT` zsqizfu$!@hr#(f@I%y+dFTV)lfy}n znD7@SR$w$Z3bZ5b;ow-k@4Kl69nIh zB3_=5kLj5t(kCZeXZR^1|5Oo96Zxhm~OURuMy!w z5ne0uElR>)C$3*F!W%@mSm16H@g*X>NrX3x@D>p+75Q%!@ns@@n+TVS@OBa2A;J|R zTq$sOiufuK-X*TzEyC3zTqDA@B3viJ^&-4Sg!hVYg9tZ@aFYl(i*Snw?-SwuB78uE z6(W34gj+@UkO&`6uq$h4kBIA!ih4XI!fhgaT%>Oo;SO>A2@yUi!ktNapGt~9ofLmY z;GPxXb0U0RgfED2mk3`J;Y%WXS%j|$UauzTXV1xN;`-|%-y0%)Q(S*bgl~)M?}+eS z5xytF_eJ=D2tO3Kk3{@q5q=`V|B3WZMfjPx{<#Rh5aE|1{VNfEEy8a^`nMwfod~}d z;SVDGQG`EDKF$T;7s~>9d>rl+$PX02c+e?O7%1XlaiAs}9|E;-oDP(Tu(k*f5#gaC zJWPajM0mIej}YOJBCIRIqeOVL2#*oru_8Q9g!M#NUxW=r*ieLxMA%q_O+?sKgry>E zCc@?-Y$3vyB5WnX)*@^p!nPu8C&Kn3>>$G9MJVPufsP_B<~so~-wBBMPJqvMz^+|I zzHTDyF2Wun>?y)tB0Nciy+znZgndQWPlWwNc(Mpj5#az44iw?3A{->b(?mE}ghNDl zx(Lq@;h7>lON3{OaHt5+5#cZq4j17F5snmLnFvRTaI^@=h;Xb3$BA&f2q%beq6o`H zI7x()ML0!-Q$;vUgwsVhLxeL$I7@`HML0)&YaXYlGL|eq`uQ9^@AT${au#&QIh(}ixjxc=-xhGmU5HYBT4=2MGD+?bV>c{ z$5O*xmikSS`rV7vAJt51mW$M%lGI;br2f_?^{*dGedi*zPmTegRJV`3wi&TL=sUjbi3QTg5Dwd>bdXcK7O)B8$=?hGDkt&g- zYI~77M4yzOVJ0xcMe0yV>M$=-b+kzZecis9E>ed}Qb%}^I#QdIzuV^;W`cDksiV9| z9j#5upQV<%EOm?|b*vYuYuyNHx|b<liKJa)kBi%=|!rSHmP85Ka)H+sXmfaUoTRyA5V8Wd~)WbPLZSr zc##^YP0HWXx5;(;PL-qvd67Czo0PBjzQ7h2slk%e5HC`vYm@S4sry`{&XAzHIP~jpqQj#k3A~mX- zNj>NyHCmDy<3(!hK_xX#k{a(tYC<)W+Ul~@L`kaLi_|22Qd9i&=#RNbO_ijkd6Al~ zPs-OD@-`Q#8IsgYFH*DgNzL(NDL1LPlGM3gq+rK(wRWCvQs+xj7kH6^ed7m_)J2li zJTFqPdtH~5uj%kpt~PbCB(=bc6zrkbBo*}a^gZn&b*UtEnHMS8$*)N&;LB2OQddY) zS9+0x^8~7u)blP&T`fsn<3$RNHqa#H@AkduB6Y1KwaAMUoU))v%HQpCle%7#y1|PS zoTpH&q+WJe>PAUwi5Dq2u|boRzuWh!i`31M)Gc15;P8lQCH0z%)UA@#GA~kaj)f*E ze{Je57pdiv)a_oR;G_&qQvPn=M=nw;B&n5Nq~NFyO;Y|WLj zwMmlN>_rL=kaIkQV)BP zf>VApNd+JC^ETuDu)R%^dfbcD_G%{ekIPa!B&jF7NIhB2r2ci0+9^prRB&R&s8(2{Vr0^OHwa*k=j+gq=Ljn>P1QFB`;DhS2L-Ai_|NU)T>^kUaMwO zK^LjlC8;;ONWEFjq(UxIZ%I;bdy#slnn{ISq~4XJ-t!{$el?TIa*_H#lKRk#6dcW4 zox@Dfa*>j5y1`GpNWm$=)k-ScMe0*&sn5JfeO}F^A}&&2NK#*Vk@`xX)Hgn63Bjm~ z)VGqJp?bQa?yiKYEe+NuQK2OXavo?UtnWc#-;9pOi04)o_v8D@pz0 zMe0|5Qos9YQ;l7u{*a{p^dj|_KB<5FkUHB%>R(A}pBJh9+N1)0ycdHmmr8@gNf`kT zQbtgllwta@l$%sYk_vl~%F-v5ojIw9Bo*}{MfFM5@Iz`!Vw*B@B`MpBR7{^#o)1!_ zw~JK1Bvs%=3QpqI9FPrPr24o>6-iRXUZmhqa9vU*ek^s3i&Sk%>JTqdhw786
Bj z7b)pGYzTg-(kbcci7PP9ro3E5;rO7J8XFQ4jWbQ9rm>sYnkiz z)stXdqfIL4XFf$-{!>OdyWptFJPV^$x zshUZ-{HKi0l2jKjQeE{)`SJ5454oPcZjw}YFH$|KnbgBBQavT9US6b5s%BD;xJdPu zr22S~>RZjE9(9rGCrS19B6V^#liKDYC4GktFW+H9-*-6ZtD!&cA|-u?4KLqeqYA#m zzDR9%k&?c{hL`WKq3=8F&r&;Fq@?e#;pIDQ==%=)BlV<m}Z)Fob|F4ZRG&r&bA+SFx|)a72JuFxjs&r)tuS4vV>d6BwW zo0LCGz2vggHImdqFH+a)lk&6akhn=LlBBNlB6Yn!DPL;|F5h9}21#nM7pWWdN%#x>=u;FH61RYE!pJQcJx^-KtN@m!;gKmPt~#d68PKPs*32T&~~7?UK|T zUZhs&lk&6eiMU+9jg^wronE9?>67whDL1LRB&oZ-NUhc;Wvun{HsdC>PLf*hMd}`H zQofERAg{ZgzI!F94PK-+>XY)bYb}YC^c^<5e20x{_8lf~x-2DqhYc^^VWSGZ!+yTD z6W1OGL;4OIUcSSIzVEQVHsvNIeTNM%-(f@Fci8abR+3~X={szA`3@WUzQg_wgmha< z`VJdjzQcyT@361E?c{&1hAw@F4KLqeqYA#mzIyb}T%@G$u;Jx9Y*fK__&*@^yzD$* z@ajBwX?LFfZr|rFOT8#bz2rseWo=Tv4hA7^Qqp(W@bVots^B~9Ym(T(mhfPzG3VD$VS2HQs_DnNNlCr!=WmhvP*Y->^B1uKP zNKtK4K|dQWh--VMnIlQn@FJC~P0C-F;M$&P+LBbviXJn2FlnheUY0ss-%@^F90IoM_8lQf9qC1?u0AP0KLn6O>L^L-XfIO7=#%pE-WNE` zWvOE&spGsz)zc^C=WQm5RDDURffuQU`lP1#n4<@dbXlsAB-Pl9RFi5Zb(D)#Q%S1S zi&QghQvMDGag%B;Nwx4I)l!>O&{vmmw98V`bKmsx+&8P>x$mo?ALAk=J@-v7&waBB zp8I|lI0DDINJ-Cq)5~+;tY**sKz$b}>A7!udG4Fl?75#rN_y^_UY`4=zURKbr>~>S zQqptZ^zz&{tKhlstMfe3MM`?^n_iy#roQLCKTEkuNzZ-L%X8nXg6F=U%{+l_E=x(z zebdWx->hcO{Xh>FDe1XydU@`fRq)*RA7!udG4F~ zp8Nht4Ru*cdhVNEp8KZ0=e|Eu=eS5o&wbO&bKlhW-1kRnn2VJ3+&8^E_f37zebd() zvg2>P2sekIXKT;!HmXfD_n_j1WoBF4I`y(~dMM|FfZF-&hZR(%;?T=KM ziE>f4uVdgTgVdiq}Va6Y+(JoR~NK#jNk-AEol&>Z0z!(>) zt0k#xyhtt7CgsmkV_l@Km82GVk-AQsls`+2bCJ4UlDff*)M9N?{(AKBE>bs2QcJu@ z-K0a&)a?hA)E$!43NKPC^-2Ak`R%(? zl3L|O>Mm_kzP`;Q-M+gesnuSj)*Mt)YbB|5UZmD*lQQr1bNdQhUBU)QYNHpaP5Pv^ z_#sv3A|?INO)r0RQ{Nxmd@yrTTcxEQ^0L&!`j&b$b5f5bflTqa^i{7pdLVOv>#nu}6~n*^AWPY9@7@%Tm8cQonkU`t6{S`dyOx z!;93P)l90M%Tj+yQh$4q`bV3TuLB{2ja{Vvm8AB0k=n0KDirWDb!nDJDin;93K<@x zLgqmw6_TXFUZk=PDk)2n%Jw1^IjE$fk`(nKm2*%@)sUoey-3;BOscu7p~oaC$BR_n zK_w+m{SJAZ`W@0g_1j;U(86UYdFpq_>(uX%{;A*p1*ux{_LX?uzS`A%`&zmzb%-Q& zs28cj4l1cSlGNc|q>iX&QmtH;I#QCV>qY9QgG%aXN$MCcQpZ*^sn#w_9VbcE^CDIM zppt4JNj3B$)u@_DwQ*Uhu_V>Ri&WEUCe_wOs#KC{=0&P`HIr)RBGp2YYUxF)RW*}p z?;_P&l4|2cs%fj>PPLgWxMXEzJlXCeEhmMz|PVgetv6@M_+;l@HN>ZJ?NOi7e zQk`74uZtwr)r(ZOY9`g$MXI|b)x(Qa&uS*s#YL)@Bz2M(sovF0s;i4sA4#gO7pZ>L zOv>f@9qKPho$N*Glxil`-DRl(lGH#iQm0lkDVINbXpkgznir|T)l90V%Thxmsnfkk zol(uCTu$<#GbO3Byhxp0&7@pEK@}P*NuA?GYFIUsa(V8DhD%Z-yhx3#W>S4!ZK_O? z8s$Z5bTyN5Z6^qgk)+0Yks4Rcq)v8OYP=*h!Hd+yY9@7xi&VKJHOY(AJu zUjFDIeSdU+q+ENkLed{S=z& z9;$*rx}S}#LDycakn~3ndHJJ<^!?HOeHnG_FbYY3^pKZ7dPv_N-Jf5Ao7By+^Ss5Y z^IWRkdHxrcx>b@|=0)nZY9{5{ixpZfN!{*6>W+g-YK0`V(u>rc+NAuoDYvCoNm6%t zk-A%(l)pCR+RPJLElI8MBDGeVl)ui?O-i05AM!d$KBRw={C`2}UTLWfUY6Q;P%R}- zk`H;EBp=d0N&dgEl=R#Wd3o-K^gZ|g3sM#G_C4rz`?em`+xL(p^{^MIN3==#>k?dB z=|Yc6Qjd9&+NMv+&k4;zH>t-ZsqJ2*c4(9GbzVXeDY?fX_5kA*8>@!C#wl?Su@;Jq{tSJq{uLJr4f`DY?fXCH09U^*=9CpB_|FpGi`mdy)D=pVU`=e22?jU4q=>5c1mN5Ype{ z5b{N8l8cnw;}G)N;}Fu{owZ|c(zsJF!rQD?C9*2y&`P{nMJ9b`6u3t)$^6V6L5q4w5r zP0bR*`OLPwgo1D_SprK1hjTAGW*-KhN=3w|Zux+Do;}l-d#+s|Or1EM4w@mVC!j0KN zt+I6nD%+@4w$DIihw$+!x4b?y+b(LIDa|%~0;@T1R2>tVEnK$49l0I4rYWJ9ZVBCF z3EdM*=msTp=Ovt^HcMZ10jW2S7a;naFsOz0&@TXp=PxHWv1x`=w1M|K!i_%!i2Tj9YV1(}BM5Gc$L?RpTeQjP%` zZOCFnBCFcjX{t6{U2baChRXZls@hOlwGrWwqD@s+t$qWj+IV#lsZ}czMOfic%zC_P zqse%v8tWCIN@Y;kDEJ%At27}zQ4~0xWWoQ0)Tjpq)--E|YKFIlXQ_+rfjOl`YlO?g zvw2&t5uOyD!;7sEp3I768pBgSV)v;=cq&LCE=>a|%t_S@hs%M`B>0;Qe^cOZD*R33 z1m~(-=mlzGsV($eW{-FaJ(sJzFnp0{KW73L#wLPw-WtAKt+qMllJ$**=L<7O!mI#h z=1BNrkXU^q;RPU>qAktig~v>Q*pS(VY&K+I?db}2-L6)X(5l-t;f3l3biG<>Y6FVb z%#t;;ux9ZFlu!?-!wuoZDGy`)28V17-=bR}d+=B{$R5jhi)D{x{9(K?UNAg_@dpwL zUK+j?ZY-PIBo1D;t7l8D!3)55s4ah|nzNSWSB39V@L8+Yn8YVwD@Nk`!|OG`l_PN= zPhpY!!}sc0WJ7qPpx+tp2D=}2z5BzPpMkm9gW(65$jBHat=YdM5KWnoVwG(1j0D0J z;Rh88A3}wXg2IQYsPM7yHig3NsPIWpxV?%BcZQ!5O}BDJRsVtT`pr;9joC5j8i)ZQ zoC!TGx){=xKiSWOpB0Z`rRtIg!uM*chV~!twNPcCmh$dCuXT3=B}(uvJ#cL$_$58? zAxiKodf-Eq;MerPhbh5t=z;4f!Efn-4_AWU(E}f$1iz;TK2iz(Ko4A33I0eAe3TOW zi5~c9CHPZ4@G(m8=X&5{mEbS+z{e@UU+aPEDZ$_Bf$J;5-|K-JD8WDKfg38pyY;}0 zl;EHBz>SsQU-ZCDl;Gd=z)h9lKlH$*O7LHL;ATp2nkAv+Nkwxdc%NR$EmYtvq6coN z1PArNt(0I>58PS_4(oy2D8ZHE>w!Bd!L{_jot5C)df+Zf@S%F(u1atnJ#aTA_y|34cO|&49=L}R ze6$|8rxJXu9=MkhTu%>tk`mlN58PV`Zlnk9qXak61NT*eOZCA0l;Gxi;QmT*OFi(( zN^omE@F_}gTRrdqCAhsFc%Tw|ydL;eCAgy=c#sm@Ne_IQ65K@(JXi_trUxFP1ozMb zpRNS=(gUBN1ozehpQ!}*)dQcU1ozhipREL+q6Z$T1P{~$pQ8j1(gP1uf(Pq?hbzIS z>w!lo!Ds4$M=HT*>w(LZ;B)lAqm&C3vbHc#;x4T@O5237)A3o}vWL)&oyfg6Hairzyeb>4B#! z!58R(XDGoJ>49e|!SnUNvy|Wkdf?eg@TGd-IZE*5df>TA@RfStbCuw$^}y#T!3*`k z=PSXB^uQM=!Po17FI0jT>wzy)f|uxl=PAKA>w)Jh!AteP7c0Tb^uP<0;N^PYOO)U{ z^uU)Y!7KH^mnp%k^uU)Z!FTI{uTX;5=z*_Pg4gMRuTp~V(F0$t1aHs-U!w$X(gQD4 zg16{_uT_HY*8?w7f-CgE*D1kU^}yFF!4Kw%Xj!B6Oc zZ&HGH>Va=of}hp{-=YLRs|Q}H1V66_zEug{r3YT71iz#QzD)^!MGw4O34Tove7h3- zh93A1CHO5p@CqgP9X;?$CHOr(@SRHV2YTRDO7KT|;JcLIPxQcdE5V=YfmbWRpX-6w zD8XOqf!8X*U+aO_DZ$_Bf!8a+-|K|Ih<(QG)-{0%!fL_G5b9zaLZ9KWde`GEn)iTIEX_sNAPk`AP;V_p4RD=3k{n z)GFV|KxII!@~sS12GuIx$v~x{R{35ADowS@4>C|0QmgzZ1C?R5%1<&-nWa|wX$C4S zwaU*kP?@b(`DF$wBWjgjXP`2wR{3oPDydrK_Zg_nQLFqh1C=$@DtBj~GFPqg=L}TZ zYL&lapfaXb`CA4m9kt3oGEkYPR{2*3D)ZGU|H(jQfm-Fh3{(~>Rc4V4R2HdK1~X7u ztX64ept7b~WjF(swbUxD3{;k=RYo#USzE1=W}xyAwaOY9s614y(#}BTVQQ651}f{Q zRpw`)@^H1v!VFX%p;lR(fyyJ*Dr;q+vaVWX?F>{NrB-=p1}cwMtE`iO%45_jkH|pf zv1*ldGf;V)TIJChsH~?}d29wM>#J4P%RprVwaNw=sBEZK*(d{*jnpceWT3LKT4iYl zDx0WPHqSt1Q?<&L8K^8(t8ATt%4TYnZ8K2WT&=Qw1}a;qRUV&#%9d)C9WzkbO0BX} z1}a;tRd&fhWgE51ZW*X-t5(?~1C{O6Dtl$1vb|bm?+jFSP^;{lfy(36D*I=k@&vWY zQ!-H5QLS=d1}aZfs~nVp%1&yPgELUsS*`N)3{-Yet2{FUm0i^;&(1()H?_)hGEmuF zt#Wt|x0Z?(z^8K~@|R#~2b%D!rqlQU4+ zPpxul1}gijRZh=9<;iN5Gc!mBZ93mt>%FxLW1S8K@kgR=G3-l_S+Emt~-`Os#Tx1}aCX zRo;<-%F$|-D>G0zMy+yH1}ev@RoDz{~z za;944_6$_cQmcF-1C_JYDtBg}a*kT%(;29ot5*4J1}e{0t9(8KmFKBd?#e*r`D&Ff zWuWo`waQmAP%O{1C{gDD&NUK<;7~1?`5EJfm-DU8K}HO zt@5J`R9>o9`AG&UFH@`hGy|2Ft5trUfyyh?D!x@Boq@`0)hd6^K;8rR{58Im86}u zSR992dH(VJ))I{)gW+`F4%STyaGE2Vlg<^imRh$G{8fpYNH#y$yZaVvWrcNDUkJ8X z>$X@Mw^;WZ71q`*)?@kBwgwf}&dVySXBSpjyBgGg*!ql+E!Ni+*7p_G&)cnEDy-id zR#<;;xBjiVcJK-DSu0z{<1kvh(!7-LvykTX%M0<*iEmA(f=oO?oQ9#d_dg zN^mVb@JUK=Z9Q;rCHPQ1a33W&&2N_^8?3Jqe1u-f{j!e~eMv$umYwEDO89?4FONV?;2aIGV8E3ZOEmEf6r z;PaH=*?Qpfm4=(E0Zy>~1xg0zX($21U8n@7`CY2yr|%*q{fjh8PM|+e$zZ;Q5}-d{ z30|NFzE}yqR1dsB2~P9NTgisIL^42{BIPZ+T?3r( z$X=&putGx#+_LMH;55Hkmi*w{pakEgSMp+|)mQ6*Z&a47_rqvpi4v^y!)Rczo0Q;t z^=RL$1aH&>-=YNbpHNHvWwGR2wNz>C`}9h_Rax=_df;Wsk{{Fq-=+lfUwJ!lotG=Y zkLZ^-A8Mq8$n7fuB%<4L$IaN^nRIyi?WEB3T;XgqHS{Qb~5z zlsv6e60Mq&XOy49HRf(!J(yOiJ}J@AW4a7{h% zOGd29{3$4xUnAiT_w1w9{4>axS1aKeI>Ys9{2+#xRoCGL*=b% zqX+&-S#mo)@W)DU2R-m7O7IDK;QuM>e4-xsQzf{w9{4jQ?XG&@&z0crdf+dV;GTNm zFO_vZNe}#$65K}*{IwF?PY?W!vd$;#fxlIjJU|cpof3Si9{77D_%uE64@&S5J@Ai8 z@ELmGpOoOU^uW87L+wxvaKhYlkMe#G(@+9K?axa3BlN&~l_i(yfqzk!JX#O@s}ej` z5B!@FJYEm{yAnK65B!G`JV_7yr?Rn3(EumR%l=X_n5Llw8q42G@C-fhKT7(uG{6b; z|5Y-WqoITZB6Guy(NoVP#Afc##>41y-G}*HM=q?N1uoZ*MCJ|2i_DJ&7TCu;7`e0} za>e$@Rd9VvWKl(AF^?{(h%Bvdx$`#k$m`BoFMZDC_3f}bbF=iBm%4K{NuLwcCe^G> z`pijcTc*#s90-ISv;G}o)DOA2bW9IgT0g0V-8}NTwRTRQGu3dt(`QbqVbAnAQw?`Y z`pijc`=!she83R1zUi*qko4J8Og7XbuUqSx>2oemZoy^g^Cneoc>0{F78{>FbCTLI z>2oe0usw1|MPyY)WHoGrfNc&tDxY|{%^|SB*dn$$)PbivY}^-F16u}y>^YBbb1)vp zZ4P{cKq$aAH$cC(PuZ)it1QE7O>MujU%N*GTsejZh*HT0eI?+_5C|xhY^s`)pi;?} zswpv)O75?k5>w?Q5~N0s26dSI#qKdlGOQ5x)7J#YCFy_3jUEeum`MdxVq@ff>u24}>?@e{wYm z*MIWy&Cp|-q=?jpyhcfD_&0|b|B#|A66}%{c{`n-LLJcuJK=}Z7Wohs*?<-K&I93x z{<9)?q%Cqg7TK5;`R)VZ?f*@YcFo9p;l*NK$a>g<$2Qwd+!FZ&#^-ulB45<5h@`2d( zH!<=d=?H||!POiCGT4x0!$lkF@_XbDQI`dxF5SH9(!oAAJvppPMP$E%WQPQj-6DSq zlHDSI5!~k2%}w%8Aoy3DWRye$NHRDDNQMasJW{VB@>-t;717AnC~aUo7Ohbcbykz? zz6~p)g^$B5VtcgMJ}hDKYWK4{rDYy1saFv_qy(5NVCm;?(UOGw*3a&hR)6Ft(0`aa z_r&zM6J}39JN=w2dIYGg%V}FXKs-8;j#o2^9+#NYo|2XdD$&XWB&`AQxg@&$Tc1sH$hhvBKf2!Y6i*!7Y61>P0`tOA?AMQeGKSs0$EX*nPo-I zu36C<`vW6MD1I@Xu%BePF9x%$e<5>88))V@tLw+x-0=`ABH?I*XhV2JOj0}Ah#U_k z%qLc~F4X1f1jd zr4P~q9;^Vg+$fAf2984Rp@NV>oCA7PMxlMfP$Axza5#vztBSV42h!F)+CdC1Zf?aAnNL%+P+oj(M?&S@<%Oa$1!g$w9 z(ZjGVcoW=x(XomSwI&J1J5=5|R(7cgz2tDth8-WkddZVyyX?){B~Yjv?-5B|Xe8rd zO35Y?*};S!u}@W6&B&zMr?kPoRna!;K-&6M<<5*r(w5Q&`&WhA#3XGgH9fg1+$JY! zOW}4(Rk+Pa(w4$)fM**#^}lU{vpAc08yqCt;A#48aBx*>KlebjA5s-<=N(Af=~dA- z|3KQ#sEW2r52WqP=vkt#`2mhAVGsO6FqIrCW|fuGIv7Un^b4xd5dbPnnBlVQ>1VEy zgefk|*(dFMF~ZiO<16=&b9CCA(UfmQ*YLU*Vx?p+_E5Tc-MBDxc)Zu`19MAeiJI`~ zpdOTOK4$HJweZTN%H(l1v80;O@#5{KW^@931&jBW|&+Qhx6)lg~>z+&T7Swyiux32xk#aCB0=l82*<6OSQ^E_o<=OMq;T z-uiHK74&M+HS9hod|tqp#6&7>r3umCfj$12&`Uw42d&2Wmze zT+Qe@{bqEXb~6H{RcS`orD;a%(l?`ZvKejk-;A)_cr)50o6+XPX0!>K(Pn<{wrDn^ z2h%m9N76T=L@FB1=+UZ-;fuL>J>J?Lla2Bl?ArqC;i=eOf_+=GIAMJ;MsBpvO5a1w zOTh6pr6+iwXzfVsWNx(Qrq}S4D`$)>N}qLvE2}*sea>gyIftds$(F?2^N<^3WL?_) z?tT`sE=ij;>Lw8*i_>Ry^~s=hWBRNqee#W!4^v=$yh8$M8$L{d^{IXGHu*3;=l@~C za^nxv^YUSOA@N~)9v-F__`|eI^I>{5-NW=|`iCizipInAma_T3t8V^F4%GbPxU|iG ziFWf}qTl?NXgB{Q_5qr|drAl#belh9)ouQeRk!&=)~YoBC25-f+v%JC+p_t;=fC-5 zx$)*7|B6yG%D8M|I75vKaq+?^Z%+UW67!mjU`{picNrT zEkT%TwcZl_!M0NR)w}JJ)AnkSr4`Yil3$YU=0&50TgN*#(mLJi*lz##GO?tZ(LJ&& z`#G^I+XMIVXMQjDrmwR5Sz2AyDzC0um4ESH<;0R|Mt_x6{w=Y}ze1IN<5m7$R{1S) zmsiH`^3bs2EohBBDDBOT&V!o`&Erq`0nIK>9Iw~d>HU8aKcU6Q8vBg&r973~p4KE7 zccpAkE7P^7zoc=85sNqMhV|;Zd8Yri8Qteqdc)}7!r%>~|0EjxZ!q{j+~E5?3?5P# ze68I+y(y9nUS!*e6neS&G3EOK(U6af8!f zg2Cak4Huw;riO>XO@+bNRmI@BcA}FgS*LCAbyYI>x~du6JdnZHRmtFqr8lG@Y4C8O z!9!s1FgJLXH29mMOIabi6!;vKvaqtgDhAIo5*zq>ZF{e;lD*d_*n5TSQC6hsQK)qw zd#|sOy%S4sNVBEABZ>CT275=iy`$3JDP8y^RTsV?gD!l7b{D>(N?rJd1cM*A3#arz z2H)V}o|4*yCzjrj=17CrNHlm37`z5Ic&>-RRbBYTsx`jrv;|pnn4ph&SKh)cH16%YuJP1e$IA# zd{l9-F7LL_Ny{U$Je5a`%uk=+^#OgioqmrPh1Qkeof#v$W90I*dQ(55@2hcvCrEHkB7i4c=64Nz+vJ*vF@@u&b%;@fy&S z-RvIEFYX>4%^nZ0aL;abPmElfwmR-EYLCZy6>AsZG@Q0Khho-h7vnKvVCP2Q+k2&B z?w*7;b&G6Mx1?)RC-`qu2_-?B>L}aPiHU8hBeba#d7J7)J4zz1c?zP*dHx+yS006)KQ|ZUD*XtvMt3~ehdY?LidwOz8(nV&k-4eKn zJ+1U)?ic8`{$6f<{G9kCTA#0PhXU*aKP;V`LI(&dbc4}^`2p14LI*<~POtPVg;=i& zdY0mY`Y#FUYpc|solgBZI_iHtkosX#{XM{*IeN6?>?5!BuFt&K>4^H$i9MTbAz=Fj z{@qT?)TIYtv9O5LlEd;*%%`#z3Bs^k>53kb@n9A`H9i)jgTZf{F!Qmf1>^517=MG{ zUR0ZohVhr#ww=mGV`L?gZoxP;OZOfA{XjMvFV&~9(Zqvmqd#0WDwj5z zgIkF|U6q(7D>2=x5;J5a9uTdyi@UYXO05K)vz^YVpyzL=7go^u>IRWfWM^i26TMlCLG#3Fa-S`@$F71dg$ls8CigbGSRm>(6K8wVpzh1qH>%X_X@Mx` zgWo3mVC}$QebUWHZjguZM>gww1wfb61 znVF~);i&SF7$?0K-UsQTD%AZ_ST_jc;yl=>hPnRCwE8ns)SsorVZiJ}47jW~&~g(& zTV5P!r4vD0k-5?kjzM5bP|>bU!;~#)t|VK=I0KHeyg|73&~Y%#SKx zl(1)YGS~AxThH2y|5rWRVD)Sx-?I(SvyH5!O~nYSQ42U$^Ez0US!8)Da*P?{1WZSp zk;3n*wGxtwxoo#Wm2HkH;$Sf3eNy{smkNQS7;^Yh>@P#dG2qK$9LG@mG8*Y3bHY;R zCq(Led&x(dZ;52SX^r$-{7AnEBmEW|=^fVCG*&X1CrQzl{BC;p)5Jb*Oz(b@!DL>? zUEZ#1wVyUzI8e+nv|CtF9KVA6LeNBQaT6X68?~Q3bgE(wovMh2uH;dud`sZ4v7%5j z`6yH<)}VkYy7!ASuMTtwsmtj6z*1)3^Xgy?Qb`CBhq*kb>R_(9+L<5ny6i)S{GO6? zxDMN#em=4|{9~TOKLRp+%v#!;pkqU19#Yr5%AfnKow<+i*gn?WCnfKg4%_V5r&h=I z^BwyXI<}v+binGE_w+L)s@z?SA>(^iC-WfRvxBU?L;qFJ4qH8=p{C|Rlgz`=GYB#T z&OVyBXCIWfXZ(UIlO+PlJoaDp>~pJU$N8Rp4m~^0dUnEcvN{%~C)vUjxii(voC{Mp z=8V?a>Sdgmc`i)9wA%X~);ZK#ww=1PN!zJ=iZ`M!Pr|8t8ds1Utl*ux>gC0B>OzGC zPF&F`!|=KQeeIm+2T zm-l8=qW=A1wdY`uzwGub_HcpMa|lR$U4M(fpHBw-7!G?+?)%po9gKZQu}8KP1D?--;E8>@fcBwM$Arc?n)fGreLd!yzUIa!vUrnqDzMuBE6tNfL`db3bMFDu{=& z0ACNU<3qy^)xrWeGvk4%j)MLZ!u7cc7DH zMDSZk;PaiFB8fi2%hV}aSuspLYk)dgGLvJNlVzEKF-&m;6FMjeydhpO2J^uyZBvZ7 zKz+)K$|hwqB*#iq^Hh7^aUxBQwb_pT@dyV}nx$u~VG%(z5!MA|Fiw!A*KlObN>Ar& z(P$zoi56^ELa5LrrL8(y*{x1d*Qt|z4b(}#;p!A7L^QG1W+g3D7Isroloyn8p>nXB z;#12g8KH}yELHU@l|tpA3__B86U}9#Z=zt?7^+~3o__|2UWTHiR7xm%Q~w9in<{Z% zV9}c@i3viYS4k{-mFtJTNzDc|uGuO>UG#;9;-UjY-pa zn#3P~VNR8WyP~zh)MDz36iZ(WQ?F4cf!-)m-%~%d;uT(0(u%7$UP?l5Kt4W~wgFwD zC+?$x5q5Ayy5f2v(K-d-9!*iFg4UU;tW>9|1?p6FfO;cnpPPIat2g={Q>Xbht5Z{A zs-85cA1Df{g=$z@s3y}wlRyg&68(_#6=fsi@y|aBLw;M z4C)M-u0iZIBLRDbTBB`=84@2hc9YN0`isXBDaIL+U`EP*LJprHNhygre1;^LQ^?^n zv>C!4U=E)lJDtR*j8JDpm8XP2-7G$X*<*~weKkxL_tg-wc)OCbIM))eIE|vD|0AIe zJc~mtB@|E=?^qnYIg?wOdF`QvI++5aR?-DV`5vUCi-*>kC9w*Fh_Po$wnBmqz1fYI zvRT?d;Rs=_nx%@BaGb>7ZU2uB`6(w~VQj&bS=&1U%L=RIBKxlw2X8chCs3hL1)K#*PJqYR#;)Q3v zmMvs-mMpu9T@hI;^R;@?ilHX%d`0Sf$yO}Z(DOC1p8|{Oe64~Q5m;h{AYMAKm!>0! zMbNN1+!}}_)7E2-(ZLLLfpjecATMVtH*Hbw8nYvJt={(41bA&G?ku?aDg%X_UtnF8?SMN|~ z!^(V@dO$rK^rtjV>73FHe(z3sDrJGSQa__)Tu^5#e!7YVU!9(;nWnk7OZtntGE={#7>GjlcN(QRO*LA;fG}>JWxGM7iyx$@q>?lw^_3)`rE0fgu>ecFk z6h)n%QlKvMKdCP8Z&RQ4f1@sB)9)0x{b4pN+{eJe-LGD!ln;%Cg?oy67|O;$S(>^7 z7V}p^S!zl*D7y;EGEy2s*?1^RPgwwES3_AKWj2&efU;6_E;J3M)M=r9dTJ>sqe&n6 zY?tuLNcPGXmy!ix~VMEt4^J$W4<|^0AvR#I55Tuq0-Q<;8 zisfm{0~ zP-G-5lANw$wv1sUAX{dU#2JjI{zXaq@)pU~*GTUziYj-BJ9{-}OWZE9C6MC?;5{gW zhixAC^nT%|K}Z6msx{PRYD-H`)uacLTXI^-+*q*n6x_wJ9i4(w7cs_Y44VQiK^|u^ zS*{MUJd4UQSX*mq#5JQy%ZMY)c-c z*=IxdiRAGiFL`8#!wj+{EsGDE(xa|~NJUDws~}R5+gR|Ns25Av5X>j*wg?bJ&q;H! zHdf3aXwqCPyPt%urY*Z3FFzJ*H;J3za|fu4CAJ`z)5Q|~!A`&OhTCFU8r{%bXVB4N z#?JIm9}I!Tx>toBFOxA9}JK5#R5C_wbiVqAKu6uaqeq<`HP4_EP(RN8nL)nYuFQ_gzTQQexl{=$kS+ zWt?ScGmk(Ysilq9)&Ea;1eSqEV7b~@UEzCAUGDo;UFjdCuJAvgF7vNfSF-7qdIb8w zD&lpvif9e1h|P2r0XA_)XbY63sgJ-)e=C%wro^D^4Jga-orkh*P?nwo?vK!RC<~{Ka#*D#;!_o|;xk?rFi(!73SRqsWC-l4%Q19IK?h7~TzZN@U<6 zHxR&kT*&p<4FsP)D*RM;0}WEgspGB5iMfHsOD89{8%R%6PTfG`K?#4&6!<_;;HRkq zr#xfIn)*TLOI_BW%%z0Cv}El}$z=_tOb>ly$=bKPtbGHr_AOJw-z6z)KZ<1SSFx<| zs3ak4zgej41O@Dawe+L9{VxhKe_87(99zu)FH4L#%&RX;wtw8g3NN#nTc7bBH3wOF zROEF2z2w8nw?smJSi?&1oHozdL9rHsJEkE-_X$`%oN6)Pr%H(Ji9O}T zfU70(e>6EjINi-urIKK()zY(wz@-AFS}pO5VU@C4W_dy2o*j)I4thtUZ-?w`>^mc> zJRI_lDyQG^0<&5YGU)OEua-oDv5#MavF)JrRR#bP{iLR-X|R4Pqt;UET1@pCjkO+7 zJf^y!Bol7!!HpM`3huyab1TLp;+jtu3+$XZ4sX!txw&aH^#I`HFyrL*z{wHH$&gAm zBdkGLs`7(U2{QT6XzIF9mIY<$YH28oK^a6-t4~=R|CEU1vrUdy8-u9`heMP#UL60L zWGZ4DpG$ed(4VC}Ssk;(Ymy*V7dyNr$<|8u15~Az(nINOjXk9GqswnAJFh+xT)JMr ztx)(|>ebgQ6$0D&VZsRC5(r;LS0Tz8lc35P5kbqAe74kEH&&wIa^`FqzKEMGp;&_g zm@UKQ&7o6v8EH%&^BOu?&TckzG|BY@UR2jeJf^O(StD5oxc6$-aHhv&7BL?a5wlXs ziOIJ_!ub|4EAzz62Vz!c#H?ZxQyp!{!RKDYEYv!PjW??KtHEClo|uIa<0JSlCp860 zNKIjastf88@6ph;i(?K$1q zvDRXzzQ9f_Njfl6xv-fey@Sb4Y6lTJl{`ths<&>kla(Yr%qK|?Ly~kTpi0ns!+rbF6u>c6AsXk(*kz1BzwkWv+K#o zM_OP`I^u~Ko)@LK!~^=D8oqHfhL>1l*vA;dB2y@oB9TyBTJkZ}TQ|qBuQi7K_%ZAY zV+bLjpmY0MW4IZna9HRl2fW5`gCz4N6Tg9lftlPe4wesNco>X~e@n*sp((UdOLlf3 zurYkO=Q^2ZfbfX^+X74Cffez
e~NRBKsMfn=|EiQ(I%%w1|PF3b8_geGo4KxG6 zrOC~&f!kOHf(A*PBo5f?BB%uuGvqJ`kAwfi3M$O$&<%`T=pyq{5c!deUD|*S9mUw? zURaEdhO$%$nynNb17&nwdB9?!10oi>(i&K)aBfWC{|k@jMsA|cu z{ZtV<(E^&~E|S5WN?~VJyiOHum0Xj=?y^BKbX#Q>Bibt4s*Mm@8?crL-&-~weh|o( zi@P{YSP3sxwn{@d0Ip69b{GeU@h}N+#0Zk0_Ys|~(rdmstdYqIwVq2B?WnR;_$gju z0o2*TPw@ukR*8R&amEmv-dTv=QRPE%8)!oT(0NDrsSEQB!cPN>EE(GBl%eokD(I#x zS>L_E(s*#P7Jkh0yKP!&XqNx(2}^N=L?qZQiD1Gkw_Rd;VFGNIoRSL91BLdKRsroX zZI>+V+3rgXSB2|^6vo>x+a+14uu9wRB%huJ!j3Ap2INha)x{$88knm!@L}hJfw5NE zp}Y;hN0jfBbKq8QpmtC@Ls-R00>2e2D>)LcQATF0>2Eq9jZYxU7YG z!HeffXN@rb0rmHmqGzG#@hASz^ul|-1V8XN<3tuT4LOp6ULg1rRl*Ozp<#lu9U3%k zlcH{c z)Iw%KorAJxpe$WE1ZB@cS(@4z$`(OcDYYJyErzlTA8Z?hmq1z2*BZ)}LRo-L!lw0= zx;%V6-OOj#43+ZHYlfhJn$^q9ncMdWME}wQVH3P(?iESQ+&d-4H=VhI;3&n(Pj)6f zbMJI&9gms&xg=-q=aZYccS#IGP9N@aVaD>XcnILzP61323812TJszR^S^pOa99s?O z8?ZZpzjvu^)wdZ>(`_rt(=PxIroc9Sx$uj?gFc1w^h;2ds!*PO8Or<$<>^+;7$g9k94X=eJ*7K9^yRsuT;2R5X zfWJ++#%)5akLRj)rDtnEc)9`X*D-8yUC-{MXy%7(; z84vG@hu`Jfc~7!qLBqtt@5A4RNdX$4B<0?d?xJJldW&Jgx${hvg!sLva*yzHYNUlf zLcpWS6OzxZsrWsXbk3TJ0nA>*He$NN`tE)0A^^vIXKFqDdvi%*x+OjlD?lF053~jn zB+(*ge{!nw14)V%PE~&3WUKH&X6jivpskL7==$<7Z#=S&2P>cmp*@myBhGoWN21tq z^2j}sm;^TZYk9ivk?0iqH7p*@W!_QYG7oL%?U9@u#NmT`v>uW%wdlIXMb~3GUBjDz z3ExN^iwxY4B!t5D>PK29Ve_H6R`VZefsF@^_=AHeyZv!`Q1cy^pMK;nR+#&OXV7*+%@Gbx~C+FGTxfVT}-+3@x?QD&iXA zXmz>_^T18KNsifipJYksnqK!My=L2oxn&JlK*mZeB_oBTl!JpP!&0tEfn<{YLjPO- zT|s~9!PGOU-v#|?Ytr6K+i9)HCMcOn8;HK2lUR}Qi>vO%2yf!*!O;-Ub(eHe#@D~- ze^`-maFmafkZ5JcukNHD+3=X{OOsbvSy>U+Mo)%Shp)DKd&svoADR`;Z~Q$I?5 zL;X1IX?1VGo@8L8hv*^f{bOx+Jdm_K>h-7g7X#on3y zEXTgLTNwM*_DjwVQa=tHO`zew;lHVd*0tAp{SnQ*2PEQ*=iUQsR`W)UDqaVL4oH$* zVMFDBY+^%x+XD%_%)u(q;R|*z4UKqXgBSsBtn3VqcK@I@NOx^n|Y-;{cjDzQAr9(JP1dn zD@67uSdNv5AuI z(ZKlDabdtot)Y-o*v>pD`GDqpHn&|hjqsVSvy2>JtoK-oZf_`N6a zbYP+7bSYDeZSagg{{L~hD9ipgrwfD{3U|3p$BpmEg7W}13Dvw`|W<_dWJP(2>f)RWX-b6dZYq4K-lJEq#`&#e5EQW z8Iej*mRc&PREp$7nZMKxP*xer(o0aWx>E4 zD60Ww;P?suU^-O7KL{Kuk(#DMCG`&Q{lJF0zc+}0+o2Mv<>^qtp>SVIHWc&?b+486 z*RssYbY;!29(nWSYl-6|QU`x2PP#-V1iZ}qT6UBLzNXunc zYnNs>tiv!{8^J(%naHOl6MbkdPnOft$yY-8Gm=DSkvf1Eo9;1wZWj)Z${C4Y0waA! za>or`0i4mQ3AHhvlTS;+2=NNwwA68B&B>>WXRp^wZX@)Yi2-7hF5+Y(@|b3H8TYe+VI z+Lxi8@qMkHPU)|HfXZ^=jpDkJI&kcW;`M%0Hv9y(DOp{#AngRg=}9y*)yptgZ{ESkvX zS5qG7K8dA%e|FL@(v`9!u%chTEyDWp7k0CVD`Lgt%U_)^tzeLpIKG4YH`kXa7{qG( zcjtFPt@?*^l!08Fmik$#qW+>>qW-Gftp27fQ-4?9RsUckpdX3&)ugQQtBA7Q%wsKZ zgoh=1Q~DNW!kr|BDahx1W|HkV1o5{dXGeHCyCd9nweH^>hJ7sz`-(8MPQ@t`z)q!O z-?T6jEU`$>vYUdp`xKhX!$Q-d@jp!I8RMJT7nZ-y)Xe{IXf(CZXevUZmqjQ@3&_xD zprdh#!C@&54m;1n=4BY1=P+nsVbDN?K_3ePJXDds7y}qQ7U}L7s(&R{T8M_~Uk<}0 zhN@ps)z8-`FB{BsGh?R60JNocLE@nW_YxgUCRl=wT#%e?GCJbAy88mxk((`2-z*~a zKv72q^Bn<|dx>yHN!$^rK3P~@&=H?fyp9YJbz~Uoh$@*Hljw*lInFEUh+4dkTqf$s z6{sV=|7}EkB^VK3@j5cxoXXO+z*Lr{C@Jk+Kj$BL&TU^tp5GREo>pE>D;I+LwUqAPEb$mWsj>?@ z43Orj)W|wdo5_N^(FOM(mb;jT%ksgSaE+60ks{Bvj|F$D3+{QR5umTDO}Iu7WMrG? z#)1WRhYRk%F1XB)HQ}0j2D?1>3@o^irPV>-3r;$O*&dq-*NEDSeBc?iXTkm02^SO* zy)mAnZ{{;>O3_)NWtfC%G+<;O33Jha5fWk1fEL^XF1V_b4*FiEiLXX92KNxrIJi*~ z#c)4!!S!K$*T954Pg$X?0;Bhg@|SWRP6oAC`>6xrcfR_f`U?F1==1qf;iOP^-!R`5 z@VnGk=vxoJf2IUdLZ-3o%U84u%Bn;shayYaVW2+A8q3!Au54ST%hL6NEoQBHC5%(E z>I*%hX8XbqFdotnG!vHeBMdJhi+kf^-x7X^;YJg|5NF7+Wkop_Ge!P8Uncc~~qRr;8=!YgjCupo=ASAe4OpW$9{LC_4#d zY3eIb_9c{+QlEmdub?c$mkMR4pe(4KgR-xoEa1BW%1%RB$k!Fh&OlkFZ#|TK17#84 zVkr9-%EBp-TQKq+ltq2NL)rIGrqM~tG@4S{3r@&K&RSFS-G=qoPhU!y!Sl9R$JRyI zKJ}%_t~L@`5vknd+s{N%=@&WYI7S8qaEvVSgJnV=g~^wm{2yT`BV)e%NiyI4rt2Ye z^ESm=yyvK=!N^eZlrpA@QL5AILuDi?#+T0Imhl-&V&c;~Ns~u{b1L#Xj7LJ*g9}&yzr9iep z(y3*aTsnCY6D`M-PA!*{PAJx(fRZ>*#4KeHvt&qiWs+DAW<-@cg=7~oONM}1N;{dQ zH0w#(M9gxLgjp(iF$-Y*un_D2h*|Vbn#_`KF-zs-nWaj}nT01Y(W+X^QjKSpszBaq zjKtMN%u;}urIc(FEwN@PCClF@VwO?@W~t$1mKv-lwGuH)9SO5E@M0FgT5@qB%lrC2 zPY!w~O=i(IoM7J9*oIS5^S;)&sAFz&;0TKYM<&mK zqpaS%XDp^V>l_$nafmtxKBhAxoWDYRHOAdhp06q^4V5OCubPyMuR<1IH37aF%lerQ zd^OJKXJ?DAI*a(~N^=@i>%+-2A4u9iu=Asz%`##u&$E3)W79&r8cmO3Spxh8ytNvZ zL`>2EAt0i@p9!SJ^~C2yQ81@3tcg=Rz!tc*^J02*p#t4A=QgpNJ1f30Cj$Ri#QaCQ z$|(X@F%g(xF^#~+pXlZcO9ZBvB7k}yRi63(+HBK1X-dm=mb6@Na8cqFxOPLyXJVej zM4M{O#MAhhcq)*08YA(I+D*nT++1U)^72}A6M-ihESI(liH45N`QTGYYA)xESU!#8 zhs!N~xEzGbHXCSSA1I(^!_5|1MjOc1EvV(d^pFr!ZH8l47d2m3n{Lpmu67I8JQQnC zK%2kaWJmQ<{e~R19FPhnZ16=T@iX+`kg;)-u^ski8u6${wLU`3QD_P@(N6d!4Wris z1RP=?mBsrt#fS`*fX82o%`n$xJ-(aZ=4oQ|I9u#ZhEXjE}6pZA{l0>_@ha}4$ z66Gy(NR+okLvoijB%=(P)WPDHB}vrp9udCvI@)YwMCxdB_z{^6qcMk#$ld0MsFxYi zR~?TCpFYzUOE4lrIgCk;2n&ZYCOM3NhcYI+_MFde2|W-eKSAN9zF1VbLoBi`r5m$H zU9E18x>{W{>i1ZqE>ODA`~qR~?qTPe2SL2o62$xXVW*d2-p7Xhe$$|WyO#7&nwEIj zyYVV1TXJkWaL%d!vu#Q!iEl{L9?%{%=1KwoXG^YV4J?wiUPpUKxdx0yMX94b3{TdY z`5_>}!;BD*(8$iR&ES(kU_q=yIvI0lRKP^iB zDWc?)7A4=-DXBi5kdhU(%Y`^mo$p|qirNk0&k@^Hl`F_~hNF%x#t>r3e zw+ImlHH8IWw&C63S-E0VxldBd@u@gb9G-(JN*1m`7}lR)y$Hyhjt9Q=7~_ie7^{z) zW2`mwSvWNen5h8Y)RFYdpr45@lKR8skcmpjqJ7-uUJxJ-+!# zk8ggG<4avLhGg=G&3*aW%|gM%W`6$vFc$gTShTXnqLpYY=9~7HI?`|*pG0#oO5N$J zCpj-qHEHHzijISOeH1z|$0N%u<%n$S=coUZyexQ>k@s*Pz;xD(1FoEq|FRIKJ z@{HT$)KSu{u)5j`!wRdbt>mq+6<~#}WH#V)rX2b%gDVigndz(SA%~a)>dW$E=vGN4 zBoWY_*D9p(1JXctj0h6mS?9)G^~6^R1rf6~kRBkyxX=(sQ3ekPMlln{!fb}?br;s7 z+>dg^y6TEAJj4E<1~OX|Eg>67@;qYY-axW$!jo77t(CBkbfV`hVR}R)#aCbH;A>#( z9A9OV?R}L+Z2yAEcj_Y0|FB)9miOR0bh_3zTq4kh^=}3$YcIKVgmD#y!(bjRvz4%d z8ZPmDPy^0kLjvTdg>-a4jw%lcKQ&0MDqUe&IMh;C1vGGMs6<`Cg?7zgQ;pwOOYsf2 zG}chiST8e;)de)xE4s!~%bP=|mKP1(tJcspHKuM~!l4@>$=XT9#xW=(BnhQ4d2)tUW`weVo@fY6119d?)~1j-mrzc8EH z+Jl+IPXBUyFs}HwNvw5!>)o2!6KXn^UcS!zC7-S=@R##czCKe+I#@Tp2_=ch+s63(5^j8ux~; z;Vidnaj~mY7^`#x9)Q?YtqAmw51z|uuY>+kl{QL-wi(L&N`X>d+X7`N$|fk=3T0`^ za;1{?29%{z{_$e#Z6=#3+kiGHAVzS(B^(X3*z8R=n`t|?X*=TD+a8;p*Riw+nCQ+J z&#HR(ZUQ261h|e7pdw&L39#EDz&nfpfU&D%iW;~pZ7uighSV3VlLrafGgS~5SkA~)}TJ3Go5Ga7C2Af?i{qT@Y zrINl=ek7^zlHF^izfLD<^gSHYVedaA8T389i%NeVpikK?;XWqz{v{Hm@98NS{e6f& zWz*v=?ESqYOyARUE&6+iW~T4qKni<5jzsDEERtoskI|>>mf0kmz3)J>>H8d#W4zC$ zPuVT=3ZsPN#iJL^SIWH}tuU1MNjeFT5Yb4C7#)F5>r3TwZ|cXQ4eU3ixi^ht z(I)nrGTfWyv1kkXO*!sO>sYjn{iZzkrd=%B-hNY&d($Zv?QFlHi9oeOh0z{`QAjZp zyuUDdDaf8y(Z~o8?PpAMS{Ihn&=9}qK)W~>CT6pENGv*3haLE5eW_}mu+vYu?nlp*BXvqN8mZ6nFr$aw0_gxq)@( zkBCPB*ccmFZ3c{Lxt66#X*yv=$C1+9ghdF^XVmR*#6n9?x7RaTFIh6Rva#rub~APD zX3CHr)pjfOb;@L{1|(DB85>Q#cbt}oSCSYn!MJRttU-}u=u1ee+@bVedYes(mPsPnAHlGY?C(T!hK*$FVj(#IH2_H7ZXk3O_5`iKd15X*jR4$otr zsJMlHFiVha*0Sg|7^xdT<#8L84zRF?gSX+SMbW3cQ5nLr_L@N5s4R#_pE1!MiZp|T zz)+|5dDcb%BI&|&>0eQFnKuH%v=FBj|JZ%Iv)Gin7Y#c=J8wgqU2JIg zA(8>Ke{E=YdqCqD)Fq5?WF6@hfOf%#cCQN>r>{Ipa5r^ydNCk{Ez zYHR?2<;T2a04#6FOrkuhvDQjufWN~Ds>u;8<-z+bhfEYxbjG$@GO zC<}{)bXNuOaT=7kA3%#kO;~uxc-wgzg|EfIAawINvlsq)kQilIT{9%Y=BoJd+E$tCAH+-OxDx?Z)q&2C+HByyS6%zcJ;u8EB$@wKr@Mnre z@Mnre@Mk0m<>~MMEvk`fj20J@Y8)-9lj`0Ce@2rNysb^}g-ArG(z2bR9<#|Jq@zZ~ zvfA0=`Yfo8afQL}(+lER9pXV6W7m>rgC&wH27^1H@?H4r&SIw7X(`hsy!OfJ2vDvw zQ0VM|!qP-2yvn1nR7(nlr4AIB0(8s-oD#H9UyhcEwwbkD!#hV=U341Ld0;Vg5N?AB z{#lgOLog4l&@zE==Hk!2dgD;>7~qu%DH!0M_5d%{dBr&?Udm4lye%-mn3iK%18pG7 zy@3o90fF=5%%F&6jj(~NWKvOs)L>FEiqznwq9&qeeV*U>Fl@I10+a-U@jg<( z(Y+Rd7#SLGvYn+i_N4p6&W=51b}Rwi>2`*>tH$iig=R$|-IqA4tl+D7()|Tzl~=eb zJl$72>0X#L-Pa^X_g5Wsr%Ht}eQg49M?m~F#10({wyZ-S`Ur@x2N-9q1IY&Vh|`d) ztPT9Iuan;37t7jc2pJeZs|-nfu`p{3fJobt^#%xqarOx&rMjdp!(=F978Cl4)2 zOV;6oq$StkR-~1v!KPTZoOEZ+w`_~@J?G@HRB8e8OdQYij10ITXi`F*b^5CHWx>X!Bm12H_?fm^jChu?%Dy8OwnjN5%<3wh%kB z@8IW=549S?FJtMkScdJNS&LPo%Rh4^xso9nAXjooUPZ2ANb>%fF!g(|j*TbdS)EKW zo~v^;x!Ud+X0APw8>&TqiapWWOySzNj$EgAmTt!}qFhg|XW!+K>$&f4AUC*hiIrg$rjn_wLOC*(t1yjB zvjOwGyH~P6M~A$Xy)3X=Kt$J$LfsWI{mj%Kv-TUzSWr+~Kk(5`rc{gT^V zGa=Ch49uP6PS!$QawpfqUF0sE7VM-Jj(XTHOH_c;U;sTNS!QbT%(h>Ws}O6J{gPaT zR0GtrS>uglgyR4T)&b$DZv)tl0O$cfv4+Ol$s_DIsvLC0n#8zhm$wi!LA1*uM|@w5 zi+0(W41mK<09>@o+n|%EoI@t{%pL{tSTpb)z)uUeclU^7RTntNkK7ThzL4kXRrZ-w z+gHIgMhk18+(XI{nYKqN=!hgCAC)|;ijQUp5uhlCYw+kEW#QW1QyKfZJ^q?T-Ale6 zkH2mv{2EVuN3|xxFDVwWRv2za$=CdsM`Zh&`PMG+5k3eu=03IuA++`Z8pAOKHjY*Q zGe^`xtc@XM;2eAhv9&H`a%jh#&@Q&2y;p2#pF5$M(KCSC2RgLOie8L-f*ri@9&5&Q zS%tJBXxZ6hHq)~0$!t!`&LMNG83zow5UB7_E}CjgWPuyk%#o} zXy^h%@L}>W`|c9*F!$XfTdP-RU#vyC4Xi)s(ht& z5y~>1#51cozZd5Z#W8PRNkRpJ|C+ozm&|39>PzNwlzNmr%2PhDjl|d_OKn$hs}!D(LWK%?sd~O+8dOT=F*b#c z=(-ey^)d1oW36%IF^;t!Cy(>21>ZeEo?zcyMV{ckn@8r^-|-YWD_gnfhV_%=Ne1z1 z@+1fGDe@G9$Q#y~_hZnqr5SV#McSTCV3mZCF>qEgKt`D8)8uJ}_BG^b4(<75zD@`a zYyGSwZ)?yiM)|BP*F6?R_*9$>99xNJCFzfizAYdNSl_NC3%I^5Bnz!UM$;WMF3xI( zmu2wjlR{>utz+W}K3^^V9GRW)=_}$-ZS(0Ye<}^kC$NawEc6U{hV^+ed4}urv*cOt zKA&SJ%f00+)YQ@t=Nzqhos5W06=^jHXVl{{W7BP!{mff6-Yx=irv>CL8_4HOK8(wo z7m-D*>vPB=uIr1*V%By2YL%kc1NzM>_Fdk}&wQ>0O3F}{d2jO)vV?(rh%DhiE+tD5 z$VXiuf8hgaA;g%`%RqA(S;k;KPL^>nmy_iPCPXj7C6BS#({^W1ATTS)3I=8YS;2u> zNme2-iwrP}Z7?ShnCHlI49rsU90z6a2pKQCrh+j6>5(c?nCNDFX z>&eR;%vZ=O2<9dOvm(2LX8^PDCT6daR~eMe6$wmh00NKbv+C(-XOyT4-9vW5QhsKmq?humfP=l&!)j&&| z5%b{VF^)@9mi}IsjATLim~Fv<`po9Rb1qOY-m%#Y~a!pap2i?URG5qlAs1tFhoqdOMo8o#3)})S!4lg?vx|_Ie4}!i*Q~ zqYi}`Te35@f-d>(o_NOYhuNWw*iXE*z(TCC3quV`w%(9Q2VY+FKcVt_B2+TPL~&pu zyKt2e`%i8Gp=L@FzhY`ZZp};zPms+bKh+tTdeQ+WsDk@I7%?1g%fxi(b5y( zwiXKrzlMP?TZ+G=iz9)~3rm)(Sm%XhAq4t*d@I>Xsg|vhtsK?fAaCeYb1!TGZOM&r z5R;TZ8D*y>(g0xz4`Ov2mR#@8fZx!8FDpp-0c2qt*~UgINVajKwViBdqb2m70BG^~=iqK8K5vpY8R!ss zlLP%0dCL+X{^T)06sI~y`-bpk8%eif*>PpZm(6w%OxxBtSSrFH2kn~JIOI4E zw`S+sIFy2=(BJg0D7%aRhg^OwZrYzB9P*HX3L#FD|FJd12I*#`g^=`A44B#;BEj+3CBsxcwoL3S`9uR(TjLcWvi^cM08(mhHB zaTnReAQq5a9K^TD+crdKy*6((RFDP|;4d4CRR@|&D@YDgfW1=ycd`N@7R0mbf+X^H zvZ@s&K5M%2$ga<0R8bRq=UF>c%$xA+%^lm$ZebsKW!OXlJnTyBw7X#OfO=brWg!!M zNqd_Kr`e&mk${u-mE`wKV05ldlK7Qm2X84lRPVGA5v9G0D6|G>iO!-L-CZ?!yz^z7 zYm5~tco08d>Z(T6hfkjnQ-;5sMa`G&nbCPSyC=dts;n0RhFAkY`pwM87?19k7Q#>A(B~dPT*;ZMSW15AF zti^D}W;*U(Wy!jQE~T;uW4OJ=v_NcBS+)+OaED^J!;^+9zUYwR3ufeQvYRRG%gAm{ zX}?3>v6VJ%`$lowwwYalpS?}@vV7{R;z&G}ZSLp7%#{cHPEY1%52riaET#`=bcgeeq&LhdY9G%}I?|IX?s$6r?k$a!K&mfK_ z?{g48ARmYz%H%DUZEg+&xd|gT^H&(g!iVHT*48-kA=lO(vWK;W7aLGH=%rSxCD@=o zAIrYdwztZ4LePo~BGz(~fUS9;wQ@et1x<l=jAV8DpUr{zBRPppY7ia-sdDDC}Rv*-*m0b;zyfB6F z3HgN4VjB5`qs6D>Qx_{ZTo?cwAp!js z4@>fBG+Ooy9$fvz;OvC_fm+G*TEB_U9CKJQ%(SJjPBH)*I>|Q622jr=8!S9BPxC!# zBypwTS+|iyaWKp2PN33k47-z1b30+nwgO_=bL_4(NQM@T9a}HiciR9OB?F*|6Tm$- zfF?p>HkBM`!X0iZiN<2Yybp-^08h-OlGryVY{?oeKbHNV-IZoKEljz|ei&q@6gu>z zHq*rMvk(L0m7E3Y$o7C}8~lMJ_*`rs(F?M(=Q=*0EM_Yt_01&4EjCq~X(NR&vBBI- z;>V{(Ezpl2wr0}6Al5kty&*ZK5ET@3qyp^u!cT)^9BAAv{uEhJ0Cl$bQ?!_BrcD)o ziFvXabIEv{e8x2KsKJ(?e_JFYqAi>v`k2kvt&#!I(sA4&+qhkXPAF}X0no~6D?edl z&=vvEBe`X1+M{Y~YVk9-!s*y%)j%I;ieh7L?6bUOK# z=d-r5vxSJw+Di79vBb4yWcKDArYq-T3@#S(BwcKU*Tdfi_}heQiMLSj4o+Ly)-#fh zw#gpZP}?Thq^1k5?1enO?PUHYMCW$06VVvosPcf2bX2v$YUdz1$c)El$wAI|JVXwe z);|iwSKG0j0q>#1TYBwSYH;r_VT(6=k+sJe;wq$m2WC6}zVM}ID9U7aDU1Q!+;!eS{ zSx3n+JthNNoc!NWB4dVrUTLG*+tq0+ON`f1FtwAj%XTU(!I&?adb(=EgjnIJr>kTM zfTzr^l8kFkyv2vDHY3JXU{wR^|=DG$QR@b#v*a@1;-*M$w>i=^hnGiVV^a~UL3&nJ0XjNecabv z$9qV|&cPztGr*aNSfpptEYefb49_C0jsL3CI*Txn-ktAR92V)3c}TFsAX9}LN&sDVbuo#t>I0l-V!^`!6Zz^5tCe! zG?QGC43j|UpGc2zo#Ohziw5_F-ECkK6DU`WlaWh`!zR5Y?ny?gShjseA~4vCO-_+h zj7>I^QyiOoO}-YeNuMOxq@mScTa$3q;5wd=Uh&L^+~*vf`XtXLZe4O|(rj{Rl58T< zB_-^(&L$FVa%pkcq)%ct*?LrS0LuF!C?8o+KDKeWLWja_N})p#1CYPQ-ijK4wy0n$YOfBGZbglNTm{+muPA%J zU@K}Mzl96|V6gK-6#*EuXhUR>Wc?U~#TJg)86@@nz}F}UW02%LFsx>QKSYs4gS2ae z)&(}L2g$eo^)?s}!ukhAD-Du`sW=;v?b<^}XsFU*ejxZzrDM`ylELC2eQfXpzDtEf zVdV7OyB(|z7S}?{yusQ)@t4AApCMYBxYll<4UJg(LPVQWQrZlWs5sVRDgfVzV4qC@ zTg+mZ@Iy37^cIWBf(R>mF2p*E#E<@Oax9{XWW|NNe1m1Dpus;%Lk%(~z^r8+wo`{n zJ&0_XcAA`KGv^=VG&ggeA!qcN({V`>Kon<&!HdozQROcoUj!CeI1chU=WCp^`-XhO zko${#!y)%A`IaGP9Iws(S3jt@j=IjzY;%$#)!-@5%Q(l$=!8 zX}!yN1xgR;Lez|b*jaLxflMc7IgsbbIRrB30(k|0FpYxDzS9Kx1Nni043Qr=kUx?i z5y+?u;gH8$C4iV3~w<({z3j=Aghu;IFNsm zKM}~9E|8-gM?PuLlnL`M@)v_yi~PmG{G0rZVAf+WV>xF2Em+r#(Pw|7xBrlT7?_6S z9}diUavp(cYJh2Gv-CJ%mW3uV|B`o#_*To&qH)2s(tN(qr2>J!~uL zD(d)!KRAM%Js0E~cChp#$bg<70Qed3Ui1k8Plb|H2HbfVSsgDPZvdJG71J2dOXw2< znhquD2;+VR#{F%KuVy2!qntydF?p0T073?XKA1is=%t{f6hb+cGsK2_4LjIoQ8oZy z2NpyW|-UYFF7M@RIdfosB&S>SXe??`Fl;1 z985F)qN|*%VeYhy^5`S+oQc--Fo{1(Q3B*{a3W{Kb5V~2L`7+Z36Z;AjNDW&rQNI4P+A> zF88tt&KWB?vkYS;mUD+ah&SjO%mdEdA~^S3a2~MXOw-{cMWEZ!zL8C!+tC4!A+a1I zCWaE|CLj)IhftTIoVfx5&E)4H^HhvS$L5JTHs9*l0=r|=K_%6PhFkN-*Bz3W$>11k z$nM~!kC_BL3*tG?@R06I4$_?tNWk5PKMKeJZG`h(ffGbr1N$5jN}03JmU@U0Vlvn>m&g# z^#=GLAG~Sm8jf4hs{J7pLSi%MLrCEOgD%slNE!5Hpw0mLSCsRdfI%N-i5g91>OQ_E zRw<7l$*>W61gRQ8$k>6U2)zo(ETw-%IWGwin#-H4ro?bW5hL^{3S_YndKCFP0HK#{ z3cUu%K-P}XzM`Dh1PDFG+kM4C=y4QhX(RMF+T;d=*4PLY!t8em{VU2@FF@!CUhay8 z&^$y58=-kf5&@yOjnF!v&ZqRRD5pq((38BCXCmaG=j=mSi9c47v5B72wX0(Vukx`@{ew0iJO zuj+dQ)fYRa37Av7s(&J=zQk31pI7xmg6hj091h&)RUO<)*6{Itf6l>=z$;$WY4Q|v z^!e(m9NZHR{o_^rG+&)JA-*&H9d^De^=00yp}Jp#gFbFM@JAjdXV$#p?2*>Zt2%gE zJbSd-p~B*k0bbSr=Bx8Py5P~H*Law;M-i_c<@yCMbKz=w{jOAwN^AITGMJE%)J3J- zkO(F?2V5`0a*z-=LJqKa-o&NXjA<}!)-Qu!V*-pTTUIh5~#Ew#Qh9$89&%*9^S zVL@usk0-!rHvxRshXL<>qD=CvL$GkAqZVFoLB!9?po9_Ec6FiSZ}mp$1F z=B<3+Ino7qOO#Dt7kO2O)tXH@u6ocpc?M^BRi7iMp2-u0wGPKw-Mj>AJkQoc&epZ7 zy{bRLSLf;ZxCcGM4tlb+jUH(Po|;VXa%55uNbWrPgghW2C<)oV6c$Jn*b9}y@FL7A zJw=~Tr3jQn%u4LiXB2@0YaV+ALEY#8PJ=2M12>;OA#hPBiJIWxx^LS>@6!^+NU*a^ ztc5IqlEqqBNS{y(F(`?dEo7XxdzlR{vRS2P=@Y7y10^|TrQ~{<3srI%xW)7dfy;xE zJQJL=m*Nw*&d4Gl$q?bjfi?rw2%nzTr$vsBmv9~FTc?z9(Y!+qSgVDOy1#%$D{^nFp@)~DJBQWbd!TU-Pa76H!L z(3i#A-V`Pz)bW7H+yC2mJm2zw8Dqx#;}U;ODg2&O;-@0<3<09(D{mtFh}VVB1|PH zsbms{X1@YSNCy6HyHFYYKJjoF_v@h(-lScIdTt52(d_71=9w*!Z z;xDZn0RWKZxN>rFK2R#3QSoc~gs4~lWXL&Xuviw=V$V>;+01!18_#fyK0$&qKYI5K+ zXKc%y8IOU%Zz}>K4`t9BDF8sgNnkeoM4wP2wV88FjUzBScU})*;5AZkoG<<;w#HniH?fp+6f{9n+<~1hgRz|jQ*id2%`p2(tyY4 zLP0za2AMHcLwMPcR?MRtjtx=8Mo`koo6_YZIhHV?%gI!SEx6_QPFjR+3>Y+K*wG!! z#t6G6P|}3!Rvx(EL%9~AFJh;j9E9eR2hw?g#lPi+`1zToFOB7KS>qr?jFGA-G}x3O zL+#q82$^P3(u_wYh7uLpZIyPlWno-_rJ`~2T62KhoV7~l;^wH;7EscHZxvQVFM3!Y zdHLd2E3!Dqr07)L1tpeO!?yjl8CznW7}W8oTi34pCaVkoq4_QtwOc~pTC#pq-foHd z-3m%tp?*V5IXZakt1LMJomn%M*T8OjhuJnw3BlTK4e(pDwy6lTMs2r&k~Yq^V|nIn zUSI?7GU4TpHQ-$gbuMP`C=XqX;L*5(wisR{5X`k9g#g0(Yk*^5CWKUx?lRb#)t0_H*YRO$qPr2&^NOWQd_MuM* zK^m>mnMbf6mAkAhfM&*)tT%?H6b6pNGjtbrw(f1H!N?VhI_So4Umfi> zA!%LINw=s&McaKfxv#F|JbU0Aj4{QY%9Z1qU`r(xu&c!ENttE1ba@-|uHYxt;KHK3 zQPyG)PKwh5py)KNuU=GnN!U%gU}mbBYVs~j^NpcTNb_}tlCHYudv=@lY+PHy4*|g6 zB7yJt^jYDjb||c`p3@zYJyc-hjOU5LtT>CD_4)l+7Edy;kR7{QsQ=svgu0K%u94PM zf}B-}k*gb!q#GmG1p0)?)g4N@Gjiok+?F>no;M|)H_ae(4|vyu)w_v4p?W=`q$gkR z7O002>?uT$`4x;tXy{)r*2r!22{l3|-rmkejCwS>?-Ew;cKU?s(fP0sUypVQAs3Zp za^d5*eX@j2d_OLQHZNuP%%V>SpT1Dim&fNWCq4{TKps z=o3PqKa}+65rEOU+o(4H-VI>&?xjzt-asfB=%|MX*;sNi-_AeoYwW`M0i*Rn(83_r z`u+3?wLTb12J@}MFq&8ofp^G0){(G*^=N2eG;5u1EsaL4(`joA z-}*uu>#^`|EUQQNe#WADZnt+eRTg3rXrHXOhZVvxr}?ePrqD*A*VUkxQ!^N@ia0QrS@-isFG zmd;>-F!-%3YSWo*0)zfCeL~Q$fs$)Hp|AE{__WeG20 z@5xXy*%R-$HKJ{JE~LD|_+$#yoWh`Pq)!Oybx?904^^MXm>tRIYx2nwAyK^vCwRCu zRXdrMTOoiH08RR$U6M{SqN~U>2-maUU5I3tm&zwTe)IYus zlH;E&^$*&(2S&H=6EasMfmJ-EJ4jL-v#n~YqbMDe)zKTFGdHr1zD1uU z9JVNgvPW6)Zvhy$F!+1u6M}y$l-%kGf3HO$Gwd81)FN&lU}wp0A0EKYeE6+}9$h@# z#?bqOJ|XmGK*t%$xfdz3rbVVMeB`!;2VIQZ&hR)ypAa51p=72f z9!G&HMp(WDzbn5awBXal#ViK@7=1$U?|_m!JmH@(h8cd$tjbxttd_cYQuI!Mb|-7; zBz;0H-329gdA4-QqbJ=xdomkf%x3UU(7x{uFdt=(4Y zi$ZKRht{|>cHVZ+prq}dL7ubp0zd{fRzTC_&?kiGLMQ=+ocjhicA0M%G>t9|kgwlu z_Y9WkYR>K%>}mHr18qIS@F-265FXTz@vJ8v#A7xe;;FG00gOcqep&j2;8RbfkD>uk(RFIy}eSpzIc0OxMKsIg)4XlqLijrms#gu z^iZ49P3^FP_YLdvMZoGshH-QHgfM;yN?zhIZe>)WuBn$GpbMKbfQ5Z)ECdR^!fs<#$O*7Egg6|lgY(%qnghP4&AZ(HEWc*F;{dk~iVqo2=et^a<6YUZb}h^>81e%DkjIal7zKZr^!4+jerU zJazygI~bBz&?kiCPAJ*QkTf*uNQ2*Y!HZq2(rEgGD!mOQZ?j4)5sOh{H zRO1~edB?87kI&VzT(GcEVG>OJSMM^|SJEd0`#mUmPXt?X@0`7;xSClxPO`lZaNlQb zj;Bwk%@3gD1DIrdFFs_IuBA_?(jF+;!&frrfREtCN37Ch`h+Td3?(1)m5@50 zp!H9NFD5XTg|mZu0rXzh>~-`BHMfzo-WziIP$fC+qLMF!B#uH`f<2gMz(WwVRxpsD}Mfr$kx@KU#s>Zc0QiCdzn4BC;M8Y&5&?hE1$;7pCXNS&_3oXJgQxOL7Zx>jeF!-z|Nl z^s%6y>?dE7Z-V|Zt;+N&(a->}=+Cd8-#)(+{Lapwm;ZFoUpb>Psay_z*H+$9`R$;;%JM4fs%!}Qt3FY6 zW!2|{{%Y&0?X0#t=&#8t%Cl7E(L=NhQaSowNh%O z1^u-a)mmMvFzBxxs9m;pdH9Xje!KR&L4Te6I*sZyh2IbBd|u~^pug_bb#JLV1Ac$0 zms&3)=&x6`UekIl;CF4k9rXZ@`mO8tu74@~zFYrDeduq4{td=9xC(wxH2A5(uR(vq z*$wA41pFHwXn4Bew?TiS+ZsL8XfFJ|)@Xa99YKHNPK^gP9s<8NH@>g&gF$~2wMkZ! z9QYmApsdG}#;UH(l8@-gFcEe$ez-(-T2|^Xko;H;4W;f3W$p z&6mLMy5>8Z107lnZ!x*W_3*o`#m6l^3HsYsYul`COZfe)?b)_J2L0{w+SO=R3x3D9 zo8Im=_?_EsX}cBhyRqFn?cNXi+kesi=k~t^{hiu$x};NI_#M~j#!i4wrwyHUcX}`A z?>wpV%+7ZP{at!=xvb0Zpug+IUHf$H7xZ^)(XB_f-thZiw`aQnKHZLY`?1?EL4Wt^ z-J5p@JiAZoKC?U2>%PAGuI}%^@83N#dqjf%9({U@>;dD}V_uKvdb|L?XL|hA<9yKH zvvtqjJ%PSG@9z0j&js*%s^{-L{|fqh)$i557w|{FGyVSR2jBIN_RsHMHRvDEb-<7T zz+VG@9h5exRM0=@(m|sJjSc#TH5t}rSa3uN;M-BZ zjZPmO2>M5l9(}{;8{zlV=-)>}J7Y$Txqi&FpnvSOV{adO2mG!YyK(I6LI0#LC;d9< zkD!0@4U=b2z6X96PJVgv>Y)FIjyDXrVQ|ntZNRi~)5Zt=H{Ep8y*E7&^iN+f{iW%z z2K~2gzjg1ep9cMR{(V>GUC{5lp1$jayIzLhV|Sgq>!+Z9c7xd+W_O0)*|X=(2ELhn zVD{clpIq`qmI}d;;jx~j-k1b}sX3O*~;ahNiTV1zi-kJ?<)vYbIwnlqm>#ePK(7xK{z72W0 z-D$i3_CU1dw>R3}6z!Ak|L)*=&5pnwMRpWNyL`uv9jH?~ZtVDR$1}ZVXTzP{cJ@RY zx%2o=)Q??;T^V;FZg-v8b$8c&y=M2A-Sc-ZM0;cRkGs)-kJBFiJ+Qy0{hm+u4AX1& z*4W#2FV1hD%f3wevZC#^Z`{66y=K2*f5!c=vwzAN%LH+i}eE@fOGX9v`6BoUlLPdm=sBX(v{lh(P=Fq;%3= zuQ}QHWRH`*(e6HZ{^Uiy=9Jf|T&MD)?RzTp)Fia$PJMmqp3>ec-)F+kEIkANo;iHx+8M; zI5*(jq;rVpa~sbcId@#IIbZC2&GX3b^L@@wI3K3hTrge8c_BC2Y8P5xXoq(Ag;^Ko zqW$v1(+kh_ny4C4ZKE)+QDdU!M`8Y>c14|wiqdN?=Dt|rVr8`LE)Kpp6z!smkr%h= zHJ7qpDtQUnXU9XP3I!3Qyc7DZ}T`PBf?={=UxC4`z1aUAve9fF=_U&T!9efAB=4R$i zT^xLeIJg-c9FP3xjcC)aVaoJ>*^4#f{$I?*KHD_`&yIbP^ZTC^+tNYI?%-QU;!UvfVT#b*0I2kHHc*(ik^=;h|DY8j%E6o z=OaI-k3Nuw&cyi8!SPjeJhWh0KK7}}PcKOZd)sx{lH&a2=a^&zzU@jo1Iv+Ar)oLf z=$gWNv!J9L`0c?5u-r*Kxa9z-1|`ejvH)jeSl;9~fB76F+X3k5%&C^Gb#UM7;JDSn zcNqS|Wol8{q2;bR%b#4cBcGvUJ%|sPrGm*hOY)gY_5*qUYz| zg``ZxR}eG<|Mj2c)rZX-(EDfaF)MB>vnP)|n>wiX&*FEiq%F;&d?sz~z}`EX+>4dA zwb_)Bmvj_P8*}cok+v@DfXV|6>^8K^?9jj=|vn-!!n?KO^ z&Nkk4uWakHEuZleaj@^5br#O5ri59S&wPqG;18Mokd!j}au1}agLb1CR)2Rz@8X~v zP9N~mdoCmJA6`=7-In&OdP?$&+%qZez&|8MYNj-A$UTxG5B|U8Ozo8DCAp_k>M_gsoUk@x4=Sk^G5drR)IRBNj+8qy)t%Ufq-D$0=1sXr zQ{~BhV4Aj0jgFN&H`Sithoo)W)aqTihg0=Qeoz`OV(nA2qvg&{^(Sgap2XYRbQM*N zbxiGkmHReLob20oN3$iYb6Rk*+|6m`gx|kH2eGbc#ryIINK+^MjwpEZp4AlAJuO9n zJQC8}iN7Nn-n<7E#CoQ+Xpl!lnmqY;M8%sLF`D&Gi%}tuj5Ip|?}&~!HKRG}n^vPk z9wBLZ667x&16cpG91rrCNb?h+c)^*S4NU8yArB8R8TiJUJw-&!m+#+v|Nbr;ETSal zt9+KP<%tP-^WDa`7n&g=PU61uX^9mvDYEauzP$kPx@?#TnYfUVFGgZwWMM-S^LFU4 z7HotFowq|r9zJ4n6yZaY^Y&^rO2kk6_>squm>`uHQYl3%*%%Q@>Y*eLCoxG@!bv5h znzC^sq^yUOJgme-y(6q>qUi5(#=WA)HzIL6iG52pK?K;l156%dVzS;7WHeb0ZvxFZ zJ^krM1R6Hz)}_sCqKLKk$C^Ce#DslFyrGZfRUy9XO`(>bO%kE@VWB1uH!*1+7;ZFa zPH)N_{dobDxvdT^9r0hxpBD&bQ$+lIc>Kv@P)u9`Vvr`zQu^MkvH@(G2)%@bo;>`- z*!maVw8qF}aBxyEM76FSdSR%SG@ee(=fzSWNIo2;kTv?7A}g zbN6a&rHJE?6UXvc7L)vuV);!xA8~jS&(&GDi06+N&+?cS6a5imnkL$EpTL{YF2f>3 zXn)+$mWQ{P?2jDYsv*8sg!sn~ae0`F2~UDBR}J;`BGi*6)aBtWCOwJ5T_xm8v(H4x zCtb+P!(L2$5{5lZeDnw3v9C!tiMUVNxR=MinEWJ;ebv$*DN-QmQ$U^u!U4&U2Jx4I zts)PSClBPgAe@j)xuCK%RAbvkN+e%O$kReNA{oRlF*cMlcZKS)VsTeo0am+6pl>6nW>tc+>Tun*_pDlQ=Xy1nJGL& z$(e8eFj?$R)!q<^n(`A>o~**5sUTU&p?bh2Q(5lDUwZA9 zvwI?iQ(p?p(^xn-6{c~_QcPbeIYNI!OmbxRMINWlJeKFOaB?cmWpeV{Z${%CE=p`) z_E02t>P>8UatlYN;^cluf`20tJas3yJjsQ#Q+bkGO>}?uSR{JtPjq>*3x}tHWVe>^ z4*A&=k??6F;pIs$oSsIKo}8|s+fZWuqff- zmS#^y>Zh&Lm#4pQei}=Ea=!dWK&!E5BLCA~{>y8C2!J$L1LD_#pG6%=n{_~53q%m4 z(OM9{9{eimLE5bc@|qw5Ar04r_;ulhs0(SkF34+x2!=FX8{*f8KSX^H^+8@EL_mld z5&c6z@?S@;#{Lp@LevSW6Y^RifXqxuSDq(r9)mi}u zt?FWHW#YIP*8mgq&0Seqch->xThdGsGP6Tc!aYKpbgi1|Tay$BR*6C`*{@+jUl zsl%gxKSz`nQCi-WA(rwYfT)MhYDB5AR$i3cQf@@hh;s8md%MQAIz2P#yR5)ZV=ZzqUKnuG1j=H_K4sSwI@NfhXTs- zvMc6~fwSz~Rg@r6f~=LAcX3M@5&09=(RXySUIdp2 zt`7~I5AA2U>Jq^vuDTNEs!KMHb`H)RE$?b&;Q^w4iTd@SbtxhJEHz97m@M}aGJ&G+ zyAxCMrjFr#FMO4bJM%zM%HBO=BBK8L{KQ`K^Wr>{2(YRFBih7m!^J1xWBIrb|OOjfrtanX#kf?WwpVy*RTAx!(%@ctq zYF?7nJZ0S*!gGtdXFW$n{rWg_W2t>2_(bhX(%Pr2f3taBQU5+pj*Hs)?s=se7<0ex z1fE|6;kzeG1k=ZxpSVEG#S4l+{CE>Bg82P&Yq>HMfhevFll;n1o}ltiLuT>9qAtEa zcjeP3wiAE8;uh-Byr>As#GhahvuQ@qSc)|WZT1h)JcG5nbx-9OQ{y!Pg$MfLn|S+1O1v7dPJXsMtgI7J1u zsR~L-9>0oKnRtnC;~NcCszb(;?AdK`4@pImVcXE{w)bF|3rQ+uPo|n;?@DnG>bOz<~8<0K=YJW z6#<)g6DxxBu33 zs&e913Q>8Jc0MhYSp>4E%qg}qQ_+b1>6;+lP!#2)Eh3_5C;og}3bP1iQJ7P7VW#3B zza_peZ!GF_;@1mNgOh$vEj3yMw5ZW3zD6r9qMM2$o%97o6!DKU=axb(f?5>nR8gpv z7uBzMb5XB9PVEpiIeF&QQnN*1i<+HkYPPa&2l1AoZYNKD5q16J&AX*`i{KWuJ5|+g zDjIKpjhijztwjm{c%?*?>ExSROBojdF3NbSE8|pHWH*hp6-7Mx3XLf8A9wC8ghwO?8PKjXbc{ZG2OBWk?u%(Z0=5D6gGfHb!TP$9xS^+%%L=?~$3 z#Ufxkg-I+DN$U$*2&z*e@GQK)NQ9)Fd=c!nHQ%wxz-sb=A{lJUIU-q--XC!Zahneo z36b;;5J7Kya~_uzJNXci6t?Feku=HUleomF&xeV`NFFDM;J3|rk4ui(e1u32+jNph zp5*gOT!IYaqeOxvpCd#P*zVlNB}sigMkI;tI!Yu_^72C6X!m{UcA9i6UW=-ytF?Y~Kg5NrPKWCW)l6eTRvpvJD@_CJr0Nr-;O{ z4X23YNEv>JOP-p1nn<3M;WUw4w&SO`1gg(xhy=17$A~0JIlhQZB3_%%5=oSD94C^@ zwtN+vNVr9Rjz}cia*jxrl;w}OWV+4giDXJy&J)RId;W?`sGa;%kx;hhAdxgF&nIz7 zRi7^uNtN;(D3Z=LeHNEkOZZ}uShndTkvu8WFLB8=j4u_*l`@?ulFxSi7MEc4`Erq9 zw(BU7L@C!daY@#auM|m^avdp>(6)USmuU5QxJWeHc9uw{lWiM zUYh)Ez2r_-`EPvBJbO$^lyHDdZ$qA;T2Fuzgk}($dI1fMpF832I~YQzVP+B};PnL_=SwjQLOR@|um} zN5oPpA|p8>QH=TixU(#$B1y$^DqfP>Xbiml()5^EPv2kHMC})Ilw2`jSyM%#+GssZ zuD}rE+E!6gyi{GlPl%<}wmc*RZ!Oz0ah(*@+3aVJ-giq9Bz_QSaq)h=uR?UHerM<+Kf520lpA+kC+6w`Z z_9@rrmNi!-u2^%$i`x_w1M+pZGru6#-IQB{#rl~xeJB4k=%PsAv>5~<`BS#vEf>%t zfm6`MlSsOhopg!mzt~TUvh&Mg5f*Wgn6V{nC0#sO7GjaaVj&jqWTns;kiVPV5+vzr%G+B(n&x#11fFFKJ`Sa*-{PIfY(eiG)eogt6uMj(z7Vh~E&4 zvxo~@j#Y7N9~PgM1zIGuSfItbV5uMm=v9kFzaB_%1A z6fs89#hGRK7RjB8O1DU$q)Q-Mp6jrP`LU{Y?a>Zm!FF5F9%CVPub56_WHmG$&<wWA(M*+KQ}!b|~6utf3ZM`;awq@L)OzZ`RnM4ccC;iNi9q;jF2{eYDS5 zGsgg?bIi<|JGMjHhqZ8Afi{A*bbN^RXV%Iokm;PVver&G9;be+jngW$Ygt>TZ_xhA z+UYVgoh}D!uj_|)H0z+ldFXbsj(Wy)dKcD7Uj}VO)>%IW?F`mMe+cby)>Z#A(>ZHc zH|J7lYqRdo!_m%QJ)Cc${f_lCcr%?LJ?mv?jJ6x=ZCHnP7wcpA7VQtLuL~Y`31a;uVFfWFSgb{1Z`us&VLmC?PKfxe_|T~0@-H) z&Dq9)X=rz|bpaRI=72xg<_rZ{WQI;`ONNDPYlf?ATcADL8km=D4y?*_fi>8Uz|Cln zu$>vRv0WL5vE3PQUKyXVJ()JJy_pxWeOack{aHhqF6%;eFk2OND0^;pIEOzwk`unp z8N`m|e8P_B;_O6jA9gZNNv6wFi=ED!j-AO@ke$t6jh!pdo#_gUWKjicF|&vk z>{6jW+2z7Dn67YZcBRNvrYo|XT`k&|>57hLUliSj_5{0DtUuEg3uV_!OlP_hE7^?_ z7tuaoUzSYIbS3k#nNzl_Eo9X>~`tx?5ol*n6C6ocBf2XrYjT7 z?v@#cc0RjT<}%tx?0#AJuRR@^>P@sivY&(fnJzdN`!#qJ z`z`niwr{W(A$q0@@n^q>)I-~m{Skti6|#~28S*vSpV(j3kQ3Fau$R?_q77qzS3`_e z`GLyX&S5;rzF$#jiwa<|5qr^deAy>T$w=G>$4 zVzleIXJf=p<9poHB!KCfu4#7e+q4nduH3Kb zr)W3ubWOiR`vdoH=EHQ&vhjdsK|G*&5YN!OBM)re73~}z*kT>e*s2!K)T$oZ5j?Qf zIv&vK3eVIgj0d#A`L&7QncG}N`z_DX4tdnBAszXa2)Dg$kF+0!I5y#cBE6?4rC)&|GPe=6cc#-Gp1YdUY=lMHfzB{$% z1v*VbyMh<&jOTam&I@&hZ#!?~g*#&&IzQz_x}g4b`J5N+j(Xa?HZR^C>sI%fyhQhd zXfN}U-Cr|Z4|iUwM@_VCc3a9%<$FIy z`;u4agV^p9%q#Xm-#*WHrM}sju5U?Rxi4yD-@&{}|AV~hfPZ+Efn}L)U?m&F|7o6L0MX7J|Yj-kEGTa3@lTaF*hTa7=Z-SgY?&*$&vd*@%4>_5#SX+P~CIehxLup{;wQ!rOXFMYi9Oitd;r726pi72g>ymDu@8D!FTq zRBHD?sr2rPQn@``D!-?>RAFx=sp3AZRB2x)sq%h%smlIoQq}!WrQidNrH})=rD_Mg zq#B1pq?(6rO0^CblxiQIBh@|fOsaP@M5=$RfYjjFZ&JhK4WvfLUrCKmOpuzK_(p1a zvbNOhWTe#ml&jR@R9C6xsbf;B)5V$YbQ!7j>CR{eN^Q@KmfD?NDs{PFkh(@ylDb{Y zBK5dpl6qa~C-uH^QR;KGnbh~{Zd@;vV9Y&~-{RX?K30nbGc%vf>a&{I^5Fg0AXWog zMqUrs$JMcA;04%zRt;M^?#}M95NtWHUpZ%0v8B=EWRBje^|_gk#*+nlN3b%?TQdu6 z4Va&17}#1cU(@Gc>%h{RR)MVtOJ~jvwgD`_>;?82n7{X3u#I4W-ly?z6IceH@4+^M zW%Bs~ED|iEZ*8zGU|D>NgKY)N?AIJ@8(22K%3#~UvikQ0+X0rtzd6`WuX=CD;kDVp-OKodheH zWj5F;uo78MgPjH|opl@78L(2>+Jl`1E1Rt**g3E=*{gw_2P>bw5ZDEVFu#li7VAsHcbNPc^2dkdT z5$pz7wOnVxz67h8YX{g(uo}6GfZYPCojU{AS75brKLEQ8RyX%~usdLN@{9nx3syf* zXRv!<_3}D`eGS$y&o5y2!5ZW(4)y@7ao$W|55XGcr8#{B)-*58={I0a^3?(R7OZ)` z5@3(Pn&qRo`VOpRK8mX+U@h`@2KyeYb^f|wKY+E${{rksu(tW{fjtFlQ-J*Y6IlBK zg8d2Bv(O;0zrcDFHi5ka>s{zI*xz8i3J(GM2dr=5_F%8T`V?LX_Aglf z!c)LrgY_$Xg*o~#uz`j5vp^pXHlWBJFbQmMk#I0Out7!cgK5Bq6gdZG5B5nh#EXv> zY*;bGi;n}?&|)jV9Kl8un+)azHoO>O(nkk2su*I@M-Mi#ct0>_urbA3fEmC>7ykmx z1#DdLgJ7;;W6PERGlEShn;FavY<$_D!Q8i3a0NCtGm}j31VDl9c*dUQeZj2mIT)X%L%qTxF}c<*s@@n=UiYbgK3^~gRKbu4J;2> zc<^1YykM(B$Vd6WB0|VV`N39)(6JN%TN^^hQV?uS$QiIgVCzG+gB1o_SIrfy2-s&K ze}NSR+fWU)#HSe8rfR4qKE=T{R$C8N0xYuH9I%pLo2$J7D+RW-+GDWNU|Xsq-+an| zZLf}e^C=6qt@unJ(it3Lv(2)3&R>Zwm9ustbru4fbDP49IP7Hp$4e;KGnevHgW>10d}O}uV6L74maum zRtxM{qgr6K!HzZy0jmRcqFF((x?snfEe5LxcB9$zQ zd>VnBYm2qar!m;sb~>;oU>Dl{2G$hpe7iwl&A=|UYXjCCEUF#NK?|_U?Pv~Kf?aAq z5Uds0)%LByT7zBbFb1p**tHJb!P71y&iyd1pBi0 zM6ga^U-j+_)*0+p??|vNV0U^i1nUZRyMJS_ZeaKNmj~+(c6VSGupVId2i61Y3HJ5i zDPX<89u6J|)*I}>kj-Fyz`hx>0IV-)&_t*8B4J?5bV2g z8^H#F{V;Am*kG{l$Nd8K3E0zdx50*h{W!id*if)%fzuj5gReMW)(GNBCEXs{O(vV)BQ`)vZQOMJ$H{V@U8B|hW8exL9NY&_Us z6QaN-fc+U-3@jAv@6e236Tx1Fjsgn@!uL zoAZeh3-X!9%5XMuIM{SByNPYUW`Ic(Z-UJPv!8eXY!;X%EDzXhFo!UAusLAbu&H2k z!JNYSfXxGQ47(0CA50&%7wl6o-NG-y7JwNR?gv{4=Df%Nwg}91;m=@;!CV%#09yj) zwx}G~QZVD9gJ8?RJQl42TMp*F=vS~6V5UVkz*d5JF0KH!3e0P9Ro%ec}9YztVH6|cayf@NM=9c&v|wv~Cowu5C|xdChkSdNv` z!FGaWU*!t63oK~muVA~ua;};O_BmMYRYSn`faMA=1hy9}Z@4$uKCnFDC&BiE?l~#2p6zpU_~NUfE@=b9x)#5 z1X!^(3&2i-m0U9%>=amuwVq(7!Ah_B6YLCFskI%z&VrR)8w_?1tjxMHVCTWgugeH_ z0j%7*!C+Bf71uQfy9iccy*Jn;u*&QH0lN%VX|p@n6|kzCeh0e>Rwc4L*cV_Sky*g5 zfdxkn0lN-XJ+dX(4X|pFcfq~{s~LF|>?T-^EoQJ=V6`J(f_(*6YYWB8ZLqpqC|>S> z)!CX2>@HaSt$MI~VD+}P2KySU;ns>^_rV%$y#w|Dtnt<(U=P6>Z8L#A0&BYUFR*XG znrsgQ`xdPEc6+eLV9mBS1^W)H<@VBGPrzF2;9%c_wch>>*biW>b`%Hu5v=Ww^k7fH z+U(d3_7hn99gD%9fwkN54D2~r#~oL}eg^BXvnSXuV4Zi?1^X4O)6P?1zkzk#`5D*? zur9ms>4eYkVBL2)f&Bs2ZP$IUKf!wLIsx_HuM4(tPS0~>#^D409g#Djid9$=w|x`KIv zO*&K)%mfy8=r1rc*px$ez`VdFA4Yxg^#+@E81=>12W;x$?O?uOGY)?W<_9+YD01I7 z9oVcR$bH}RU^9=>82(^$j?x$bV6%_a1Iqw5?^qGAK(M*Tk%PV&!9G2P9Q4fuHvjkl zu*_f!k2e9!0=D2pda$fui%)Q{Y+#E{M1W-nTY6#=SPrlyC+)#tviic=UW8qv(u<`zD2<{oIVRy3~bZs&0xjBHl9XZ^DO}uc^Y-iw zt^}(Hw(IOKV3ol3oV^ZK8SL|OsFS``!1kR(o%F2=w)Y&?LEm7o1Lv>~`i6k*KX)9g z8rY$8>%gjm9XwwLtOnST^M$}_f*n2|238B~*!dn{wZV>F$PHEp?8F5(u)1K!FSG-z z2X^X06|nkXCojweYXElU!Y5!2!A@Uz4%P_l+=VZ|8iSpUqTFf%b|H##t0~y|DCDni zGq8(M$Y0;)U{O&~U@gEdM{NUZ33lmXWw2IYS1;xOYYleg;!vx4 z?Z9qaoDbF>?D{3td*2RVH!q>y`*sBT^3ou%PGDbMY6jLB?AGO6U|qoOTsDGr1-pHj zj=3Ayy~}jW-NEi&DFxO8?EaMuU_HUUzC!2G3+&+)I*;C953W1|>jU=9m8)QV!5&@B z4Au|q@l{8#{$Sr;9RoH1?8()RU<1LvW9;f3`&ah=GG_E~yy$p|Nq$A~$!##R!~Yq5 zGL-9c<8Pn)j@p7fi9Xw9TE?NJ=)EhJA*Pq^D?Ee#r_jO_GFZ4>VIb&~hsS&9FYujM#zJSRZJ%a-bDf23l@?pyjaxow7dA zT;)KktPHfp`aoM^2fAf_p!v#y)>s+nvh{&3#}4$=`albm1Ff|(&?D;uJ+chs*3GT^ zn-Wx-q3WTeIOPnAuBnR@}u8W3Z>=g3A5~N)$%H(<(NtzZ=R!ThkTx6>V~_&Rm-cDmSal$ z2V2fz)$$sp<(OLj!Itw_wY*MgIi`4ju;m(7EpJd-j!DpXmaW9Idn2osH!3a1WaI~1 zZfDiHs^!~C%Q3IgKG^al ztCsI7Eyuhp`(Vr0tXlqBX*uR~*aus_W!3TnrR9WO*O~5FwfsnFIbqjzrbkvSf2*{- zF=6ri)T-t0l$JLo%#sEbR9fDZFv|t39`j43 z<=qLhT-2)Nf0UL#Pnc!vuVMdHSeEP)X1SrYWAFRPZdO3O|Ovpm?UWk;pu=(}w3O|~)HHy;aNZO3Q8uJLYXxEqf|0yC=-D)vH`Tv(mCh z!Ym)R_Fk@^x6-m_f-HMES$hTK=c}~rpD@dwRxPJfS`J8pv(_A3R{jTx8=Gcq^k#5|Y@>xvWe zM(cyt4{bWM{%8Zx2BOV~HZ$5RXtS{#EGK&AVvZ~~2FQyxAKC(F3!=qP_@5gq%8IiR z%*n4b_9V1r(CYEH4*&H1nX_M6#ylUf-}wQ?`7Xz=nWJNr<5kCNj@KP;INrp+Ycb5p zK0edW(9g2;!xo;aU#efBUm43*#ynTQOQqjlm4260`hB6&@4iaEZ{qhe^o!rmFi53e zm`cB?D*YCy^jo6RZ@o&tO)CA)s`QIe>32t^-+h&S&sF;Urs$`29q9TA{tb!t@7Ij> z$1C>36#G*Z`!f~$a~1mwWc!YMm+NP)8!a(`EyM}fZWVSwg&k92XI#(5+B^Hk-X#@w zU4`9NVK3q{qh0)QjYgGz8B|z46;@8gUP~3$TlrkaD7Rj2eJtmTt>_$e`$UC}bsHDk zZ`_-H^Htaix7ZxTR*bzhD(o{Awnc^QRAGBn#yzCMj;lQPyh^_-D*bM$^t-3h?;DkV z->a}+RoKh;%-tb=p1JE4d4@Xb?yl0$tkTa<*$>YRRAJdvjwP2$zx*mJMCG})mHqTf z-Rr9yUsIKSEmit;RO#1MrC%?Ve*IMX4OZzlOr_swm44$@`b|^mH%q18e3gESRQfGf z=@+ijZ=FiNjq&?=G>YHPqnS#-{wnrmQ(&Gvn7LkNGNWsR~=I z!c^7(k8LXbK38FfRM-g>c1eZZQZmOVj|cHDMoQ-S9jTKdwAas66+a zO23OL{jRCZ%T1NzyQA{l`zrlZt_3}xsPucPV(({_elL{$_%6>^@pHzcQDHh2rg9x& zGOP4Ur@}HSWdN6IA+5Qt3BCWsJEh&t0JM+=%#bYTBS;FH(i=P+@yi*g@s7;M|U@^gE-{ zFG_`7RbgMMuz0UAFzy4Devg$*ztr@D%3Q^JEdqNll+OiwsbsMCZ+v@ZyZHUg4l4be zRr(oK`k7Sv`Ka{sSN3y^GG|gU{Zex_mFLF0HbcLBD*Xy8?O}`(N`~i_Q9M^`E@!T2 zE*JY+4%eJ!m1{b)$~B$2iPAov+fvCeW?RLWG)5PdemzwB^;PLNP^I5cWj~BDN`;MA zVUtwYbS1-i%vKq9l`^l;Z;eX7jVkuGsPx;Z(r=GSzj!&0agVC>JEeS$hkobG=PlO@ z*ouDbX1-_sI+lGMa}8mB6u+OBbNrg?<)y*`RajOPmP>`Th=0spZB&k>bNuIe=T%{a zl#K84o)CZC@t&f>=EPseycf!uUjg=;<5eenypy#8?^5m6U($b}zpwws(9bZ)5N4Qa zSYTLUSZ~;5IBSS9+%eoYJU9G?cTdK+}5~#=C;Lcr`uk)LvF|2&bwW4yXAJz?Hjl6 z-F|g@>F(gJcXxL;yZgBZx@U9G<(}U?#J#qAefOsBE!{i1cXjXO-p_ro`!M&>?&IC3 zxzBQ+@4m==xqG<#I`@qpjXaup^!FI-F~VbvN0`SI&sv`KJo|Yb@jT&q&hw(@HP4%#cRcTV zeuFEfr=CB1zVLiy(wKB6qseSaXUb^GZpvdSY$|1{U3`Ob1QJO=nC|rmLneO?ONWOpi@Jn4X(nm|mLxHQSjT%+6+` z*<|)H`0iy$g9y@Sfs5$9th) zImWyOaHiQ}$g6M3O}Ocb8;WYna6<{&#hp^zP>yyh(JpRp;)W2~?M1uzw1yk%>IZT9 ziU~J##{+XcxCEa_6$N+t#^0cWy<} zoumuNG?IZ3-7W20PG6qlx<7P(a{6`?*XP%BPIu^YJ)!8 zu8)Ly{X}{IH?VX4Kzab*TH^Zg^uVw50Pc(C`rl~xJKDvE*IfS`yT;AV3%EDl59h`O z*z&?B5Zw4FZQ)Z6Zk$V7`1FVCOK9`r@5Jd#bHj9+4SYR?8)m_PAp-VHxLJuCmeB+F zIte$dq6bdW1Gv|S8zSieeEowPj?n`*=>gm-#0{6|0eoEpe|Zk(4cF<>AL&ut?!yfa z=}~;gf*YRD1HaP)xLb!C{-E8Lw2ND8xQjh);g%Tg;z?V$g@wELp^LsQ4%fvWjBY;R zE`eY!nQ0eyjBuCSw40B1ahnHsA(y$3L(RB1gS(WaE!<1NU8>LnA+(DdAh^CBj?|?N zvHHYt>jHP_L|eGkfV=d>1Fo%H`f`^(B&$dwNnSu)b3pX<^}*b=80}Uj3C43>$-HX~ zlG-Ho;BME3Buz+~L-b8BuIqC=Y9{Bo{zMP_MWg*o4EGFh*MG2O)YC3L=;uZUbTN9O zi;)7;jF0HK(Thwqg(+iZdLW1%z$fh7n2kCYB36+qh4<8M5g+asgcC+AuTtKqTR8yi%(X$aUAW2(k>;KaU$(b z#?#FB43q1dlf5a%soXdNUlcUXqOExpiTJdT8|Tv&KHcNSg?NS+KH1{NaO$##9>B*@ z+_)ZF^zjilMk2TLEie#$AB7vYVAr_axgs|nhUiOTAbmFsuJ1`*@Wm3Y??=1)>4Eda zqJ4e8z_%l~{yIH?Z@F>(Eqb670#5&!p8E%N!B+;j{v|!|ns#xY zKX+!>b+)5j+?>yyHMHwMySSsCJ3G=YdC(oV!E=2j*mHI!=1L4Vuybc4?RwBI?mFkr zkm+QqHn+}WFUE8yIm>rrXxL#JPvtW2QYnIv;a<`Y|iX`;J`x${ceT}2X3vf4R< zJFkT)eHGf>K)V~sawKhSrL7&bwTrg);C!9WQ@;zuF4EJgBF3Gs(C!!1Ck{X)Ah=pg@u7&vwf8WIET8ga~YuP-+Q&=&5^<%T?XnxP_1 zdo9|kfnza{DMR#bZQ6>iFQ3rXc+3^u5XuchsJ`F}ecUhx2V|H_58!@IZqU)-d$H?@ z8=<-L5jx!Cw2Ql!xif`;^S^XJ4%F{&lKXVbR5m?uBRO|oN?W+`o9o7Dw=?dmU5pO} zNz##|C-El*0EF@V;vXNvb$w88nB#0yzNp6xnBzZ~lL0AJPPsU!7 zj3@aU!t>Lk1xN~#6e1~1QiP-^NimY*Bqc~nl9VDTO;U!WEJ-<%@+1{VDw0$psZ3IZ zq$)`;iBX%K@etaoMpB)m21!klS|qhe>X6hWsYglC&dfPtt*;BS|Nc&Lmw(x{`Dw=}yvvq$f!)lHMeJ zNcxiWBk4~vfMg)aAdvYWH`wPl942%NJf*4AsI_Dj$}N^1d>pai6mhp zlSn3$Od**{GL2+9$qbU2B(q3nlguHROEQmSKFOyf3rH4{EFxJ$Q$ug4VBr8Z( zlB^;LCs_^AW+VSb(C!+NwIu6E){|@?`HW;E$tIG`5cV$x<|_)!NMc(^w$cO9A+?RR zD5O|)aCy+yAM}j1B!7~ufoKD@85!&A)PQO2wOXci*LpB*aRh_5w6+Y>qB1aTVF;`3 zl!>uVNdAIwdlHRPe#RydYfUnkNluUqp&_5s)^OVDMO!0C#?fw9+UiYP zooK5g$pDfrBzsAQ;Zd%oE}xSeCfQBWh92!h@(+aDkuVa8ghSXsl9MDu=^6V-Mv~Mc z)`8?T$z_t!BxC8(Q6z0?w?1u+AvuS+Vy8*YlguUQK@WUQTirPga{c8}85 zmn2`2R0GrY(Dr1k7Cl;nq$V*xZ92w|QI}IBv*>}zB%hHSA-05M2JLPni6ogovWa91 z$ujCPh_<$oY$aJwvVtB6Cs|FhgV=J~+D=<5X=@j4Z6JvtSx2&pWHZT5lBv{hDajO) zs$hPa(QXj4h^TG^0>yOfsFGF^wdQc0);8(iAr*X+@n| zkjx>OP12BLK1m~zPf6yHEP@s7aqS7FJ*7R(9R4QxhvXH>za+0Aj*NtpNF;V78WMXF zEr|n(BZ(7$w?AKl8YoaNgk5CB>714lN2B+NK%NTFi8=Tq9nyg zij$NeDM?a_q&!J!k}@P^Ny?E_AgM@FiKH?~6_TnX!6YFh)kvz7)F7!zQj4TENga~9 zB=tz@lQbY{NYaR;F-a4WrXhPGlMEmkNHU0IFv%w*Lr8{_3?ms%GJ<3z$taT1Bx6X% zl8hr6Pcnfdlw=}F7|A4($s|)qrjkq}nNBi;WG2ZhlG!A4AlwxR!Sy6ABsvl&5=SHn zcOY@5T?6IJYHb8WcY(2zj&m9N4iB(PBzH(&kbF<_1IbS$H}EueljL{W{gLD;$t_~H zNuJT}e%kt#`1gEP9)AGt|T-O8agd06K#2u_>uUN1d?PX$wrcs zBsWPul7bK^D{U1aDNaHj)Q|@?s5=JtGWID+#h?JMM=8}9$vV>$g z$tscvl654Xk!&G}c3uJ6+DmeXsGDoI=ENCHSQl4K#t zP7*|tha^8qA(EmHsSItEASq2!j-(<<6_OB=8YHzz>X9^rNabm(2}yI3RwQjnI*@cG z=|<9%qz_4dh*XKT29XRQA-~y=A|b!oli%#gZ}#Ljd-9uowBM@I7WvJd{ARz1WGTrC zl5mnWBU)q08vXA5-$q|y{B&SHul8{5C=<_A7+FvEPPI8mv zHpxAb2PEH+d{06Sl^W0%dDWi0Dm5md$d)LwC5mjRJxM2$t`JV0r5+@`Nd}M%CZWlZ zXmTW)9Em1JqREkHa(J|PnjtBAhG=pmnjDEHN21A*XmTW)9Em1JqRHWZ(iY8-L^CAO zyht=J63vT5^CBH4IYx4l&#entS;Kx z^nz%Yb~o4V)o$n71KNXJdxW+|X-6~dH0^YzrB~6~NhFo2b9LHkMO*E)9hkO(wjtBb r(avRBdZDP@tc~Q_ZDe2ty}(*PE7(Ga{c9~_ECgGA<*{LB&)EL}X>~ds literal 549111 zcmce<30xe@aX&t@!0xi!fEJw~^xi{4dcqO{79kMmNnQ{_NPxtl14(GLz=Bp>c6`T2;vBYfIF92uiJipu|65&i^vt%9lKA)c z$4}H$S9Mi)f4jP;W_J41Kl`2EHw|35rWmBf{z@Q|Wm(sJ@^mL(ljM!tDxm>ESvXt&Njk2j*h4ix7 z*%dUmrLU%XdTK&*W@{{577m>YBw~r4j@Ztcny@)#MoMZL!zE><;eZ*9_4FJ9f1sTC z6XoD@~|D>|HdsH<;xm^#hUKva(%)SVe5RS+;w7A~CYrtPiZOABckA zsqkZ4T>c@2Kces-ukd3vuKZDjKVtJsLS@GTW+awMNP88qciNJxtWP9jTc!Rj6Z~<7 zKceu@EBsiUD}PDhk0|^r3O}~Zm7h`gBMSej!jC=R%4aQp4*j*r{0#NulEQC&P|8dG z4W*t%=F4_Hs_afKg?xblMve?;M*W`3FMhp6l4xWXS%_~(^+V#2Ne67x$RLVu1( zeoZ;%PwLe?+)XGWEme3rjz$xDAKU4ODlJtNGQ^(-)7_FJsV^{1fLFZo>m zO@$v@NAk?St=2F3^|JntJkctU4#ixDN*SO$V3OY`2Q2IYcO+IV};!`~%%%_PF zT&M73>)rY{DD{jmU)Hll;m0<(_17u<5rzMd!jElq&qGSP zIMQ=HR;1_pWkhGb73sOXlF#*6k)G?95uN!~q-S}BZ%2CSw-`rsnn)o#(#!r-_*SIn z`ej7t`mIRM^(%ZO(x;S2AF?7n%eyN;$d2^VA2*_hlt`aaB7Mk;^z2WSiyte}bNve6 ziu5e+M)Z&p=~E*L---0nA&&I2gRDr;1{A&$=~GIi5807kcBs1ogzQK!>vto1NQv|* zCDMniNKY$FiX**TVM0ozPbrZ;WJP)gkc{XIAS=={Ko!0f=~-Szbe2~leM*V+Av@Ab z|J{flvLZd#FZo=L73sNt8R?mCMS7N3_*SIn_R5IP?X@DkD?p03)?CjO#jdgfgp?H^#anBZS5|-& zZ>^bctpMzgyE25V6@dMbk)Gw16(BX@t^gr>1;EOdvQ_|E*+R++kWyBFkh%gaDl0(P zS^>!LBCi0_a9CLZ7L^qstgHZw$_fy+RsdNKuK-++wE}Scas^<%vH~pfo}T&E3c&L2 z$`DpofJNTZv%InbEGjEN*jfQ-VlT$ry?a<$0TxHx6(Fpv0E;m<(ub85V3GIqY*$$U z7L^qsY^?y&F0TMGvWArvV3GIwT#vN^aC_aAA*`$bi@ew8dXyDlk@xz{S5|;UWd#UZ zD*)FoR{*xFtN@F=*XMea6=0F~`pj2WfJJ2m2rDbV;)uHfgq0OwG3KrSVPyqaR91kn zwE|EeSXB1j;v z%X+L8fC1;+1C)k$2kOgH+xL{O-_{;Xv?j^|v34`id-S|%1_#WkQtej|W6OuZza-_i?*>1u@-Msm6_tO*<(GvlyHwAV%iqZSjXOi&&k(9-}9zft8ET>ehx zvp+Y8&;GQl{F^SnPp#)sx1KX9|F*?1+16TjKEEY?WA4uSbEj(e&!!(2YaQt~ODBf+ zcAAMu*sPe3FP`jasgKoUX6iRql~+6#Z;gb@LM62aca{c9k2cn~jtunFmDHpf@|QYS z9@`mfjh!&V{o4mSnrl1uuZLbbwINWI5FPUuTd$r({jn2eWub>_8;_Z#!^!Hs$M@9+ z%R*agZ?Xb0)P|BB1A8_%Uv6JM8Qi+%^riUN$>F{IRgjyi*ftKi z_{Q>@#)lJu`LW1gB2~Y&bf&x}v2SZUP_cMoZr|4KBaP)Xw?ch^(j_xfpGs8(_8b)F z_HFIF8aiC)Z?1i0WuRSj3{7_K%%6$1E>@M7g_dh;8qu!X)UMM#k1wfN+}w1jJ%8$P z^{ugyp54g(%~f7*Tb>NgY!3AF^oCZeQ@!J@xv{Q89hth$m6KBqTTaw<&!%fdN7Ds! z^JFl)dHB-)qsgv@^A!(v@4OhSdpKXWzB5r4i{&mwOF~=M_oPw{rJHJ7TVrD-Cax2K zbzQSVy9(!;F16(|k49SSFLu_W{|9DMyCUTk>Gs7)AXIj?&|h(>t$bs}O8a89OlIDC zT%xSdA1tiyz0{dLc^3V=5$$QKt=UsjQo5`1ksW4W`$95)w0^37PwBcyXaLF3vn5US z$M;=snZ7=}fyRGRSw(xWq^IY6==$~gRDDyltYTowzuVyD|uJp$*Q9BoGKZoIG zZnFDI-`P5USd1vdxbLZpp=eCcuE>89wFDuU&*4=6Wj&BlfuK>5P#^cRfJAj{+&cz1Px8IC7qR*ThQP22Z2l3-?5|_p>~ML11m>P9IX#bl`wwH-cU(ns-yXG z%hW*ihMRkV=kfJjP{YmP$+jy4!G~=8ymiTpm}TC?8(~w=P=(2tY7Ww zH>LXLw{>3`I4k;dTM#E=O>J&}1m_2a8*YjV-BS~Hu7`R`dUxBnekeGRsJzg%a-@3i zmWoSkcb4rYMtaQZiR!xJST7#UY}z}QJKuII!}@zI{jv*X2NQ(X-l@TJy=Lz|#I0M= z?OP({vB%nCn*$Z#uV!o7rzX4h_UCAP`)jRv_t?fnsWb0pCidMvJ5-1AQ*OEG&Py)8 z)Urh5IOq0@j0-fs)0@OiiJzpap9(tlQ{2Khw=ACQYFOP{)A=a%?^U;+El!+>?7cd4 z0XVJQd%W^e%hcp7jo$-qKc{S5Af7$2f9~Xo+h?2V4z4WUiO%hN5dN>a_7(rH4aE1_ zc5hjBseji4N4uIH?4Ff4!n!uNYx@nAGm{$Tw?WU8^eDmJuYwwh%R z^k6*`y{F3`97vek8%s}@*QEAbh@*T`mai*oOf*(Eo{61}C1RV(%gWE~DBp|f_dPC_ zSUigfQz`oQO_Y_D&+W*ZOax9$j*65yx?`kqd&&Al$&O?7qrEF)eE0Em*#y^fXL{vY zb!~mg#`;Z<8{aWjQp0}}Wdn&lV-FwOdFT4Z@^faiao6=T17})>OQxuvJtwwqT7Sc? zhvlq#DiX(cR+O)YUlSEmrDf}4k0cfYyh@iUz@8f^jN`**sT|Mjh#4NM zo;f#vetUgM&A}~ATf47>f(I9yC)!tzRFTee9jsp+zSMRl72I&V`C{w*k;>>|;6i8qC?7Dzz~KVy9GV&% zy?vn345gD@dsnlUTY(2zFJGfxmP5VuF~SMO>GPPEr%HA@*WsOsGR%kD2dXZ&uAB^x z)C0#?=0*kfN3p>Aa^T|pq2;mBy_*vygrn}=&0D)?6J-Zm8|&IeGABwmn7!L`13f!- zS5?FU^{ovZRoG7~kM2D;bP2e;bA5AtSx0(qlyQBs3+wsCmRzFD?2&OMGI+fCLR$hb(cYT2box&3=E?^S&GzH(J~J|JuR|ld8m=~D zzcR9`bbX5al)w6!{y31`>#;p05!F=CmMz#FP0ds>IA5L9v zn;DY*X>Qc=x5fewQyiFhWaZ?YlMmCl!rzW^**lmn2bZxPPvOm-iOUj2FtfQxV%97Razfnff~Z+mX0*y*y`S`oq?_1>-v&b z*`E1<+C#_Z&$s1E+P&9_vI&WI87I%T=Pmhk@2H^q>PsGRwE0!kPr=1{M}J$}R3=DxllN_%Rg*0@)4Q-9oNrrBAKtLV3~Kq9 z=RxY%V@;P^=i&Eevy|Gg<6JZL*S!y5p2_(=E!$;{m+Uu;>n4eV$+kl3&er42HCUgp zznATtkp07PY+LtKW|Q34WBlP?Uu%B(&JG#R@#@q({Wk|N zo)%u6YqTrO?dn>w;^Xq%DEgtVUG~$^Wb3ub3wt}}w;Y-u2*#?1X`f%c0dc*P;=^oJ z9sFTD+41h$B#jg18LiJvwVhYSs2^m%^1gMfOC)-z9*$?%CIgXHdCmJR=;N*n`f}d} zJSNIA9FK$9a}^g3p+1q4^24}~t!=vrJffXpIWKdUI%d-kU|)fG@SvOroeS1}m+Xu< zcIw)%5snk?_#;lAqMF2vf-wjKioezCWi-Cu^u@6bG@r>OU~*a#@*K43uKSuPho}q zsj%Y7HQ;K~@Fla-bB+1GQLazj`MF((=JqkZ&z`!}b?p@To$Iyc8P_Z4V-?0dbf6&= zeV{8|QeShXvbJ$0eGISf^zyh<94cuXknxh@0&s$Tue&d!xQn=ct~b#;TVK;SQNKM{ zwyrFO^-QjNtB9{F^PB2c#U;d#KCCNpzwE3lSpU5HJXzoNLEvAGRTaN=+i??>c%0Dfxz0lu#Te=c8*_=WMozK-nRx?wZoGVa5H z+ek@b$VixSnQ?zLgnqv*nbrIcNJFWx3pcG3vTG& zhyB!6@BV1H{A99XD8~8W8TM@zkeZJxp!66 zc1|ba0XVJ+SxTJmLVYA67pdL;SV-Nv_vs@80G5(G6SX zfRFW)>%$b!j+`KQ$qy_1R^q3u_{MQuB&>eJ`U%_zB6dGo`vDnmt^R7e(Hlozh2`p5 z&x+SgRzF>XJnhGL9Id#3{h0UK89y8E=zq(ujd!^YRd7GjK8NGJ=NfjbdRNA%pJ?5% zaKYoCw#Tmb3FoRV+v9KNFFJ2zur6BtAmd2#Y{QnsQFk3Ua(FM{#`eeR2eZ=hhp*{A ziQ7r*`(c6@-X3N|E!G%``XbzTj*%MxF7pdmbcc= z`jTyS{|nO|mvsZ?Mv8}nm%4NF@rG5z|Cx)(w+&r-B(R6~1vf5Yp3r?={{hZ_$o(nS z@5!^-IqYk$*nHZb;=VC|{lNa?h_`kb=*96A{|?s_nj#+EnOoGNW2P z;#=hq_6Iy3rN>Wgs|jqYxqa>wk6Wnffi7gS>*aoo#xd*Jmx~eUXJr=SFZbiPzr%VZ z@4I9kK;qWQ4-lTQzm)e42bw23W(O_{-mhAC#{M)lk9`U98Rsf%4`LsMalO!a1Nq$p zO?7RHnG>Sa+Sk+d73Agmo07b*&rYEKPVC)Wap4ifQ64YObDJV5?}rafP3&sGz9`7! zeW|m6eBEaAXs5N`?Lr2*rwaWXk-s%R#kB+HK<!yUDez)?|qCa2L&f|gSMu{@)&v73o`xWw; zAol0#HP&y&;rdR*n+Uf9>k#6MjZey-$o)6B!@7@=ac{kpKi_q20Qptq9cMBUhY@}b zG1;CzxoQ6#o{y~XzCR@SoSz`Pdatpc56S)Vw!?ucxlitTLZWPh=jre`?au?7c^=sN z?Mk-qtWSY$T#osC2>GTqx*uVEXMGYWZkLrem-i#=hrs=E>M_?Z`x<^#aKCgpEvk4l+Kd-v#Bv_1TF?jzhTXP-p*g+8gjsp3-m)yYjV zuYq-wxI zE$1KIS1H$6&+2J^yQK^F`|Hm))wI$5H1c>(UIEWzF%B5tM41)$t@=qW#kk&8RZIG~ zKSzBVc|7g=62`NAU&8IR>{Visde`Ts_;BxRLY549k9!d9ohN6L(%verofa-1jgp2!BmOa^GXk zM|a2}ZQ5S7RmZkGE7+6NxpO!+(RuS3gNH#YAv zdA`#+d9q6caevBw10U0NyrKLH^1~JmWIVzBDaS9lKR|!>NZcPdl{Cw_eu`HUJWkgA zOo`>UwSTNH+3B{U$>jQEe^DIX)J6H}0`kg{<`bpIuufjN=v5QXk9#du^RPG*7TjM6s=Pu9ckK+heq3G5@omK z{VdPJ_L*}*s#oSUWqvEQtFB|;#D3(_2kNhHI|x4;sQ&2A;ZoYiqdwdR_3X&^PxW+$ zf+c0^wlxIcVT{EJY`(td>7h@`vbmT zNyvSlWhcn_Rjb_2FUx!e@;NdOychO)J#+ef)P6p3Y7_8e<+t`-?!f&X?_;g}mbH&X zJ`r)Dl%9ik-JTn+=~(FBNB8^4r*eMdN;)_qpVvtA&(*Q6Ys+~( z=$h@V9^6tNrss#ttHX8i`DEoKy5B|~m)8fmuX!*QXp_(LI~OJ+*ndnMxH55AAP*te z3;1JhmHUxPo%z1_ZM+YI=NJ@6WgZ;Q`3B6|yO+~*hsyc6v$tn+b)C}_hwD0W$j|gz z`4HT{1CJHna(q<=gSR z>-NLV7g}f?!4DPV_74^NZZ2dq)0skVetsd7O`lpQWb(P?2JdBWHnqGQGAi)v#I^N8 zMwMx-*C?CDMq6_sHGMrbmu~3E=d%qVquMm8d_^H+1AgWx%Qbpu266@HZH9;>@k>gd ze#6=HG$d+Gqm(GqXz&ZnF6HN`{&Xrgo*&HM=bWi*$k>LebS0+okgfvwp(sCO8tX_| z{BZCJW7oxOf_W(l3N$27{FOALi8GJ_w!LSP?$ zwz_mfUU+JtU$!nUL!edGU|pI6!FwLt<$u zznWNH&eMP$Fb$!WhKx?rh$R%XC%rVAnx@~;1~PdJnWNXULY+&$uRYp#di=O)m;Yol$B1fOmXlA)D?%g~1FePWDoX?IZ!0N>)>u0+p0a&(F}`;8J>d zL5j}gbLr$lYN?P(Wr-1$3|l@ZufPuD_sPlB%*>K$R5-N*^r(EBdVR>bkfsl#yqr{* z3#mfdqF0wN5ir`l^E0OlOD*V}?96f@Nk2DE=aN@5$$1|un!bn`%NCVewKGnQV27ajK}>H1I8wwwI-+r64W=z%Zf zujR{ZPI}{TGt^|b>E*KDkoDBy$Pza~irf*vC|%@k7QB6zOy};}=Z?=&Qk_W^Qc`*y zUCCPHPtB#wFCurC2nOX<{1Qu0+0 zO0R$$M~WOnAKKRv54IYcUP)zP%fgE^jVVcPNZfjxvObl&m2^>S^_FAJLR-vhP1f#q zg1ih#1Iq;rxg3<4r4+&5DZ|d~qX{ z&7jw5N^vJshp1D^%Ox98S&Kb;WW-{mg$d?N)}TII$*Dm#BD7?3847;*sDiIdj*V5e ziQqGxPhnY{#=4N4$t+Wh0W8$mg=YC+Ieo(CmJrTcrEijKA(98hhBaGLfzG}!TPT*+oJqw^;Q?io%W^q*WSpIm zp2}jb-IC9*pusF5738(x3Y_rbT-uIucT;C8Q`RoDCsarlE{#wa&uCSEM-@rC1n_o2zIRPay=2L~~tI|(QM7fe- zW|C{^9Qq+FYm;cVI~=*2mt zM3!b~wdSG5%Hb~Fz>#{HloOjPVI9e^aVWQO+^cSl(oDJC1K8cMr;fT(PQ8u@BxT)U zu{k`Cxkp)sIcld;hp+^9GF@{yJFUhJx5KDs00@rRUkZs`43VqnH+5r)y+*)AyLxsJ(>phyDb}m}wMHN9$j!Q<~xT4nt`_Yr)B`9J~Cs+5*qr`arE^?_Z&JAAhmD{#noRzs5#w^mcx z{PcCKnb_sY$x8vguDDFX)SBuVRas2C_q&zRV!p*wt(>3v*;(19Do+tj*7Y8a9j0R* zE{(OnTItCOzOXb0L~JAR%>2SqdK#Z!;{7P%9j((6^%X}GKZn2-Tyld-GhY3vGYEcUqWcETDoD~1JBFvL80}7?#;AS1Qp0x zH{Uurs|a!x)=jr96;o=HcjJ(W_8cEiCXOB*O&%XmuLe)Sjw~A;O-v-M8`?_Z^dE_4ClHMZCC% z*QVjYlOo-A4G(FOA~~c$)~mks4JUdA`{1F53m-LHc&XvSPYoBIYPj%K!-cmRF8uYh zVJIE$7o;w zz^P%|rLn}(QHPdOnJbchSk6}*9ZDp7&K$FYL4>czk^`8q!oDEHlMN|5mc*1cjm^gr zW8;{(<9(x}XHJhNNBfQrjP~_nMpO*o1<~Vu$vAZqd|PK-D}7d}vM5M-7&MDxs)W^Z zYDHtoa|!sg-WKaiC?U@gBrf-uU7ze%EAnlylqHY5S2e8;LBtZpu(=JihpgHv^bVTE zPvZIelX(9AB%Z%NiAVkoo=O}|j-N`N8S6`) z9y~J!UqXXpXX1!**a(1fabsUtxUnxKZYt@DFgn~rH6qF2WjIlu*kjlb@j@Z5#+Aq$ z8$Oj}ywhI_pzz}KKyNbMJJCCciwe5vNx)m$+F@TNuVrnr2%$K6?$qc}L=CBDPYo%@ z;X%rcpBhgLQsBnOQ5DX$-3yhYec14gW5!$Cq;hiGnnO{G=B|iXSKJfULyx|G)Zd3? zsG2@j%Fn18_z(KHX}7YkmQN778x@ZIeN&dlW_H7Fwb9eW)6=!lmE1Ubsv%^499SPZb@a?&UjsdzSVI<8K52fc-28<3Y2b?P zxj3s6Ha~;)08gTjHpjy=>V{=GSQnMSMPp6lcGco~uK&{`Wx@N6kHUB(< zUig}6l%NMpqhGCv8>+PYe^T=|%x_Zf`~`Lh=*z45ncmd&)ikEccK^T>Asq7~#5xeK zy}Nx1cfOv7>K2#f^*3aGTQ_?VnFS#LIj5!cVsbug{+-un2w3ibDxUp_;t`qHq{lGO z{wJ?CiY;wW#oCDXYX9KUk_J^Qjg=rObN!S^NG^rVfAgxN+)@Wstd2!PHHJ$|8dR|~ zmXme4d~#+LxBN-YGs5Cey>&pyssmJU9eAd_4v!4n#2V6k;XuG!2ZXFTKo!?<5OawJ zXyJN+l<_rbwGk+_ltHbh5$8!~$7U?h6)FI69k##)QlkLOsd#|JDqj_lu&V&6sRB6h z*u=H108*m>Xm}KGZ7YD(C;%NE1zg(-ATkwiUD^ z9rukiUE2!Uk&gRDnyzgH?MTOcBTd(~f_9|i7*02U0q%!=o#2iNcacD3u|k^<33P3B3XvKG9}?PJBoL`l@FAhiMFNo; z1s@XHTqF>wQSc$5%|!x{8U-H`+FT?MsZqf2ssq>Njy#bX1q^4UwRR?v&BX)4Z~%`K zJe9bk-=-b?Hs9#ewLLc4(Qm^L%LqN4pP$G3EkN9LpG_AJBwXzHejgs_+OkQcM!|=N z{VpDe)F@!kt7q`uMj^YBDpG%j&!?k zr0LpL(2jJwZ=~tkR?v=gyKkiF+E&nxbh~e)>DpG%j`RWFNYk~YKxFYqAHZp1RU@ofUa!?Edmbs5J1d<6 zu5AVFNO$-~nyzgH?MQd{Mw+f|1?@<8_(qzpZ3XQ}cVIZnkpjsj@lZ7#4t&$sBzNFR zQqu>%f$wk!o=A;?Z{R!JfhSU<;2Zc3ci@TCC}4D>w4UNt9j_G3h6DfTYm|$G4lNQo zG2H9ysm&Xf~g(`FZVR8(yFAaJBA(6xmaA~gy=h#hnxMx;go(D7JsQFTy@ zs)N7@y(Q~?6E$42(^rp+EYi>z23Y*{P@g`|SC0#?gIahU1Xw%`aB)OM8pA#u9dvO- zq(%Xt@K|v1a!`wxgBW~|f{P0((pd1};-HHQA~$H#QyBe9vVttuMQZF4rrYY#@nj{hNA;or-QNe>VVTJ*?h^&^8Awhqx6%dLK7 z5W~^YBcs)i3}QGsdStZvkwFYcM~{qFKQf5n=;)Er>PH4K934F}TK&i%hNGiLMynqg z#Bg-<$Y}K=gBXsE9vQ6|Y7d%-;ppgbK&eWP4l!&UqBS_6#HCk9!2uQObo@A=WTsDbZZfRdPAI|>e{P-n-F1Ik`HcJw%){G`{8f&(hl+419m za+Z!AJq{>K>9wQafC@EsC{gLrA%<;-Xq^s*x(d&5JdbcnUgfVy0S9F_brt!+K}k)= zxE>so#`HR@fP)HkcKqO=)TU!c4-QIZdhICSphBG;KR77C>DbYOgVLAIgDC8K-|082 zV1;CLX8l;9WT#_Rj}^*bdYh+Ug$gxxD2eIOA%<;-Xq^s5-m9a)f|8s%9X~86hw0eS z!-BGwUONgbs8DCe4-3jxw<+Il_{$gR=@%gK7fx34tpv~Ry)98tLzz-tWq#C9g4Ah=9yOE__1aNTLxnm! ze$-H!)Ul&S4JAgsb`;c5q0WvUHIyuM?C4QL=~1s81vONtu|sK5j}9?xJ4EYr{HUSq zsH3At4dp|=8FP@&F_9|x2yb?oSI zKYlGJNQ!2uO&>`-#lqeBea4$(RtKMp8&>geckK-p5S z9R&wesI%k80cB7fJ9->Y&eUs1!2uQO?D%mY^QzYUIng>DKMp8i>iDL|0i{U2z9~4M zLY*By4k&Hv*wN#F@}gcl3J$1HV~3KU9vx!Xc8J#L_;ElvQb$LR1Im7S?I<{)LY*By z4k-QU*wN#F(wkm83J$1HXUC5N%56G!^f;hwrq_;w11i+n@#BCpnT{Pj4k$-yzp^wh zm)_FTe#;6nNL**xj||FWI+pdwpxmXWg~YIRh}PJl^rcrvK?W7-bo|Jml%``xj||FI zdhIC4phBG;KQbs)>DbXDLnbHfXA1Jinbwx26h931I-o#?v~&*mAw!u=#{oTLD0k_# zqdIa)rBC;FiVF-Wt?LvYFybvPFeI(h z@c|>=;sQg`IvpP{;w>&PB(2l&0VCex0z=Xo9e;K+u4FeQt<%BSZ?#q>f_E1xfR97KTqYU*-xbzMFN+97>qQ@BJ zMc31-L)293C(fzWBr1EezcNTVmFdAp2~+tqX1bxW`Yb)aP`JgN_I7`b3KB_G*G4}Q zmHcX)Xq}E9iAsJoPP9(Pk3=QE8Yfz(<42;BUyT#3(V-M-grCjMCs!82r62M2iIQ)P z>+`K~f4)^R?2*yuTjP{o^@K(x-zp1rz5+2`9R*JMd~2N2t6m)iHB_jxgQ558D7et) zTjP{o_39`QiVAgh{J5YTtJ80KTu^$|Ye$JtRH(D##|7nB9Xonl`17rCCEqG(jUCFK zdVC{>ZAYJ4jZ-GotE0pjD%9EWb0ZbfC_bX{5YWWsAETu1AnSCuB1vOt+7LyQIBs*sx(ft zPREY}N{`yU=~Ja~%7%LFC^*okO5>Cn_39`%phBH*ejHGG)bS0pPREY}B~=YX4Kmr1qW29v*X7BrAHk*dK~yurEw)yDrt=!%8Yt^Q&OdIqIEic98h}H z_D!EEjZeT_mv7^UAgr zjC$=TIG{qE9X}2zJ?hxex59+m};D8D>cKnIaxRMx^v`z;@?Yx{UKch@(h_aXYtW%2A_E?`TjZ=2ipH1sznVv*SkxWkMZ0dUR0Y z(`!dThdwJBrzEIXM?r`FrO!5hR#Y-9-y~h!o;H70R5BbLZIo#9XGJB$(E&(wc6>N! zqco|bqs2j+KPxI3j*b=wZIl&N`%N+&9W4&pDCg6F zlS}fUQW<_i;64Oe{+B)_!)c*5LbUl``jiYu z2e66a^ZIdoXoXHrz{f~-4>aNfSLPJ@MJd3iMk;pOpDXN!h$#C(*}p`MaM=%`Q@EO0 zM#l^yAJXj2T;od>*M|=zB*b)!l-Vy z>)hIEB3Kp-k|q3tBr>&>nZfr#=jeN)8+H#|P+DRiR!|~8ff-I+ok|w)WflyOs|35- z6uZUhB3KcuBxCr|h>3A5oy}$z@OcqwDwCVeuFRyHk+MooUrpt5>FhEFsElM#^A2y# zT4@p75Zp-hZ^A4g7hT_w&fi_^5Z;*biosBwYp7U31Z#s^$wD0lk3Ip~n;687!TG3; zJcEJ00eZp5`o(?))Uph3Yg}*b5Bpd?h+utiJGr_8lVz%a@xrG=ucnr-t_5@pN`VFX z1-YsOQ}+RQsV;)MgNKJH^a1_qB3^?CHnGY+%dGqq5Ib8*;?6ZhTUiuK zr)<{T)g`N0dwuiw9`hwg_0zX-OHhSn*RBlBZFhUtTaow5og-G`JA((Q@m*->(v9>D zoMeG-8D$E$@a3Ip{6;<`zcou{%b71f$Gg5*6fHf%RXrXE^OVa88uPQD(92zO6qPUC zm|8-w(YM=!_6Kt#4imD+xpMk^;L?pHoc)rvJbY;PKvB%#?>I?h#ewd__Ym=&p5gSE zjHB{9w)C|={-|D9lJc8+r8LYK3DqBrM58+r_wY;vM}ni&`(v^PvddNvlq@Y{$wu=n z4w`?Cn|~gWV(G?wYWX_Ox{+TLH;t|}apY}`Z}<_@s4o1WVMf=}6@^oLg;zC#R`t5A za;lUNy33X9JD)ibOa`w|dts_-I-i@(%&jcZc?8M1RnxfcwxgFm*xc>ZoS@GGkEZbz zWtS)GcO>q4uX?*E@F-g`i9p=-Sxz*{yQZ~7e&&oL= zH#0Vq*Ax6Aj~H3tV4bf;=(K{S)Bc~gO$4t8v((G@fOnPCLu{5d7WN@KO0UXEm7bo) z*Epu}(_i$<3{80;+hqcT%RFBTjyN7UOY!6G(5;0DO_^^MFpVewf~s=ow8pB_2bwaP z?*W-~Z{#tRcS863& zXu$nX$?it1Xtj5hfCs|$pm9J1Ul@E5)%$C5eJHHvm+?s(Y)Yd`H|z_5;-w4<bPaB>Y>Du!S44kKUqotrXI9WEgtstO&j-_~r=Y z-XbeS^u_^g{z{qKfNwQ!Hn&ivcv%INT5Ppa5qu|C_byCy{**lJxm6GOEAqmuF>9%+ z_o3YS=DfF}4{${v#CHnOyaxJ@4l%&TAd?O9)5-R?_md6jMeH$md%m~d-FVhCE_!*t zz}+|1zOBeD`?LJ)5#8OL73NkRw2uh0j;W6da~o416XpXrm<>#QUYI+X`l2v*G4*9(KFritg}IxluL-k}sc#7LaZLS%F!wO^ zEn)6u>aT^_#MIvkb01UR5oR+}e<#cqrv5>gtxSDSm~p1QFU&Tkejv>KO#M)p?M(eh zmg?X5%pfHax6&B{> znTiNA!IThY4^x%G>}6`5Fpn}-EzCZqHVE?=Q=5d@&(s!S9%rgnm;+4J3G)O~4+!%l zQx6Gqkg4s$9Ac_Ln8Qr%66PtUb_??~Q;!qo2vd87Im*;NVU97?BFu57;=(+`)P7-} zW$J)1&oR|0%=1ij33Gy}L&Chk)L~&>Wa{z4yu?(GFejNhD$L7F9TR4fspG=D!qf?2 zrkENO<`h%I!klL6v@mCw8Wm=msc~V>GIds%b4;BV=2fOH2s6XfC1GA;>asAeGj&Cn zS*E6hInUILFmp`J3Nz2tRbehLbxoLyOl5_+#8gh0%S=jxe9V)Dwkyo2e%Y^D(A=S(ta2dYUkQiK%A@^NCD7OPEh$ z>N&!EGE>hL=2Mt@zA%58sTTeIq}9aEnX=C3pLr^0+aQ=b#&Z!qFADQFnfkIYe~YQF z3iFLjeNC8eV(J^hd^1yjAS3>JO9n^BqCfY)4Hj#wT|zQpX>v_p@6aeGb+HP3lB zY_ML}!z_nma9HNywPdW*an_Rb9-70FyES#neWQ8?!~Ki4-oI%4{zcpFU)1WV`)OAD z{nvWn{zW@j^l|s-SL^JE|B0~GxA#}G)w}m6Z1wN`30pmUf5KKD-=DD6%l9X2_4B<3 zn$DSMxxdjP(fh;+I6Ne$~Y-K32&-bBR0kAsx;s zOHC(iu!wG1_MOml4?*_~AQrry{m1CY*NEcQ8r$61pKliwtd-&&^qH_=b z_|y;v$>_)2&zb$y5VJSw^>gvSsMx5|+{q zl6%G#3+>au>@G)Nv4XA{)+tVLc_J2z=?32ta}LU4Ngd`?NqgsTFFAYbpbK1TeLOBQ zX>$)J0mMz z)Xg8uFrCqLPrJ@BX7{Yl-PNwqgUX?2_cTpMoGI8@1HB~ah0YpdO~N+9)|iggfoklf zUXIo=?|P>nW?Rk%gT8-kDQ`n`@m(H4<*uOmAx3p7s_!affkDm?J)BV!`{bIuYk&Bb zu)BKiV(+ew-D{O|PLtyyx~nM{m)aoVoIS_YXh+bJS$kDdPO9Uov~kgYfZhFzuCe)4 zk8Go?!5W)Szvvp9Prv9In@_*!8kI<}CH0%RFoNV8?u4r#U&EtztG z(8YZcqOi|rE3%w!pXZZPcCfl7fTXRH7`aN_+9ZYC0~%RS7j}!zZDdhh@43f0vW#vg z;W_1z#dNto`|!uLD{{_+T-zsgIlP-Ocd5IZ*X}`*ay)fmNps#Ld!`$F?=h4tr(21g z(YH<^c^n(rJS_k8=E1&M7@)%0 z)O5OA7~RGpJY5ez2QOSH>OK4duP`1l4pT8+qX^?*$Mj9qWg7SU=A?Wp3~%$$OFU&# z9VbfK??5;n9=%0APP2z&h1B%*hEN#qSL||Lmsm@b&V>xWq&)oM@JsO)#sa?AZ#7bc zUmkvT1pHUv#R1#sN+FYN9$LBCdK@p8!}yj+1y^u-DUJ6|pojM?sw_Q=C&k}X9)4pOUkiz#vFC6| z=mNcpNpA)Wi11s(Z;PPxx6u%;3~wG@ZC*f6rRH#oX80Y{5KW1!_+91UcZS~`e7wQ` z!wW5TXV7~zq44`Lv^e+jTxOHOg ze|=>^J?`~>PKQ;GFV%E;(V4K}FND7s34cEPCG^afO{00uj<(1D*h)^0ek;KD;qX_h z4Kw`b7;f$(EjR`!uB2zi(u;ud*YRTn3(EHrmgxRwdH5S)I|#Dxv`8x59rFK_!1}+6Vb+4NC}z!heg2F;7SM4%%+I4Zcr{8kmdl zcf#L|gufleIi@v5eh(m8GphcgmHnXy~4Q2-tH5|CHAL97?aG43*$2L_6sA)yaU3x z!W7Vb*IscfrdSrXrJ`QuQ+>j?%2dBFGECu}R&~gw5n)_2 zu2Z81g^^`vhlMfEywk$SvFfNW@?1JDj0NVM6~-dVofpOuQx}A>%yO56QDC{t!dPMI ziZE_)>69>5nKvVhn@r6L;}(}*6~?1XT@%I=n92&{Hd8raJjNOe!nnh{C1Ly$Qw3o> zkxOp~<4MfBDU2sG^{6nO!qjbH{4!H_gz;3Sp7_6lhnzYDkEbyxo+6BAF!fYnJd=HS zx-g!_Hl8VrXLDaYTNuw_>Q{vED@;947|&(uSB3FB)_9>Xp3k-XnlOHqc`p&h3z&MD zFkZ;iD}?bP)_s*QevNsr5yp#|dYv#{!qn@9@lvMVAdHtW^;^PtIqSYj7_VU7TZHjS z=DkfAuVU)$!gw`P?-a&sn0mJ`Udz;bh4DJ3-Y<+_=UP4}jMp>ocZKmAOnpcgZ{X4o z3*$GL`U7G77E^yHj5jj%N5Xg$Q=bsVo0W^s#=DvNx-j0u)Hj9kUZ(z181G~1uY~b_rv644A7JX+ z!uTLl-xbF1@EHBQFn*VL|0s;#feO%2VD9e z!uTjtKM}?sGWB1=_!!&xsWASCsh=# zjDKa`MPdAid6UBUH>Q%p_;;pK!uSuSriJlirqaUr3D-O)jQ?a_Mi~Fa)OBI}H=uMyl`u6K4^4xjeakwST7IkJLHyRGKz1()+H zOVjC0ZZ_XMCdt7}E**-jh7D{of`>A>OyMxT&#CS!ts4{EAf6SGMXd( z5}wH?af_e5ML%I0a9q55KU1UKU9Go9W!KLOedM{3=iw$k@_hRFXg=96mBL_OcK4xD z>KAVG1(6pTO(+1iIv+MJBz?+kr}Ct zu6}9cWrUiSo5p^nZJEMyckzuFZZ4H>;w`ZG;m9i^KswSun{+oNt=QlFj3?7;h4FKq zV0dTRU<&U{n@r)I=>SuBXS#$byfa-Yw+0csGhN0!yfYo-`tZ(lh(iJ1nGQ3Bcc#m^ z6z@z&n8G{LQKsg711XnUnw^_B45RJ)}BpH z)+_QgG{Hf!&6Y~vD35$Sf=^%UMEa~qVipMkB)-P;gBiN7&Z5!VBYzoz#<$?n84>yG z@@T}UkNgd~fMyTU67v6^DdfE|{6)>%Z%4jE^Y*(4k8VGR$lr5##LfSf1|)M=mK)}l z(kVFaCgJ29aL2{InJ?nlv&+6&jeIZiPZ9L+_c5%+V-{Z{)k}AKPSVf$rMET2P^2No zpQt@$>Ps)C>6@k zhOA`+f5l^u+W~ZrBl42X1GyXd=@hLHr{#hXiu^Znakjgh01riQ8&26# z8Q#%Hm{4w&R?LqYQ8Nxkuv)ltnn_F{lCUXPx zPedP*b0bRyFUM0wS88GH$KwbHcjD)WnvMe+=s-GgU1097iD#wRz z)KKpvzSZF0->&it1o(3?S;jpm}DReK@og-yw>pLb~{_1bFE)YP*JsX`CzHO;H8E zTi;ofG}k5iLeXo;s?q{f99GQ_N3+rB^(gM3lH9C3qFChl$Ubu0me%$j9fT$Vh4>f9 zTdScIeUR=tf=6xe9vk5XXWA%7X4}2uCZ;>|y;Iy6H-cD0{DkOjGWA$hFnZ^1kGSlW zPpYhoB11-Bh0?CJ+}WO_b@a*AMoAPmTw97p0(!C4Ay$X*n&TPdDEe zjXo`kuMj*`q%ppfA}QKfp2|%_^I4|RsaY{~EiW3`b-gd53#yIM=nFB&@wj$n8Vf7JgI`VupXjeeUmT4-JNl9}h90X4O^0g@NEcsV z=)MAJZiW}`LhgzgC^EbdzyL1B04ViRgfscdkM5B0vT89~M8Q>W|GpR!M%g#(|f zHp}1%Ve_+q3EBhAG2`_ z=ngFU_44S~qWJafhl3>%P=9fMmaMj;_o)j2tGl{)HKV$BKVnwh*2tqXy&!JGa`Joz zEuO*p$}C-sA^CMsL|(v{!(&ySWYMtEDGgAfwUqu8L5)sl@YTUC($ONMQCwrO@9Y?% zmNbfM1hl+1=m-Q7wM-S&NJkv_G%R;4Q^hqF`AO##zy_g={uI|(8 zFAo;AzJ*4J6gDF9GEYNDAS+Yp-02gGP$(+PMTJ13`}MR94u}{YOE86dlb6V_D{yb} zGNv|D6hXmL`LfnD9(A{Oa(Ct!khiUOx%xkE1o@sg@?23Df%n^l`6GGLA&_8yDZ5sW z{R28r-g4mYT{_9?MQaowSL;MH*_pKa!q?{VD+St0J&&tyTuaQV(HbRyW{bB*IXtqy zyBAq?FpTK*4j;@=YlC*%;qGayyCNqeG@70p#@k3h0ov+jglx7%!hv$pF7T+}RXqN9 zRPY?8ko|cLQ;(QN)*bNwABNccus9NdTaPd51oY0mI>FipZ?>m_jK64dUygQ7=!>Ix zlf;3juT*x)v2xKT@U-KNJo0#CtbDF&t>(-AcZY-VipHFbpu)joJmP*E-;yMdy@^eo z!ouN04rJ&~`zH+bQDuHp^&v41$OCs0^7y;StG=9?PtV|P8;>@5EGLS*$mQqLNcWJD z|7IhXP$keNt1J$H2SvbSxfJ9uAW{y0eWq9N4%{4)nIaHm@mc?Bc@{5)&4dJQQc9{9n6&W;$~*{&YF}SIO8xj|9x2=`c;{z3%HGOlPbwJFsv7XUl>#GYxMg?d({XtChmeYwOR8X|0(orK+z(Ji3 z@$o5gc%_BZSAeQW5sjcf@Z48!)mVfjIv4~RbXE@ifqkDMOw~9Dl0#rEER^EMvs500 zx8R8}PtCXCsVei{zJ?~ZgHFpKtHt1%K~%sFkSzQY*Y;jKqh;#-MWC>yt?Ia~bli=v zEk#32$BmE!#r?@6NXObxc~O7TSt3+W)Sq-n2nwtbrt$RpKnH?QIsW(xcm(MX7%DHq zFrD^61x2l*V?3w;ZJU_6VKqj&1HBV<12&5-{m3yPEi@=|ChP!@72{t zHW;f>D>e5kEbu+#guDp0mkQ%cZ2F&zs>jXlXSwW$MP<0j`y7}3n;KoE>17#Rzrcon zTr?Csb;!5xv&erH)!vS`Nx1B1MP(SKH^{OIQv@pI3Q&P}M1G5TWg@Vic_F-u!@P1@ z4FE!34L}6w29&RgAlTU<0-Lyob$CaCYp5218s=>ffjXu(Q9S@E*8?Iz-;n1k5COWi z1(PkUgw5Lh#!z!%0d!Etd1vJYt`rq6F zjO!4a#|+hpS{AH7=v1!-I<3UVDe{8O6!FOv*Mk9a`v=F5Ch;2s3boKJp~oS{&)H$T zJTt%!xGOTbt3i@<{n0lYl(O6Hvuf$hv25`mpeof3iFOpOp65SkbcAOiG_f4%|{ zpi@Kn3Pga;1LZ5vC@Nl}Js4{%7*godwgo-2&6)CwwwoKPNXm>##g(dHMXK6}BBN4q zQD#&sX242U8=^R)Lg%9R`WJ0Luf_2W0QVZcdF+#5SkkFV*j#6rE)Pr`l-FoG&g#=a46sU+8F`L*TeK zepZF9M^9ppo(%I(sWz&}qsQ2zr-AkKYGa+wBMcin+K=0K?xyDyyT$7p9nJ*r=!_+Q zs{l~HUu~{mFJQl32q#`tZN$j0=dxcf0qdpJMzzi_bUysT+#F`8ze)u5FmPWZ0{fVH zod~ot^?DK5&(s?LR?7KEuo4lV)DK^Q2vBy1uRsJS$-`G5{LKO)sKJn zZoA*j?S2m$`QB<{J+=Go-0ly6^}%XmgRb2eW3>AKUUA{^z^f)DOns!tLo1C+$4rrj zaL3;SK*{gnLD$2NvxlF6JD;pJHj;-QWe@)ttj|;%n=~HMG6fIOIX+{w?vNWipXf{} zzX1B*=S{0J@4v*}d>N*{Qf+J|Z~lzE`5IVXuQs;myg}RjX3!7h2Tvn9bILD()%pgb z>TmD{D^uSVfeuE{ckwOT;HN0|Dd2=p-ZBdQ2) zaYY~klmO%_5CJ;Lm#;Lvh7H`i*vL;spr0%JIVqumtOUZ}M6?=xKuZ(-DW0=&Z13JJ zYpo0wY151$HAX(2ZRM*zj!oWsSi1smCd=ifvPuL_Nbf3RHhi@|)HU z`M20xN}<1x>pdg_6I}0Mc(ICC%S7el(GZcZOo+fGF6u?m)l_y?RQ7SvG4cV9un*EB z8?7=;e$mNi$rMzmaj+mK@Cz5F zo`8pVmH0);I~ZI@N(JalX@&`i0G$@iS0DnERpu)Y0m{C}DdXlMM$D8X+;g!?Z$(IHyf0Ir)QeKNt-wHyvkLTsjd6C@SS@}l14^;Uk z4pPLyAM>b2Z#urb0gl1SL2!Ye*D6TNBDyx@D%Qz-xYzUG4&z*>WND~j9)!5^#>yGOfLOH z{OXB$e_*xP`~HCvvU;=1r6xaw2xI{%k+n&)zF4qJkhc{x5mi+|F)x$j-Wc{`xucQob+WyvZapnU^d~{OxK7 z2X0w;Bc^o$#}(zsk~-gmOJ$uOU;`gE`bXH;h0;&3n+vP|g<4B3=7dsbC+zjYN_W8y zFUDxqreA2bBJ1uf**0dLf~~<-DDRK^nXkC6flPM=4B$H=$%PgT?PZ zCIs2~9-5EcPHdSEW9)WfzhI3q5Q1#64r3rB8yeQ?S78+;6nx*A4{c!?4c?Avb!qS} zlq#5__?2ctkgeaLT6U$`G9Jc2NUEd_tf}9NYG6a+-`p;RhrkX@eq9S;dR~LMYm#vUpe<6C`>g(8+d;TqB2DT;3ei<%mjD9 zWVP^gG8fwf6LxYYJcXQvnO#ydVct6%GvSL=pb)0~D1H^_KV>p}=PCq$yaM5&!&BHqRCs)T;ef&uU|t?r zJ(G=;abKT=O$D|7VNeD>7Z(mJ90c153J1f+609I?;k?43@SspVY3j_m%$aIm%0c(A z!jmI~ClwA4kgl~iv}jX&w*qckiO14a4nJ1`+h__$L<)~9Jk<{0OY59eXBgbUg;j;& z!cpu*0s}st@x3LDCXF7_XvS22LV}kc*K~HE!=&mK%ya697RScjx>^c6(625W>yWyI z_{{c`q&Oh?)06<{F0_#4;bp%(b-esL1cEo$DZTy`6uYLmILCr!fB^TG$!$ zABOzR4mp@*K7*N$(ZX(+`2=J>>5xNM=JS}jg%4SYt!5Eu9*a9=gQb%7l-NAANOb1Cr zB>PtTA0+-EEqo7&e+t7gmDylN&S69)q@y)c2DheQ0%)G}>p@V{8YZd&*YHf|5p@Vi5r zU=8uB!j{aFYXGD2MnO$Hgm`ihFbN|8Z+-JP37c)baIbc@h3Bw0ISD(D+Cfgj&Myqx z5r;HI67kN!KE5TP*__QQhil5i)QiCS5+ecMgytiO;#4)@An-pVQATYCNz?@r^&HX+ zNyPhLdk~UIKoYB*T|^$H8jF9Y1rqS_>3lxR+l^AyzY|3tc3Ny(d@?p-J8vD#G!T@o4MWE@M85L)(ckbQ#*UO zA8GkZeeDCT`zHu_X7X!B;0e1U>^QUGfhNe-`jL9-J-|QxfN|gvXg2areUNDfuV|kk z$?nuX2947bjMK{@hof=g_dZ*zPc}{m8vGK`{TP|}z`%s0qWlXmkby_83$eQlOf3VW z!Z)aH2(?eZ?s5{48Rn1{NG3kmuyy+68rW4~-6bAE_o@9x&pvldo;B%Aw!v!hT;_gJ zsa)8QSAt)qB-48+j8_Uhh?9WJ!S~Tkod_G(*v21wEcc$YVJc20p4#=#+ZNF*GH=I+Hw-Ihm%a$zU73Yk<1 z`?$gDPrV7*X8@iBZRQ~~w6PrTn9mTLlr@UoI8mdh2 zrd8|1y?Fa9#x_s6Jk=Amjo}_&q7iT@5**r7ipAR;zdVX-029r3c)u(J-~PA{)y#>r zMvj^_1A6?}JR3eK9RNS3IFcpKm@uZgdhA%(4+y_4Is=Xo8#!hI-)=zdCGhfVFSglg zPkX2chZIGPqKC!TMcFcLvU%aX>07+RuSv~>S!p{mh7HU?)`@skOgPkaL1Cl(^y<+Q zW>k-?hP|=VM{;*A_Up{dy2(|h672wk^>4^xRZ95|FfE~bseL;Q?nXX$(jdCX*>^K$ zPzy2xA;|h4#y|+NuTdCF?MWRfsevAJ_Ji<59KR%EM$epBdrj8EIFj0F&tx8RBPUUN zBfAzG>>5@TbWE%Ygo@fJBzYZ#y&c(`BgC{H0RS8r2OefFH!a2QD#8%^nEg2Xh@$-j ztX;xsq;Ry}^r}gD_EX?h3}a(FfxUY{$t_X)Y5N(FA}_-)LE6v38H#YwAWU;Fz`KQV zDCO^L_J1Ozt^E?TrOyBtBfer&JHFxqj{S=LD%1IzMZhuj@c3JTTz>OBl`GGF10G4B z2<%_k$G#bHJcDXWi~W}UcGP~;hM&l~m9KKx@4_2<>U+_Q6K72x1TT=he*;1ERnGy8Lf_yT^| z3)y^SkuDbL3TIzoe5*y^lY%^UfxmZ7oHDMmTlECku3-NFEBJCwb7!)5GyBJUdz-x- z-hkk+MUZ~B2rOv0H$L=$c@s44Onk%Rns^4+vMb;I)!xngcVTrFZKZsb?>k|}O!mHJ z?}-55cNoPz3X87=plHhEJR7zto8w_fr}p20nuz_E4WHqnGbc8x8a=vt#tb$p>|?y8CtK|se~y})b1qdzlVEW}RI@LPPY?VqJivTgWT@wyD`G;%5Q5mZ0838l( zvd937oCrJcnf>~}B*Q)r){fyUwY&J0;Z8JmT&ypAgo(k`DNei=@e+Bl0g>48w6jG9 zT4WG4(33ni$RdNOfsWjGMM#AIEFMf z8)oR#@h+hVzh&m;$L7S&ip1tvpTox%c6euTidxwHniqplmc!!vNE4<5b}CKQHv{|J z8#^EBkIlEpX*AjfO>q&J;$nxiW{pj~U)jaO`}MMXQWRSNHxc{jQxscdkt!N(8*RtT z3EO33SJ=^Z4rvpcWs%V|dK6~B+GK3GL)x;`F*JHKrmlq4>m1UKrNR#1V=(n5NWIx1 z?OEzr8tsg!w?XRd4mpCQ!cNUDNE)`C#$elN2bMaXM!RC_8c4n0Ast!j1RCvz8erWp zw%#F~SnBB(nP`zo7MV<=-4V1IvLA8Ckt} zg%-KUA{SEwoqA(G!!?yJ3ETxw`cwJ2`}rF_jqQl-WLN!HsD772y2nP*=*ismDF$nH zF<7(f5nVB;hx>gHG%tI*a>53sItVTVuwP(>n=-S zEkd>eR(R5F>8)+rk;wKaP;#_G`m;;*DPF2Bkkr*71ER|;a+QbP#J#w+dV8YYUZAA6 zLyl*9zeK&qK~i6boWS%#e`O0#8*RM_!2gR;ddf_0wkU8kf92E zLYJ6?_{mUsibGCf_}>r@p1Tfs?hb=Hi(JKD)15P^aTwZUPD-2PZBCuDQ0Lj8;2ejX z%yj;VI_E*s`3@PL%Bf9)O)o?|%o7gG6Q=;462xByNedh@LV-`P>E(!D4273CtSx@6Qpx* zzH^VW+WneRndhvb273KE55PnBsJYa65UQn9oON)5825g0Hc$iIft?y^pj)T2iJ$$X zVgjE`Q<8gCPfj=sgFWgxn#nVPmH`sjXo&7M2)^Ey+VxvD8Z`3HPko}BX3Y+FiLMx<0O>+M~x9Ey-SVLPh8e>s{_xo$9F##i=QDY)XUr+;`(44QRfj(f)H`GAyD`zV;=3u$+sc|+++o*9K zO4}{6k{a_d@(VRCLTM+sqC~#2$aU1X6jOeq#zK^Sr^aHG{-nm0DE&>1Wn3yE7P+1p zD==bEV3;8&(1_U_{5oVeM&mi9=b4Rb&d%mHHN*{OXEh&Ze|0g?Hd!5x71Sf$PHrmbn!(=Tq7r zGcSb9iyU%#5j>JUNR1yb|1wHX!2E@fzsMnHu>5t@z~$+pD=0k)^I=>sf^mH!%U@58 zUl6~7(&3nYE#$9s$Rw5zW8bfse)_ zK4KmN%;OH34hqQ{1UyB}JS?__nh}(qrSw7szy^gP*q|_jRq~KUHd*9hi)^O!68?%% z1ZS@l!PzS_*<%=t&yQLJJQyEB#s7nYq)~bo4w6O*7E5byEWSBV?ud@}s!X2caqft3 zl`i_Q=p)u2z>}Z|JPBs8C)PBz)$V;L`W!)D0O(7HoC$rHJcR&+h4u{NU55+KBJ&C5+P0do&wuhQ^QToFoPg&$? zi)^vTGn6jnMlKE%hKqv^nZt|>SLP~A4MS?4L*}y7=O|r0@Y}uk5J+w8kaOVagSaiJ#UYDWsb{d%e_p>P)Ql3-(x0tA(em^(n{9UU#K}5^Y^FpN36CXsbB{YEHxa zgDL$P^BY6{p$@s8DW8d%O)1@pnav^daEIK$GG}9ED@u1`&8;D`jYDo^ns-tYcU+Vl zLCtfqBn)-GV@Vk5N?@qFiIw~nj@#!qU`ZEho{uHFS!5SAFT_YsO8-JwZ-Di2$juA} z-{eZ-OV|9H9h?%?t!f5*5GjF2(ApJJR~}pCEg6ts(!V5SdE$uLJ(eXW^2x0PmM2PJ zdEyo}*(MxGj$a~D-tE}pZ|wqn{QE-5&eawY!|VC2XaacXmlNzq3nValHf<*KdP6yPz?@QA*%Jwgetz zZ)bNle4b2Jgj?oo6-_}!umE2&%^`O%MJ-X$Oh}sLkUNko(={PuOH$JFu4AjqSPzAon`t0l1C<(+Y%W!Fbg5 z0A#Fn$lBD^$qotKZ#`<+0EIU?#u9 zrXU{`!1HwpJYPSqQjl<2ccFsaQ2IBAJi!!{paPhlN?>|=Ql%ilzPP|&N(#fJ0f#)r z6zs&yv1-14q#YO#6Sky0tMg1)bi&fUzx3qxsEv*Bk3mx(dtL|{D&Vi&N zhdisQuC~{gmZE|(C|&N5=a_;cP(cMG)pyAA3Iz!((4`Gf0ZfLaFd4p};M=;^zNL+@ z^ubX25Qn_TsvC^e9R^8F9P*#&GCTYPE$qX$CzQh5Kxqs3QZM&y^zqoZ5nm^aZ(vB; zx6z92+hF)kw6s-er9)mSZ4G`*W8o06s?p;cO&T(q>n@IekF5PZ3vLgkZA;t1?+})@ zPq{hT*7m>B4%oboz`Bz|UWVos;@W=c(U5eELtar>vu*9#aVf4Bm3D*D-5v6(!kTSs zhn3P^SRKsfr7)Yn#;V(k*6a&O{T%XNc$y;@@G-s=ChDZyx^3;PSBf7JN@1ohg_-(w zP-PdQD)^943Lg^QP%v*-I}DZLmxNN7c}rpDeUm9@fC^57q$-EJrBYCP=ak~7gi`pF zPzs+C-c~fdUBYdGt4gIX8JEIj{6AIcgxh2?8fprZp6ZZyRHYN{i5XZL=I2tFpWjuK zZl7??=V0l%Q2H!~yvG`TDmEO}oJwKM>3#M{eF=XAE1gfxMJQcJ%_S&ZOwFYzT}sWX zQCdLFYfxH5?J9c|yj7Pj26ga3;R9B~XsiJio=Rci=|h<01L2hG+diRVtw5I70N`4O ze8hB|jykS~q#GRavEuTyPv~nmqk>zY^eTsZ!W2wK1u(Cd!o2>eN>PF zP}xg%XtzVYg4ZYe9Han?T&1wc^);)1Jwo23W(`VjQ}bbz-T}v6?!`$<*~>beQ2IVK zAH}>6srdv-A5#;TpG!ZbCN4jheh!blsUD%=?F#;&rC&lz>nIBrhRY0xd<#9s#KrM4xLN9@sQ}tW%Piiqviwx_wIfzp6xCBuU*M3fY@A5= z#+>M_UF&krT*Rdj%52mS0}YNtzGFs!D)*_x^(DWo6qUdfUItV6_e@EInz;5~R^JLl zsfo+~WeuzVe2c_&_Ob)5KmoNc;tK+0Fd>)0g!}{R(Uj0=#U@TShS1}1Z6`YX{bZCGqe4OTux3^ zRXZ-0or0Hd1mI3}$WN@3{*1V)1o!Z&ITJ>X9$z(ia`nU+d1a&F)PmVys07bC{(254 zr%jnPbz=3HJ_9<@vg)$2k+Lymu$`pb^@5*JDz9t;j7a?Eq-AG-HOfven@G)FNMJI2 zz^$51%ci2DY1G_<88fK)CrYqnxfdni6Res}%jRO?vuG%Y8Rvjf80JDDjLxGW3#Iuq z6h-Mm8mfcR#WWN{=~5ahMri@G78EZ+#h24i8Ky6xp?WA?NkiK)_bM9N57Vxup@t}} z00MMk!V$8py?h5qUfD`uJz)$jV+*2X*OlEsLkA(~Ch&2N`{vJ{4!?*6yG3S9%`3Yl zQnrc}I|Pf}1|LIN1}$R;3_{kOG;|nd-OUt2v>8TM)9?taWDO0qz_bTws1l_IQ>tS> z@|0J$K2o-URo51a)zI+qOv#3_O*C`_rfmiaGbWr>P0Jp|Ecm>#6C@HWdxC~KVIlC? zH>XaYGLx2V!9vf_(9xLn9Q1(E@B|DBUckH;X{Zb4fmc5K;KMjL@r0JWf|;+l8z=`6aImraN=S%;}?O89Q0EY-`!~@C%Y4ffcsV z&=3S~XBlwN>MqRqg@%S<225cl{7@nA-NmZjTDF^pPQk1_G&B;WKa#r;UZTGuWq-3v zglF576BdQ5Q_Vr;28%vML*p?TW>K&`t~QqEvnZH*GUiei1q0(+VtFBpf>m+#u-su$ zFeI)QmY1+7SPz#6%gb35EQV`@%8d{ENU1;c9l)BN-jVSd12YA-jsgw9#s*y9N&zVfidm*ddG;|9V zJC=rSL#Zzf-HB3v8p5a0^5bdfK1@52h8{p^5Dl$E2^^dGBo?n?670pA2&ZaSkD=u! zVMW7e2t6grhtm)`Ih2o}A#`sjA4x;#-cSy6Ni2~qK1P*~MlEA#2t6Ol$I=i!dX|r; zA$;^KKRv0XuyYK0%0z@tq9J_XET2L{_y}1(jfU_MvU~;&;Uiu7EE>XRukzV6gbyd> zb7=^lOv=xu_RV~0x*T4b%HgGH2P}+-K0`Cir}izF35%NLu&B9{Wqyg7Fim`e(gJGV z#uwMi7eTSh9r7zH_8n$kLG87e3GYnh@XoZWXfBLxFno=hIi8j;2L}>sMfnQ&4NI&1 zTG)8Q_6Wf*FOHosCuv7Rd|%KIT7F&m^{@@7{05jp*x`P-3k!rGJ7W)HAOzV_dl&;D z$j-gP7zjaj{2j(X2(t6wc#H`_b}SsmKnSwa-Y^D2kRAGlF%W|6q&AF!5M&3oVT^HM zC$C|QabX9rVT^HMN1wfD0~-+s3F5#<#6f~MFcNW)AP$^F93+SXD-q}X*q(2UGs$ShPU{tM&W~;K6>-jw zoqs6eoF6-RSHw9#cJ{7_bAIgbRT1a>*alk>=ls|KtRl|&v8}Ws&iS#;vKVKQ!8gr{ zIOoSsx)gEFkL`CAan6sOY$)QKA3LB>#5q59M4^ate(aD!5$F8a`GX?P`LPrKM4aAEs43!{A3I7<#5q597@UZ6e(abU5$F6`OMXlOeUcxOATIea3F49;lOQhn zG0AAfjwM6=OoF)N$0UeLeoTV6GnA3Hh&<4iJIvF-mN&iS!3Cq$g{W5+*;IOoSUz>7HN z$Idwran6rzbr*5YkDWLm;+!8lA3(%8Kenk^#5q5<;TYpgGFq|yz9P=~u^qo6&iS!D zzaq~0v5mPR&iSz^Lc}>gHc5y$=f|cA5$F8aL?PmwADb#fobzLI1jdz668z!@%f`t&L3PdD&_paC5TJ=@%f`t&L3QYd}%)>L0s}< z62ztbm;`ajk4X@h_G1#nB|j!XT-uLGMx~rTxCC*@k4X@h_G1#nB|j!XT=HWQ#3er_ zL0s}<62v7xCP7^CV-mzAKPDNKa{k~F#3er_L0s}<62v7xCP7^CV-mzAKPEw3@?#Rj zB|j!XT=HWQ#3er_8I^MW;1a|oKPEw3@?#RjB|j!XT=HWQ#3er_L0s}<62v7xCP7^C zV-mzAKPDNKa{k~F#3er_L0s}<62v7xCP7^CV-mzAKPEw3@?#RjB|j!XT=HWQ#3er_ z8Lj2~!6k^t`Ed#2aeiEac$^=XARgz(C5XrQaS7saeq4fhoFA7U9_Pm;h{ySH$!IO- z4=zDm^5gSIYdL>#3GyXBK7X{9^9Pq8U-IMgM{7BMa0&7yKPJU$kEj!8N95I;3NDK& z-c+^6IkM9Y^6E{8P42_Rh8p1%omX!rPmC`T^Iea5_0FV`H^Yly0j=H~SaVC=Buyhf zMSf=4XVYkPbS#r#8|O-t=F#XHl;+dub11=y@m)~5m=;Vx=~7y77D@|f!9tW4(Sqwy zT1*QbMd=D!@ES@>X=D#S_o?188f}8oavJT+52mVj4ULXKX(f%$M(KJQy%nV!Y4lN) zZl=*!P+CQ!@1k@YjeddB9W;u^zSX;nMz>?yJv6!lrPVaL8>KZg`Ugr6(CA*29;C20 zogGwIZ#|`XC~c%Piqb=r9*WY#lpc-}xZYn%FJp+uC|!)w6O>+w(o>Y)i{-XZx&ftU zX#v69=V?J9N-xra2AKO2Eog$$E3^R5D6IDyEjSg^UZ(|9QF@aWoR8Amv|uSp@6dvE zD7{Auo<->cTJRQ1AJKx3QTl`ye2&s*v|t-bU(kX-QTmG338VB4twT}TO6!!P^gXT9 z5T$LjPGgj|(>kqC`kB`0gwhULr$0)+(!vi=g5&bGqO^w=?n3DgYKz+bqIM~!?WOi1 zT&f6Ay9G)HwY#AdqBi!I3OM<0Fs9{Gdjd*PYEME5K9EgAsgT;UcweiCQF|V@ctsJl z&qt|*+VfF@L+ma3lO^>wO3$T18T2C=>TfqgwjFqovhKA>KWD3;n!th zLBDU+q)}t4;FKQtee2rW9lpO#IitG8z<8-KSPWbyYs(B6bvhj31gF1=@gVLIgGIa7 zR*_fHI6#&u)c32NIeyBR-c^&wOst;KsPB|1XUv+~DWL|j43>~0cp-4m+^N-_hNsI# zwJyd2-K}ck#DVa!1^i1lR~#0pIJ5$mhbx-0#Y=9C1nRV+c?GOK@2)r;J~|GBRne-M zv!=tjK4!NmV<0FPIJ0W>8U3oJ4r0Ii$WHc{FuA(_tVyG)r;8M)@08J16NglRwV{Ma zw2}Yu?3|-&@KB!tE|7iTtK0N$@OfZHHAEu=r_7o@x*C4#7qr%eBYxP&&LIqPnMMf?U4zfpuYiST9--Xg+PBD_`LZWHm_ zMR4Eo%DpYZ|B3W>MEI^qe@}$(i|_*x zekj6^MEJ45eInwYitsZLelGIA5aE|1{VNfEEz-Xc;kP2(D)PS*@$W_Wg9x{Y@JA7D z7vWC{?Pk~YXQAg8k-tO4cZ&G0BHSgy-6H%=gnJV7F+2V)(*F?QpGo9z=C z;O8yo%RmvdqnOXfT>~Y7QkWOI2Fe2EJggh2$L5JZ1=ZON6~e z*hhrNitsoQ_7!125%w4101+N9!V^Szq6i0yaF7TGi*Sethl=nd5e^gK$s!ys!c#;z zLWHM^aHI%N6JeDIM~QH>2*-%9T7+XoI8KD)ML0o(r;G3m5l$50BoR&);S>>072z}y zP8Z<}5zZ9hED@e5!r3C6Bf_~NJWGUUi|`x~o-4xhL^w}`=ZkQ@2rm%fg(AF2gcpnO z5)oc1!plUsK!giLxJZPTi*T_Bmx%BR5nd_6r6Rmagv&&DwFsAsaD@o35#hBWTq(lq zM0mXjZxG>)BD_h2H;eEV5v~&9ts=Zlgtv?E4iVld!n;Ixw+QbM;k_bUEyDXmxJHEc zi|_#vt`*^fB3viJ^(-{klKjAiz(x|RXa%F%Iq>Gfeqy8f=D^d0^ljRtCh%;(X3vpO zv$g92FEuF&ywbEL@On+)otnT0{hBsuRulMSXtT8dFe)nH-zW+EMV!3A!+C+PD_TQB zn80*E`c|}oe;b145IHpPY2Y(RjgkWcp9j7mLC9%NdIY{Cq@pdzp#Z%2*gy)LL3BxN z&7RbElGOKJq<+vR^`jqB{alvXE=m35MGCx3b80C!sb3_i9bTk%>XX{#$5P+AEVWyb z`pt_Jc)jXc$``2}E>gcsQh#`n0tZ}OQh)og)UPg5d*h^n#Di22jup`)6*T;i+LcHu zXi8EcFH&KBQkEZ5yIrL6C8>xPDe!#OwUjSXzqv?JNvgn$6gXk)lCphRDlo}KDke!e zUZje&Nd^4eeSyg?QpJ)~i5IC-eNuj=nZOJesWM5b+>2CQZBjvBmv5$vR6R+m!i!XW zZBqU&-z*oY{UoXVy+}3CCgsmk*SbhGl%x*uA_WJ|Xx@GPEafJ3kR;W}ixeDpqe;r2 zrB=Eub%-R@*ozdLyrW6VpQYTS4wIysc#&$VP0F98Zgp9znIzTRi`3!Tr2JXRO{#?? z)zXVpD{WH#EOnd9Qk9ZaYcEo5v`P81l$%srNvfR}srET0b)U;pM@Ui~yhwG-F{w2! zQk^8JBfUr+rA;b$jGsprH>u8&R2MH&UG+(I&z@8dNvfw8DcGZx)4}t8*X8RiN%ip} z1)IZkN%?u339NOII!==6>qQForRkFL_2l`Wi&TF}YJe9h*b$dgQtMo#PLQNd^dbeD z^E65MdhZLYcaa(-Ne%WQ1)KhKN%?uj3T$wZ8Y)Sh#`qc0A90bYmZZjdks7B@%GZ0}qb^e8 zC8-Hsq)yi-HPMfy+@vN+Qj@(%P0=Rh?;+1kYN{kP&5P9Zf0fh>NouASsae{jg0uZx zzNcM%YK|l|*NYTvbyq+y`P`%~m8357A_ZI8b4uzZm!%d;Qj5Gu z!R~rpQob(VD=t!tC8;G|q+p|ePD#D$B6X!CwbY9g93`Mj%2%Iy!$oSDBz3hHDLBhO zmz1x|_r8nN3Q6i3FH&%=IC#f#J`eNw(I-{&q;w@OmCd6BwZpOml5_mzv(9g@_YUZn2QC*{jhZc=wkQula~ zx;Mw9TwmLRt0k%XyhyFdF{!Pt%Xhyd^?(gdl(QqOsjdOpXbes__2L6UmWi`0K|OzJNe zsh1?Fm%T{Au~0d^e1E%0y(&q)=0yrlsLCm+y)II(OHyxmk%Ggra!D#kT%_KTq~7)- z1?P6K#eyT`y8_gjh~V1zn`xm!v-MA_b?J>5}@`$5TSkaFO~%lKRw(6r7@_ zOUlnvLeO-P`dpIw!iyA~-lj{+m!(23QeR0@Uwe`IMxT@~ONCvezLli5dXf50pVSY2 z`qZH=Qrje{AH7Iz*C+L}A5ueIq@?d~(93r?n1S!GAD_J71Q)4arKNUxS!%bwrS|x- zl$(_F9S(Z=4hQvphl75;wkKIi`VJdjzQcyT@37&=cQ|-@;^i}>@37(JJ8bCt4jX>H zA(K8XQqp(W@bVot^nHi@kvi5zO8O2PUcSTZ7uYpNbi>a_NV3pHO8O2PUcSTZH`#Sb zmH4>($RZc1()f^Ilz9vZ?6=M}N%`A1Pk}eyhv5(lkzio5;v*(lGJ`)r1sY* z?JuBW+T~A$~gg9j=bvSdu!_ixeD$uG!Iz zrhZ7Rc9D`Ev4)pNEc>~1O;SNW&r`(Z)MZGISi{RBmi=P7E-7ErjLWIZkRGvymq#r7 zjrN?9ayfMw(j(UJ@`zNqb_eRE9eG1ukmCrS19A~hh#q#k#XI$n}G!Hd+1IVSa_i_}0# zYLFMH!8s=Nl#A35NouGUsgtxx87KQ0(cPqmOH!wJks6^*D(GuOclqQQr%F;Iy-1y= zP0F98+@z``sZm~}Mr)JuXDOFYo-sy}s`er^R-2SROSwsnlcdIbk(!`Q%Acj4b=`fZ zOHyZek(#JY%Acj&q@?e#;pIDQ==%=)vy{uF(vZHxhL`WKq3=8F&r)tu(s$VK@*OrZ z@E!IwPCf7HQ)kLPHQTFC&B<|}a+8`XNuA|I>TGRN{`%AlE=!#wNuBFO>O5^y{`!=g z)I3S*d@oY-wMqH2l*=R5xImJ+(2LYX`lS49IwWpV7fVu?c#*nPpOmk)gqK}?>M}`c zffuQT`lNgf32su0B&o~2NG;YU<;zkohh}4mBz1)ssVntK`LdLo)KW?6DlbyY^hx=$ zl*`N7xLT50?nP>aJ}F;c9A0yE^lK!kYrROV)F{?4AC4GktFW+G!$G*eFwVl9_zQcx> z@35ioI~?%!8RSjZ<&(a{hL`WKk%8~9uOY!rO8O2PUcSSIzVEQ%$E}38NlD*f!^?Nr z(Dxno_Yu-fO8O2PUcSSIzVEQF?V03bSD%u;!-kjdu#ti9u&)vQQx_@eJ8XFQ4jUQx z4*vtBo{)p*lU{@8Q`&>4zsvWT%TiBEQd_)8J)=#^*TEpfO-lL>8(zM{Mh3paz8>=2 zq@?e#;pIDQ==%=)yL?}`E}!%rHoSa?jSPH;eU0efyGTjjVZ+OJ*vPT*yS+&LmSa+`U2Dc3N$PhmQh(%_lxx?T@uwv9mlvtO zb4<#$Yt7gjCuI^3Qf5G#RM5}H3*y?fW(FlG!;6%uP0HVp@JHe}WrifFuotO3ZBqU$ z^{0!JB}wIbk&0-O@;4#7_V<}lNs4-rD$pk7YbhuYby=#8Bvt4|%GM_3Yk4t=l=Me8 zz5LNleSdUct1d~TilwDWyew6!Zz(@74uJyKflSE3MWo>$$Wo_!8WgQ&j;~72B&}AukmbK}1 zmbIC|S=PQt9q1w@&$2eX&ayW3&$9M+(3+c+Jj>ejI?LM3;4EujL&8BWOG(dt)5~+; z%)oQsS4VH;A|*ZdO)t-VGXu|kKMNdzgI%Pg=f3IXxo_s!b3fqPGiplDebdWx-^{V+ zeiA9^xo>)T?wc8S?)y5H=P1|Zlb-vgm*>8jf#<%TEh>SoE>hBS-}Lg_H*@T{AL#BP zB|Y~|FVB551J8Xw4mg3HE>hBS-}Lg_H*@T{A8_p%HKpgi>E*d^=Gb#TaGc9h(sSSR z^4vG|J@lAimfm*>8z@44@f)CdA7!udG4F~ zp8Nhto$4YbJ@-v7&wW$hbKf7SkuFlwbKmsx+&A?-_s#KshJ@2xq$bFYe!5pjKSRHx z`yy54A~jKxn&d@la*j!ja*>)MNlo=4H7&=aM!QH&m!xKRk(!xfQe#}CW=T?KdXbu) zV^Y;FQu5Sq)9ci4GlNsV{VZ7r#=1zIEiHA9m!;0lv8BejNS!B1&GRC4zBVaeOV)w$ zE>iO)sSCVFU8qgU--zxy0mr;ZlDgQ7)Ft|)F7q*>2lHK)S|CX+^dhxLo0PA0^dwT3 zOHzxyNGJ&FMo7X-yhw)Gka2ZNlV@B zWvP4gEwws(Quj$xYrII^uTN^NA5ymK@;xX?t@9$aUYnG^GtC?qsST3UMlVt|+NAtV zGj383Nm84hCV+Vt|WHZ${A*}qDvT#~BmMXFwoNwsoWszQ>g??r0Af0fk!l2ijPQVnxVs?uet10<;f zy+|FDV^XbMq#8+52YZn^B*&!MxJWgYqz?5Wby$u`wRMqdB1tv%BGoL%q}sViHJ79g z_afCI$D}&ANVSxtT6vMG%rU8sE>f)}sWx7u+UA&4Cl{%9l2m&yQb**N)R8Vy9VDra zUZgtZn3T))J9MNZb(9yWqjOB^XqTmqk)%3%k?N9TQpdPRb(N&Ld6DX#V^W=6qgWEsXkt$j?FPC*LH%?agtPDFH-$-Osbp9QvD^V0bZnz&oQYUE>b5* zQYU(m8kl2Ju00N+L6X#9FH%EtOsbd5QbQ%Fle|a`%Q30mE>b5;Qp3GSoswfxt{>|P zjgX{H^&&Mg$D~}lK|-fVQdM50M&+1PU)SXuElG{>B2}GZQvF<{#!6D-yhx4DF{%D8 zQqmti)Cn$9(jPtK z<&Pf9z#rWgsS{nKq(6Gd%O5?Ifj_!0QUhJ2q(6Gd%O5?Y?~m^9gV7)tDd~?M^72Oy zW#EtQ%Tj|~q@+K3$jcu+r0AB#_V$_+Kbe3ZBqXFl-p7(B&ln>NL{Nib&EDBe#rB-`c>b`%~Qfnls`@Kj#@UN0uD@i@*MQWWkDStzPYj7MVC&~Lc zY$oX1937G;$%nj7k`L*hB=4`IyGhAC4k52S4xtS8IQ#>al6xFNUV9uu`gF;s)CrHUX4k52S4k7(L4*vuxxyK>owZ|c(zsKR9ASL%WguM1Rg!K0~g#4VB5Of_) z5c)(ux_s*O=<=ENql-UMuA>P;pG#6-c#-;2o0Pvt7uV4Qp|2#Vuf0fpqfN@6rQD>x zm87u6s%~?;v@k7 zM!51)ED_on`W4b3FSMO>CV`5!Acq1Fu}L7bE3`XBWz$WeKh{DaJ(azaRsI?JD@A3+ zrf^`5w#sCd;b7PhDtizE{wE}_Nky|wVM|T3Ar_G>&%>58SlA@JK^3z>evsJ!62K1O z{BR_-hWeYrwq_0CD6=iEfrewU21vHUl-J;di#to1lt}QJ|u-#(@o)~YAva}8p9=% zyc%<3HdCu?k%h{ZYL%_CP}wHjHsz8xg>KtPtuv+DhTE~0^G?-1q1!^T9d6IX%|wP{1-^>8(9h-}));o+iB)i$kZGicfiJ4IAs zg-0;!@urr^K^0i+O@P6sK>N!1O9tAWs1_!|d* zN>+h8f#-bi?^ zFmoi#Dqv=ggwFzrwKozz8zfWor8&Ivm?Xs z!%Y~!A)(@>;j7@nvd5*w$!mrBX~{Kt0r(oV<*!q7*0TKd;TsfuZc%GY;uDA|X5tOu zTQ$J7GjX6;VUZ2t+x0ARNBB-bzZ+Z)c0KGS8^U)#56@x`h3{h`BV$xlvVRHuG(++O zDK^O#_edbTCVam_;aXI<9u%(4P~nE~MuozMP~m1!_)vxl9|=Dyx^C?gRnv{(TOWib zYCIj29svgkwPdBkkBK3M^x${)wynZg5S{tH&%k*(*qx>1b?6hK1>PzNDtgZ3I0S6+*AqvOb^^l3I0M4+*}F% zN)LRv68w!GxP=nDRS(=!3I1LW+)4@FrU$N6g175|TPwjo>w()S!D*I+k{>GCD#5?% z)!a@A-mM32uLSSW10SIT|Dgx&palP=2kxi@@6`i$Qi1aVdf+3KU_%dlloA}$10Ssf z=jnluQG)aJz@3%gs2;eB5?r7M?y3YA>Vdl{!7)8>cO|$;58OiuF3|(`RD#R&z`c~< zx_aQ=N^pf9xQ`ONpC0&FCAfhe_&6o_06lPDCHNpca6cvZU_EeuCAhI3cz_aom>&3e zCAg^`_yi@mxgPjLCAftic%Ty8N)J3p32vt}df;hF@FYF(bR~F-9(aZl zJWUTgQwg4-2cD$_&(Z^*sRYl~1J721=jwsyD8Xmzf#)j0=jwsaQiA8{fzMWg=j(yb zQGzej1D~q|U#tf{PYJ$M4?Ir^UZ4j)UkP5M2cEA4FV+KJpafr`2fk1VUaAMaNC{r1 z2fkPdUakkeLjW68wZ7_a1;P>>vcPqgk=z;H1fU?Fq6c2B1b?OnzE27MLJzz~3I0kCe7_R>jUM;` zC3veIc&!rry&m{MC3u@2c%2fwT@Soo3I16NocD{`kLfA@eoT2g)GD`Rp>n5M<+E9+ z{8g>;`7BiKQmcG13zfUoDqqS%`DPX>|5U4d zI}4S6sa3v{h04FxD&NaOmt1QSuWnHz(!YowQQ>%<+p|V1)vM39c_0=j% zvQW97T4h-lD)(2bteb_(25OZRS*UENR=HmmDi2VrY>)`* zrdHWD3zf~)D%)qF@^H1v4q2#dp;p-`3zaR^Dv!!SWh=GHW3o_LsaDw~3ze&bvh06YFm2?~9suU2_(7Aj9rtDKjG$`jQp=VzgE zpjzdHS*RSOR(Ww2DhI1oUYdoIpf&qC!0waROJ`0tnsa4*Xg~}?m%A2!LIZCZ^ zRTe5ot5x2Xg~~B%m3L&JvRbY3t}IlJRja%w3zg&4DpzNra=cpQnk-aKP^)|(3zes< zRX&)7$}`j|*Jq(}qFUv~EL2WXt9&R6m6O#fAI?JM6t&7nvQRlyt@5!fR8CW?d?E{# z)72`U%0lG~waP77sGO-*`D_*{XQ@>_pM}aZ)hb`iLgj3=%9pZGIY+JXl`K@wRjYh0 z3zcW7Rlc5u%Cprf-^@bgIck+}XQA?3waRz0P`{S|pCctv&yEgSD8Qftz}GZPL+z zZhH;M=jVF&T4$}Sv2N%O!8&WzI_u7L)@q~1dSIQkq1f8ktj2oe(i-cDB{kNTW=%I+ z?-R1l`lQDCqQ=_#r1gD`^<(oI>z603oweNS;6d6XLOPIR#4ld3qpHKCv-K-ElIQl4 z$8qP+q>J2UZ@Gmmkps_sWl^_U`+01!Aitg% zOAV7 zItHgI8MM`7FjC2&y#_d;8=t0B(jhY?RZ1mC>MQ981|6+ba&%@&#we9^&P++QQc2g$ zl#Ep>>7JRAaY`jU^_8%0K3=J$cVT zCn;+_K@U7x+4g}N;Dj4(ijw|d4JFX_sY(VzHNb)av;H)t4buD=k?Rryc)AiiT!R6C zXDHh~LJvGs+4hkd-~{W>QYxv^SHcW2{AOA5h_g%yzCo|%tCd#2Ne{eSS+m{`qmdO# zu+9&ofx)g(f^XNOeXSCFryh8v63l->ZQqNt*D0-iuU^g9D{H<_4}62N=KJ-)H!8vW zSKjvB=9`q@b$T`5tORe+1K*+q*XV&)DZvSg!d@$lw<<5yX1$tkQ`Y>b9{6@8_;Ee( z9ZK3y>VfZ6f}hp{-=zdUqX)iQ34Trwe2)_Rf*$x@CHOyj;MGd-%X;AZl;BtOz-yG? z|LTG7SAyTr13#byzoiFWs|5c~5B#7K{H`8&of7=M9(cVH{GlFrgA)9)9(bb?{HY$e zMhX605B!i4{G}dvlM?*39{6D;_**^jX62LMcN$>vB*@kt9#Jy*K|=|EA61UuKk9)W zQ-Xie13#_=|Dp$eLfPho&%R!><&#SAF1?zcQqulS5B#(e{JS1_ixT{&9{3q$Py1T~ zoY2#rRVj&(%#=K*R1(Zg$@3~(L`)4O(C1%Jg2Q^?7nNX35Bwh`IHCuBNeQNU;Fp!) zI(pz&lweyA{HhY{=z(8Tf{XRQ|5buZ^}w&I28l?y9{3GqAFrnYPI$wAQ^}ydh7#!G zZz}QGy%kf!|fqK12`vo)Ubh9{7DFxQQP410}eb9{58g z_;5Y&M@n!@J@CiMOI4`{{zO@G8$Ix+N^mVdyeg1hU1zg2>J>VdZ^+uU0Z{GGDqWA(t_E5UvBz&|L#{q?}x zl;Gp_z&|R%C+dN>E5U>Gz&|Nx%OM)zglE&AmDl?u4J9yJ{-UIRvL1McvgT9tz&n*S zpQ;D`RS7;#54=kW9;FA~tptzJ1OKK3kJSV3QFfN`8sLOStKXFjPS;QZo#hWDc%mNo zPbK}y8sG%_e<>ME)lfnLk!j&W&{NMO;LmIjVr)jA>we7VI&x;yJaD;wBr<1MQDkl` zaDmRc&WQ& z%k(8dZBolRq%WMLwq5#?%Yi`XF>B{AqiM*^rE_}F(xyo*?CDX~t+iYFlBtI4o4#;T z3;U!mnQFKb(-%%sJ0N|@hNUl_VzQAQW!+j&Nndh#au2RfUpA>}qtcg5 zwb&Wy3n!@^pT6Ysflo%Rsfk=)6S)aCLclhMO|^Ht+~yFtz*r}?IW&a3J8Z0v+zeX= zg6uwzZ*wp<<2DDrK_C=hn;T$U`&&7xtg5ZUYfWvha$LJj16(_Y2Z&P19r{YZmmv^P zD!D5&B|)W!%UvYgbPMd`4?xK>QXk416_xOB0Wtn0WqBmnJumX#bWRQp#Q^Sv zA52^2TCB1etMc`Y;kEx*m7CI5`4Cpwf>rs(#_&V`OqF)s$eZCsVpGX#*uMvls$|l- z$a^rkH(3|?xPDFKvzo}4wNt&_!9Fwn#hAbNrZD`9+k)K6dE)s3&>D88w_Sxyl zVOweJb&lD`1K9g^gp$nQupI1NaK2?;#Xq$cuGzh*U2 zV^cKL%y=xCR}+oiMe_SMuZb2u0goV0Mq_q^gePCSuiZ5*^JsCCnrLYSFjv6RKm0|D z6Ruld``EPlBj1Al@(Ne!N$E=`JbD4`^bd5=3Q)Trr)_Nlu|Z-5Ufn2qU}8yoT3RZs zhR91D&AKMHbfdbaGXdPrEnv)9;OkYxPE``u|67?C0u@ zEo5(ODSKlp*&8eMdt>YPx(R6uH&y_8ZWLxA17{)kR6)o<&H+7VqtL%$st_+*I2}aW zWTI{GzO=QCwiAV zZREbRb;;zKRwZdm=^(w5TF9+_~Pl%y?%ThC0m zO;6I6!mU>(+-4?eOX1c#6K=DUw54$ClZm#u`_gu7CferhOWSdoXuEJ<+WJQOiScb4 zoD;&{upWlTumR$UtoD&8Mi$!XS2Cjm0W>(_>5vsq|I`;rcsyh!`=x!>im*lC_>wu4 z9GA9aG-XfaLf-aLY*hT2h&-I`ndsy&jPMn$zykf?xr|w&F09!%f%?tItWB^8UAsn@ zJSQdAR5vPAOPn~zDf`Dn2D7;f|G=vchEfmYDZ*$eLu=&}>)KOCJLB=GPLk*VGAv>bu*jocL5x-aDYd5? zli|v-JF{c$@kMaq&Vn^fZ(W_xpi(bpFbHchlzF;L% z=_pOmF*=8tAmPOc64cK<+#03Ms~`rIJN~=!(Fkq0>`#!EMaXfMy|3)q#q%sCE)ma%sM_MTALCFx~uH7(rei0 zDj6eJrY}0sRn#7xzGPDMRq0Ez5Ha}$ye3hOo7$$0V%LLdOzMwkmgznWn=RF@@9J2|ILK;#&4$0@@9G@@n+f#H`62h zW_ncfW_l{!&Gc;gn<AU~)vitwXfA`0FpN4AwRuHI&!kakpy zEUk%tlKhf%8?PEId_;U;BS)lr9sAV(wM?w3ZuB#GEk94ZmY>13{G4CQFVZ*JeJ|af zX_IfytjS;cZ*pQyb)#R&CV!pSs}J30+6 zHgu1z^5c_To;b7LVW)SzN&Ng2BX`)t)7O&XlW<3Zac`CV>DF}p={srMDq``D-MmRt zH_!Cn1*1PE_vXafn@7JF25%nyA<^L9gTa5`2H);s@Q}jbciKm$H$}3+%WONbA$QvS z(_<69_r=Jac9--eQw)A*W(NOhUk1O^YbHxEcw+6%qd!Z7v+uC+7d1$>qww7oUetDY z7~E7C{H{z4UT7zJXOg?L4SrWf2EQvagYVpz!SBk*;EA<2kNzqRzAMq-zku5gpX7W;rC}^aQ6^?zqY;a&&b~QC)j)6LpZheW$*hlvUg(b z&1t^0cO=o?`C#t|w|6vd@8BX*$X$2#tfO|58tTBG>p|^n`>6B}Y8417b*(ymW7kcK zh%5#D<+fEZ-p)IX1&NdEH+J*1Kw1}o6={L#_e9XT?kcSGRqPLo^t?fF=Q!F>;f}=o zwSdckX}ElAADX@f)`)bi|JLKXn7a|*+WTrm!iUSR?ZeaaVJ%(@j@)$u`vn?GPqLOI zxHkZwX5vm`%#&;{c%8|FP#!H{{$kc9CJ3)Fhjke9xEcf=uZM>OVl z#G&y!B5*s2a(YwK+!5Qnh6UGB?Kb;&Eunrdv$r6 zeOg)`k>#m8V&sDKdp?jh<&kM%0nFTmk8?Pw0w+@oEM$Bco4oBQW(eoW|7D`lTr znXXT@@ZYBrYJxu1Que79{`Sa13bRLxq9SJUhh*C+)I*Ek}fho+3kRf*i1^hK;_9RS3hy< zaU}{p$*5@dSybaV-4-6_@MrCg8KR@_5IVS zKVC=uFZ)t|f>eJWuxE}QojCi*OMRO%4{$oLsdNC(XYTjR*M8@dbg;Vi04x@kk$Q4k zJ{YED0zZcW({imFcSy#Ad6c<(CwOr0;DnivMLn2*hrs+B1oxu)bSTWf%(k6WHabZ* zBIy#GtY+!Hzjp4+M#H80G&UOX@7m~BmyJ%9HX7+=qto`)h*AG;BX+qOF2{N`%S*|Ig~c(vwS*_x!AaGsi_`zGAOtHfbeIS!tmPW=Ts>i^i6`U|D{p)iE8 zk)}D!WiW1ET&rHr8h_dC-LpoDpVVIo^)**r`%5x#=M4lCv@s|CWOrVADZNb0LG#6G zazC-BtA;Lw2Eex__ZGES!18($XZyOK?U%D}RH<9L0v6|k-zNKE>%d_B(mjzZmhA2p z>}KwZUA}!%+Gm7_V0T60i%1~fo{}D+*hCk|PiVwU*@&6x8gZp;#Cm+!BG9k-7QnP7 zj>gD;({sXBs5ha-0)YK8EjC)LxG2$t_RN#*nU}6TOEc+!v3+&Gt1{76v@dPTGSODD zFKt)T<>KZT0*?~x)^5h!l;d}EV1KXIm}G~e!2Su-OS z6bxYYnSGx8t9w$_%yjSGJ-`3&`+ff2y&Za{tE;Q3tE;Q4tGPWmzsmNv1>1_M$X(#r z-wUz*y#Va*g`%YgQ*^A3QC}`XzK+!)9eW6O>><(I!&UE?3ES@2BBx`EamN-x#}|t_5|+P3h3DrqNOJ*5muugk3=o#P+IOv$6HZo%^07;bo4Y)_-7cM zrKA!r+f`6ywWo?a7>szI^fQb>Qs5+p9KMwM%gAvI__7kmG4wM8jm#nQsiV$6m8tVJ zRp&HriRG3#oPG{-x(qn|oZ$5H4sY6Oxx$mAnM-~@y$2X_A2+4<00lFJ*D)FFy4D96 z+oHW4|5VR*Iz4*__iQKh>>bh4yN;99voPH)7N*3VsgL$9Oug<*9iNg8T$t{0 z+WQvPIow)yow~e9*QvV~8_{FZaO&=-71DI->SHSD)P)KuoVxlLG$sR`x(6I8c$^s@ zxKs!8Vz+SW`iP7Vj|Vz4K6Jo)nEJ5`-dUfFP1&8Xs5^m7Jt%AM(}4EQN-0G6ID24w zhh*)27SP@}qXP_0g%4gIm#TlC%e*&ViuQ!_!=dNd-u`*LH#$CGAK1SmPJ15l_{we1 z5f2~ub5M5z#p7!k_;1qzj}P<*g8$CpjtBc6T<$p7`-FRZD(ruhf&VET@Hl}$Aof4Y z!2g;K_z9`7|4l~wKhmK+PFN6#{hu=Mf29Ndf>hX7IN&{s<(LcJ83+@-ip3|X6H$9X z10kx}Ua^dE5R(IWnnIIoub3oHQCy#-h(+LelX79lFd71U1H6`(7`3I-c=!mOUoJ5c z(l5;2PnDl|#!s$ZhL z4r#8Q*DndR(kF$+>6eN@#FJ93*RrD#*iFgMp44hZb740nq}R}LqERTz(lfPs(HN9L zNK$B$y=;W1;ALYp&mMZ2*Tc}u(X@ZSS=eVBd)XrC)Xr|4IN?$M`)*6UL;68e=w3k?B9!L?9{qlK8z zLYIOTsv)${D9}PRxfarQKv^v)tER7mvg4o(=J$+xwq}ASiDs%@8DH=<$ixWp1xM>R z{>B^4lskHV7z_3I)+CR8MH@NOR5KSoKCe$x&6_;2qMKte+JH78KP*Pa+BAhCX~q>&n0{~7G{FwxQPTU{tcE8EtB7rE#mMb5%HE)7je`Qh&Yd;i3V6<;xl(Y}fIx`ejVT1_w48>MR#G^Mm;88Zi7%t@ym8uzr{0a)H*k&02lEU4u`%>X{s+|?3T61uFM>H+#N}?ojs^z%;fI<&* z4?whsIn3};0;nY4sx(!yl|2aR_ril`zEL0*bdhNKIJY9PR^}Tmlog|m{P~LX`HHPr zs-fo_az6zfs`HIHaztQ>746NJSv-|DEQ0zrLu(+Bh}vEQVWKQhu4MouSc$N56Dg|! z=m3_5po;ZYFw}MmL}~jcNWT=bpJX+-Kq)%3h11n7a32{k&>PveKymz->e>a$g*wJ% zzT!AEUn5)87Asbu_8?XbQ9Fm_xa(L9b)gYg_7M12omCe?F@#k|5W_4igV;a`QMQI& zsMyk_G+Su2Rky(T9>A4_Y0rQQRaq>F;j=&$!$}-)4=AiUA+6?miY7nP7b>!ZM{qVr z_)-yBLoivWz?sk5nXrPrNxubF=3DiB`upLEjJ6p)GkU}C%#8UN4>~LLqgu`}{T3~g zucEI*X;&=+KG(jUnDN?$0ZxXZK%P$efi6c+B8 z`sG^f=rCBgXXx)k*>EV!*0;c7egu?dW%P!!kx-VC(HhD|L0Pqo2cc{m{D3P-Y}NtVr=DY#GZ)AhyiI3TH5#`X5f)m-n!0eNFV< z!*T83^3M7qTOSr==4Xn4ezqj*4Wk!XNzxknCHW#pk}s*cB%AHpl6KK(Yq1qME3?Px-&p@ZxEt;W16CS zt4wrf%S9KXl7{HcaYQ#{s!VMQ$lIMkDs!>I6pwgRCh=x0R(Kw$wYbPsuPR$rRlT79XJ?pl4RQMI-DQl%7N@-8*1 zwpL$iDDHVB``Jqk`PLAfkCrGLI00+*$I@G?FH3)|{&;$8^<_rY*6Pa?J8M+?F00~N zeOcOkU#8?cl@R&O2z2-RQAIog<@7R@!VzofllA`kKv+xPtuNJ=hbuzIGK`D_tfdEM zjL$fquB8W}m9riE^8fN$`cYU*FVWlTOGDfBC81yRWtr#dOEYiRAI)5=n<;VmT6!R? zhgXQTbQf4lKOxrA%c1N^v6h|-Yvh$;EuDa}r}$brQ~_mAi?uX34x-P9we&zJTV<}L zGe5DX>&#E051Z3<<|qE?`eA3fezxkiiW^Sobg*0{+GNjvxnkO)6UTD6Mye80YG!XX9VkO=DbmrJE*({ zsw)H@%)3p?Sr*v5(2$h_b3iF3@d8J~@!M{PiQY&taBZ80Qt z1X$V8ufZJ4&@O@K>u88E1Go~QdPd<{#8iwsUXR}aY=^sr8&{xp)5=L0Tvs7 zKv|~V9LoNLvTAw>l>HaV_)Y5b9f_YWllZ@EiPzhLkp%}5v^7Bzze+I`35oxk%Y>;v zV_Kl7Q*l_O2%PkZ!zx9FQ0__Bwa!{!$Vy~iHr|XYlrt2(&vX?E&Pk`Q5`^a}1h$Z4 zx*&WftyU~#st|3BO;C6WRw1#Bs?U~Y>z2zkmTAwHF`l2=o-Lu+fC88;W7#(Aw5?X^ zjC+Du_pBo3kFze80|&9j;Gz1g!q@2I&9e#%+P};3EHXXrafo@3jF{D{PE6bqi}6I$ zVskkb!Ni1g(@?;PnQIdh_Fv6$a4?9Ns}*;<3u4BAp!m`eeYL{)hnQM*Q)PDjo3DvX-d&ZwCVqb4Cn&4n;(3an9c&|&mJ8KVoU&S}Hd26`vLtZlIaMGaxF@S_G&v_>&GGu2Lr`usHFb=p zX6YME&8t3M&DQPl+QJ#HJg2o|G_`>7+ER?yRu1bAs`1(ev96t;b)HnT zXmmWEO5m|2_PoM(_>UUC&l4WDcX)V`#lv!YP-x|{LD8Y=JTzOkdDzk6AwN;!@USEB z5YAG7t=8G$;d+?DVWFe#3*zBgMYcyV{7&ZA*t^S?u4*>Mx&vq6#`~W~XYfj$M7(;& zx>%3Ebut!!*y%$zh36;34<*CI`Mg$fOjan$)!?@{1(qU%U|zjKyH%Uz%&$}VsrNzY z&9C99;?#SqG)}LE-E|Svf{7W<&BxAw|LJsrx>kq_Ut|t~A>Uhw%gLZa`v`HFrRBu> zLRl6BYSxSOgEGFZ+~vCXBp#e2U~rBUgL71Dw3&mo+GH?@ zav`MnT38Z|<(qP1kUCz1ael@?y7@>P^W!CvFen+maZ~taD7P{P@qgZ#jGYUHM+5jH zCum+$oIep`%E^iHlDG)S0vS6W0EweKWNcp$IJN;zeo7y7faL>=O$_#d@$YbV)+?MI z!df#=vWQa@pjj?vIp`#WI3E#ol4ZT(Y91a1lABL)kjL-S3?1u@v!&JstR-TX)l9~& z0J3FM@K;JJ(WT0IW$**QHHje(fB-SBVqrv#2#W+C3t6wcS{h*;CRoFjpXs6<*Oo{> zrAsWpJ4f9-QT^ z^zM332opLbG%z&8HY@bH8XT{wuP`ezxUX8=s&!0ToQ6RG*M4x{cIEuzq7mU0;9lJ7 z{8SA;NQ3`u-+JIn@a_(`&h|jlkZmUt1OlJUk$wPoiP@lBH$@T9RnuRBG#u+Qj_NOG z#`O)E9rcZ3mYxPvUVX5MZWOb09?a4=iCKCZ*hDuAn`jN#ME@2x(f3ex3zSvU4nWzh zP?oLtgtD1XR$XraWw$|DP6##vVzZzu66ykFv!N`^r&ZgY%DOf-hHuY{%WA5J!f;s) z6mUa%jy)HL&V@)=zMa)AcrLypjk)+$g*naV;z%7B_T*6Nt7*^0uX^=Jz+8M+nsf2I z^ycEt3R4ehxXl!^HDG#4hLmP{C+6~fr~iwFknnt%fmyGD#BLT+%D0xdl->uAr zwPN>xRE0DyrT0Qvmd2&@J}Ar7xRl-xWu}xq0A+k@shcf*dN-N$&9|j5auU!irfE6b zf~9X^8q&8lrSu8wGWHNOu?SC)TU7^0z<(8c1pb!L8rMlRGo4SiDo>8UaOeQAmr>aA z;18k8>BsW3)Ku|rrBVtli<~pi`f}?i5B$KtRwQF9ld)CFSQ&0-n_^di2SLT2hreW6 zfG$jva@&+U-4wYq=l3Z-ckGvKA{iOBOUf<#MbO## z?selh0EfP_^;k@NZ!a5c*TH(ZO2Z_0!)T>Ik}O0vq^JDeP^8{K%I^)YSj9F8bIi#N z>~#E1-RX$ zM&xO`;#?ffsIcAWtKg|a*X=&KZZ+u|TLQAYB5MLMLf=vlirSQK89k)UN3V05f6J&v z<=M8|sXW_jwAa&HqrI&(!=YbluYJj;=tii?!37&+$xqb!WqPF3jP&~>-RXeG)tN{ziG6FMlGFV0}IA$>>mT<&tgjFp?Hw&DTc_c*n(`wT zp0Im)(nJl?OI)CD3vJV14}Gt{k+DI4GXw5_&g!PWm9&Zq@8@NRAwXJmt2yocMx8IVXZ_8zy3Ga!*-Y%jNqGq*z7J}9f6xf;s$ zLs?GNH&Au}$|6~Nq3nGq3unIuWgkFUH2Ya7`w+^&QJS;OwyATr$!zM6Y@0g1Sj*>? z^2Wh{3Gl8S4791a+tM=)?^38&n1+Sf2zh5dO~wvEOP}F^yi1wph<@2euEWU;x=Rto zN?kO&L~{3F-!OHq?NV%Zb7u}j_VXCv*cW^XFxBG`^O2yoTcI!Lo584Y&F*2r zZbfz_Y66V% zkB$9E?7=;%ywyBT?I(=Q9))j#JH1mebC1FTrp(-vVw(0abB`h-gEDiEB2I#uwR==^ zY|T>W?T?6?$=ENHQu2eRRg;80aczZkIMWp}e5yEp!q;Pzt8wimDP%hQ_bAT2rq7*g0i<6yTDOaJ3t3Sp!R^<6&m>^IBq`~zt5-!#_P?Efzr%$6ib zZr)GZbCZ{qp6BL$#k_^O{k~7nSQ~C=;ZFUeA1DSN7Z}$Wl2=XY3?U-;f#Q@5T8e)_ z7gxZUz@@n5W5}zXo{!$4&`>(c??csX!(@N;L#0m>n&VAURr!%(Xj66Zkz$H* z#&eKT{YVwDPVoOoq2}q>`!KG}RSZ7pCcpg&eyoK9)&(CM^7JI4Bk4?nA1hQ3RZ<@- z%mmu0kJ3K){h`t#bX3ZRzA@;!Vw|+GKqMjVo&@&?jn+~EQ}gtoQqaZ1CfIRvP<1dN z&~bB6kqjx&adS|y@S={JgQ+rW0iC=qtAi@%y%&6KDLJWuc93c#w1r;%(&{%lkS zlKGd$z$LRw@1gGwJ+JS{>Z|Y0x?10rwO)TO`y_pDc4zpzQQucBU*B7;i@rZ+zP>N# z6@72c*ZOci;SyuH)TD`m|lx0@m17$HNt5$sjl;uHLcK8M;GoY+` zcp{X=p)4o-7nJ2gStR@glqH}HTugc6Y}ZZRIEm{fufTTQWDNx`6Kuw3LhxFE>n5)# z&~-xt;|?jdF3in%)1^VDn?tJHzI=&|myuX$A5tVQ$}6E?%99s~bvaq@9a0@^fmJ-; zK&6YgLyFV$zD3+2#iU7x{UM*Owl?@;Vvz)-39^+BD`x!Yb(k!Nm9wyd@}DUZhUJw& zMX{Ko`1yS~7?sZy4ho9&XNp@^=q&r0(O9Zq=`4F#5mZQ*0*95slFlr9xN?Sjjr0un z&(k;DYo%wnf1aM<{y7yy6mOC-DdYMKI5eNJA!BF8E_=Sw?x{q)Nk%$1pSk+_m{zqd z1>t%(gQO1W3-!aH9R0JOonxjP+1fAIc#7B(s67Z!;Un^lbxM--cd;;3pol@(TTf+5UWD?%e zmT)}}qOfdSu^zXE5^zXH$`VWGp=COqBHm$VnGFr8< zkCwnO8W9br4KB-tyC^J$fHM}GH+oQe>nBCtjM%y2ZfM_?sy`tN2Rj%JmSO1J;-g&z z)*&v%J#7ioHA0y3x{@8O-&9@-zO`x%6-h^aQ=BidI^w&s`8U*&YaCKv zBO`S;Sx35)j{L4juLf?aR2}(U;X;#j*ppNC%P=|RYg)#sFXiPel7Ua9{Po{liBGv6X1!YcNB=-NFn6 zH_qY|?(06de^Pwcz=V9i_L#N;OxUB^pIQZ+<2h9yq7R4Ph5AbU8TkD%6bfa*xt>0u zF`={IcS)!$v=)B<$OvadZPPbYUo(zrD^i{F$-6@w-5Hp!>Fa#&%Xnow34JfbV$-Qt z#W*ylzSIY4w=eyG;-L&NvtcPeqVV!macwxZE#-$4ZafuS3_GolUHHQE?xn?HDe|IL zTQ7&CzOQJV^w+fB`n%c){Znm%{-riW|602V-ruVKr0v&#)?4bo=(F@+^-uKQLLKzq zL-X`MLPvCS=19UMumYApZ-_%6P0^ZfGr( z@mRgQ&?8XBWA$Pgkl!zl$LhsHzd;#~)id}cWgAWK6r5Zp?|?H!&$O(+A?8xT4vu%t zIgU`siCNy~_Br>wFVH!6C^n#gllV)A#2zUS0s8Jr4UGFB zDfnA;HrSE^-%{>xi4+|1O2H9<;!y|1H9%7?1#PJme65gz?`{AKe6JMaMO2c&+ve`s`?owQk4;jr-U^hf8hs*g@gVg`!3H%K#F zux#jPbV9KK1u!~|kVIIFEG#VG8I&hONWC5m!R&aml}(bN~u{wx+;gBOjvY@uq3>~k`O&9N}*Yd5{0nT3KAB;`i}q8!eVyP z78Y|;2j+CdEG%__y!8Z$>pLW--bq881SRu+fOj$! zGUWMDB-4HSs?!u6oMkYOp;G>Mh}987IzPu=8Wa5m_;cKZAbDH23#_1jESm zn5{}ee>bvLL)nVRLmrj`ht$j+N{``^tx9Ozn6@BK;Q-sz&W45U(FK(FzBby#^6spJ zve6Lu%OU1p#t`oi7$Szia7Sn)X4^==WH>|MOnV5BKE<_%|4+}vW+&~@GS(R_XIWB| z#!Nh}>N7DWF*D9~X5w@3Onf$w_Z&gubB*!VF5En8r*iCxd=mi^t$JMBASD_(wHAU; z73s0O_c?{CBY7C>$ir9|TyD-vo{9$wxEX(*LzeLtvdv3R^k8~Gim7qoY8Z~@cDV4B zkmj2k6D(RaH_k`RL$Lt`y!nZ?IO>DUTWa)LKq_)}B@|Y~xbSm3*2YcFI@p`Rd48bc zKq=-Vd<4GiCjF8Jf17DbJi|V$in1faKCI03f~g%=m|?yPb3$R4*Lp3R*YFhVgfcEl z#p|$Y1?J~9YF=w@TAz~@PV*O%D5)_$`@ZL@-T5k=-X9zPVwl87P-lZ2;- zB8uZ`Bwz@>RGd@v4Zn!X5^b~15_poZ1+|5|vm^Uy)DVO%Q+F)iyitMw6JLF@2w(^A}ZLv>PhsJC%LbUoLEV@&5<5UKC7 zbod9K?5<1ISwk6{7~Gy2Y1YiR-s$WN+}Z1)Ww<3BTE8K8mf2Z73~{I2jNV%oJ^8Verh{#l{U%DJI9rprI?IUs82ktnhY4)+NK=Q!-_Q zijhR_wa2EmS2i|pbjZ}qBGVr!$yA~eQcfn{qNGGI&kLJ|nm{FS?N%v$C?!jb>C!LB z>HScNajpDIShD*lS%N6}heOFfWR$$gq2xA`lKQnkT?iv1uo;X79nO#lY);nh9P2|;ii@1+H6HFtcjQ^e;hfV0H|Co1sVai z@-YiPFlIjs;4yP~tlw+%7@j0E+071*H(NZOo07*hR54t(i7|Yo^Ix@aWhh6CV)|)ikbAj3GQQYyJQk909D30&*DI#|+vH6=Q zM1iW0Cdwrta?0QCcaa%)ZZhsn8uPGdG*MUuk(%6nKVN%Xd(v^UipZ2F6>ioLciiK9 z0Ygm$6S-gPN${?kS#uu%@2Z(KSJMvLQUy;E4Sug0t1i;3i5Y@0%O< zSypLt<9=+F-UocYU-13`Tfv0xfomB+%0goT6bzMs6I5wC0<2P!iZxU!&U468d4Q$1 z0Cw##A4?Ty-udxz=NP-MUN0%#A_cUC4$?2FqpDPSpb@m0omUrTciMv5t|F;)eRbt& z4!zjPbH`IFzErVhr;}Z&VhKVg^-{&W!wnx~&+?Nt8KD!j?x9jw2ZzSkqXnKMqh+Bj zuKHq_4`J6&uN@$+Pc4$`+UeQ|ZM381N_qU97Idm`KxbuuW1ARIFFqVy0~Z+GM~mg1b85gry^9WqvU;p5J6VpEO<&f=>>%hAt909VpSg zp|Xw4DCiVKMg+J>5TGt##|f~`A;61*0D!S?ylQ%QTJ}nCySCCYN4C+J{uOu}pDs1= zdcjyM#VziXu8R$00j`&V9&5q zCOl;FQOVzFKe8-%DX!=;Usq$<{5_m@67P4g9R8kPt7X0q^QX*CaJ7_p{}hYx_x!Mt z`98{@GWjVX@qQMI@%Q|Akog{h!ufkR*CXDa&*J=jKFhb>C-_rlrvg?W-gjpO{Cy!S zwB8r-r_4^pW%25)I2n&F)M~vH&l}A$Sv3}BQD(3ND|#WG-+zVHaD`T~LMvRM<*(54 zR%p2^wCZrQ0uJ=N6fYRv^o4lw#uL}ZnMvH|<187km53iF=m>OLTRn>2)J??exo`5& zn}&&aBlk@ly=jt&pWwbppf^nu@n-IuLiDC(BHqec|-Qpt9vUYLrM7)m)JN(Pq>c@#zy|A-=pnHh) zk~RznbPti((k=$jeO=IXOwi$vlkov2${cwIvTxordXhEO~@f&QQLq!f>n>{xN zqT(O?!$g{IyOu+*VMN^kD*tv-=?D&ivHY(*ep@gq!$t048>kfx@y)FW$K;zT+UwM3fFao2E zytEM*gOj$p2#g_|0R$Gf2=I%|%K2Y;{NZ2(&cugw<7x_wB`rBD80(dRMJ@u}q47=p zuROja7=g1YAp_%r5g6x1V5y5h4`}>V{#PD*xN*0>1tg~s3Hf93JD!3dlm#2Rao;JQIf`FQzZ{L5&F#uI4Wq}g81&ugon zVX8Vb$r#-fv&;n|Rh6xr0MrW>C@>WV5>UaYT*s3Pk;i|CPsI4kqkHL2`x&BMgYxN`5I?kYRN((ZxWT4K8Vh`?`j;_$1?a#2=?O zlZ*za802)L+Z)JD5&Mn*mB%*+_vR8p3rmM7#pF^iZ??F+8EtkzS%0!uHePeW~qdks*w{N)!z=4yz*8HzL{!TCgR~Qi_8I-psDU|DpE4{R}mh*hQcbQo0_JR9m-6^$ z!5q8+XWy}I)azm4TGXa;9Qy(7`EUGQ4a$@$zD% z6M#^rzfBP8Y7p~A{I5Lzbs(W2&qR>XUkOUxLKH2C)HiP5uB|ksZY5j?Xy3ZfrdJx; zOhPh%_MHpu`T%H1I(-8Xg1(4O0chX5&~ETSL%J#e)@(0kKe(`N;@;*?wOB3TAo&lg zg&ZWuvEyt938eaSTpZPs!oTr5@YwcmY>Lc$F)~}%HbrhPk3&L$7b6Qqen3Z_=HTwc zKtV&JwOMV^=-;e1YP1fkBX2YxeB^ z7L&@JZs$YdF!X)G{eGtTJ({6?lcYnAd4X$&xHgX{^WKU40uw&hL$km#EaQLW`6YmZ z-@Opm?)I!2l97SF{vL<$cU=>4?H)3+Z-$1>_SLN~se7-dt{^lT)@t#*-y@#+4P5b@ zLqt8$+J{UNo^hPt&;kG}k2}%v${xN>#=&az=F53 z4!(<0TVR|B>QWMNQUGUJzV}s-pGP7$2mt6dQqX*dIyT64o5IF^ip|5^F7)dWQrLWx z8U}BDR$tKK1Xdr>q5*4Q(&A@0G)W_^LgL_=-^TQSDxQVG7l^12$5(xTZFm>RXp2U5jJ{E(<5iy(9xMeRW9^anS`srjN#io%D>!O z$bLD0)#wa6cn&7$=B&BEWHoD!#GnOhAu!3E zxG8sHGWU{Axs#Lm&zT2Mc!GB{!{o1p@Jv2xtOIEPvn6XOV7|awBABgMD<90*=_btV zK$uwwW@`qs5m4+!)*8WV!`k>^b~j-fc|kxe0mxs!Dd+2C&UcCYmtBSQD5X_3FRj|L zwgQKZtS!Q!9fL{A95Ru3CfbCKYe_};F@zC2sn;=YA&HE1%Cyhz@qFoO7PM#W1*)&G z_6XIJ*hw-}Ul0oqnVk!bS$X|2sxJuf4z6RNdX?2l__4P|^SK5y&mn+xZ^rT3+6&5j z0q&^aEsaO{< zHrJ=YI*wfyQCRC0L=bB!bKF{k8l=S|p?FAkW8FkIcd%}#o2RlZt%$}Q6mnB>0i>%qxSwaO^FuhnW zf$Mv$7sB;)cDfALS5mPuV2Hkw1}m+R20ungka`7ct2gT{aNWmxBV7BiJ}$0C9is#* z;a3zclSlz&`Di?*`?9{GtplttYO5dX=e9*h+N-JP5-_G;tsGqp30?ZL{sPwzSbv1; z8SD%fSD=f5>GG-~O^S~$c<2vc14LUNu>q*9fo!1L7NyJPRCEa#`kO09mmCRQ2C+c` z*Mn>j!gVkk?BWV^$-#8ltT?ynrHfBRSQ~MCH}mlnDq;v5B2YWTh9K02vY{?&l#H)c zK}EclN=1kj+Auaupz;|ThEN&KhFhrkX7v$lgsAWZ8-Xf}WFuv`Z%riv0V-o_8X_Rj zAH_xqY>u!|2%FJtw2O^VOy-EKs)Q;0$fI|TFkAssXpAvzj6mcoHU=SbCOgwbgtBy7 zDzXG)O+X;eXXi^nc9gEnBbQ@+ zx!q_Y{WAa8ME-A97r{TXlBz_Xf97B8UjoTL*uM~x7qANilGr~J<9-j(u?yLSqRxNW zg{aO%Hqq@E6|T1wS7pom6mKar^!e1|MeHJhQw6&S;dC*(*xwKC6B7NH#3qS4$JiuP z=Mr{_+Ycg?Z>z3%B@B97L|90qgJ&WdO3a>1*`*wjgw8HSh)iaaeaK@c87z-DB(H!c zLau;6?F#s1>@v|tCc6x^aXGu(>@44o6GWN9rikyd*%b8MR5sOzOQO1{a0R?+jFtJ&3VHw8JaVb_T740a9r?pk)O`yD37J1RW_os9OUU(2%r&`!RiutInz z<8CKcn<_#TQyJIZC1Fv66N!8mK$%6QC`2vicEb4XQuPj>a@BUFU^eK}X>6LHYyq2w zC_9}^52oxch3yu;KanVOJMg^A=IhvXqLE^D9ctuycD>t(VDk(%Lwv{B4D{U%>;~sM z(!JeAQ>o06gS6Uiqg47O-{_@Mzgv-k&)OEgk=-aTt;udgnBK&05}0BQ&j++G-e9-l z3R|Ay0vK~MyIHhw9J?8{@Nf2SlNREP7L9t?tx8cq5?}y56!~`QV`1B!ZsG`l*;hg1 zM54AU8p(79#~u=_1B0WE3t$}qURD^2fQaL`_MRu!BvIdj1}mI$0!r~dn%sDngPcU){DVr`BxHM9@B(3-i>Uad5=553TuyU@0n&~l9+LH<~rxd`Sp zSK!f*$Yu{(_7--F(6TMrElA7W%5HUL95CRbVfZ5pm5&wiDLivM83N!1l=57BoJh2C zJGNDHj5nA_w04VMx2SiOm>g!ZnF8&$Y$ihcHg=nD`iXT1p#m?!&!aO$%~@=gsM(&) zLN#Zz+5VcR+g5ORaUyY&i^`iW>4AcC*c<_{Bb$Q&-p+2v6ol{YV0Vb`IWOf(&ZZ4baeusz2ry|%inAH|>PjOLy%SGL<{tl%w5=!xD<+ygp zkmsA?lXz~C_xrQua=>t>4kOolM53PyHO zPOc^t)KpBkM~%)>f+YrEt{qh@u4C6*vwDDk@Tej;QDU&DhxTHR8ZD&w_{e-zXiz1Y zQM!R$rY?oSdJnrth}JN64-&0=*}Yh_;Jf?Sed4^}6}{p^1CJ4~UkRVx?J%K-5K z_JDvmiamfJ&S&!lL~K~+PNSgPOUdH5@V`!BmCz{OuN4fk)&mRJ0)h4zwg92MkS#O` z5n!!-35rqvT6I|f9g6U&JexYT62CSsQqm1r@*sOq^ldDA5cTaL_K?G5GTlMr z@_c4=Sq7gzplqEQPw@G(^3T0UJR|?qHJ`r5C(^)t0*hFP;lu1<(dTjOVbteEY*BEZ zzY%B3gU4C4v14p~<7qAEWJCfdu)qgA5*IjH?Lt?p?Fm+mm&jBj1UOo%af%CMFZ8>M zeM|LXwpeui3bq(^{So$v=(>3~O?hIPc{PoD8M^iZ&a%Ks309VMq4uNfQ33Kg_9z0m zge@T;Z}fru5eL*lh_RrT1#>A|Dq!BsmLizT*fIihrhu79+~#(6KLPU?drW|t%^pKw zmb2vq%$*jPyIe5u6EKgn#|4;q>~REU1zSPDKpZ4o!Kv~RIA}CL>rwEj#0t&j$Ui(#SS6K1O_FBRs_tWfY z0rN5TG=ljIdxpS#!ouuH7qd^qnFtHYDz-{Md5Wzv$wQMBiH-ex5xqAgyQ5BS>r6T7ujrA1nTgPp1lyFg*%PA+-a-G|7?z z{uY)*@Lymr1Y`P_7gI2NEKJw2bpp~>whlphk-bPTeba|&1!j#sM$rOPd8pxUkzUn~ zmpD58;T$f2a)vu@4jQ}(RVMim!0qM3PO)493@oH zA}PF$WOE7Nc99Pd4+fp&UviBk(RmTYa+T`5h$@7@T#s*H8wAyUU>gwCHnNQ-)%*)v z=%D;&W4;TON&`R+WVJGiC}fbTTjf=9&LR*nE&xJ%?A|o(4n5bCSSRtTE2O_#vs$!2 zaO-z#{L^rKJ*wJ2AQ~#FP{Mr7!dTeEHVJP1$u=Qwy~17*+>&}v015f|=Lp!w0Qyz- zssQ~LdliA+%r-m22cJ9!i1H-IBq9O6JXs;;iA05~PJd6w%!qjz^0y1%&vXFfd2PRA zE`YyG05aRpAoi?l`x(S`>YXUiOpx66`x}-akiR3^sJsC3+Xvcyaj}D7Tcg&&QW*~U zWY@&SA>VVjwIIvEA*{h7?F;@_UQk_vLjteGZTnM(Ljf`MTpS8WM}Q7t7l#nE4;npo zq`V*|!J!bZHEbNLfhw_Di#*IM$a9z(&P+!dioE~~7eID807?ig1}6&KD3NeZIsh11 zPyhfoN+cXk2S5#yf(!sy=`-M{VK_G(05wHuoGpIbujTH2(GJ%WjqvzD=e`S0a8Jz&Y+}D) z*tNxRdCBU7^tQIhU?%yJ_cnK~1>Z~i+UhGRC^~6g5vSKyo!sTn7BPPw$h9B|deoL(ISYOPII|Iup#}+FI%7 z5HbO1-%(!BRYsQ6)MSZkuc?58y-sn_c_!Lm8KV{g$kb!fPf1{kUP}?e!ec@TdO{!f zVm#_9!er>WudX6JwTL%)h{BcI`Lug=6$>A3*A<*j;hrI<1rejVs)Zzn+lRtED{Z)E z%D?1r`%$=8$-nmCtGbg^Oa`yB*TrOT274V%25+!8+{u8nt=ck+xC?+<*sic0-)g&} zo+l+*!2s7RFkm3@oB;)cxUhk)A%am~v4Km4xxR>ilZ_C7y&HG7zGA1I3UYnbi79g- z@g{py5PArE6A^kl+a65l`f8QP*AH*8w*@5WGZT7YdqC6H20!<5syX4LnJK0Xr7F`BGMtU9}e%ZcLWY&*gFV^ciFpk ze<9ulSZL3qn3D|^3q9@$fu_%Nn=bM-y^HM*Q*V>y3%s@2&?La19thkS(>~ z-136+@r>l;b&u=E8)48bwq0tii?~Ovpom|c$i;3C+aqXk0o#LU@g956Ckoz3sl9Bk zs5z1CMK$-aeg2v#QVJ--vGx(RiNf|Wy;}v=#RITm5w2wtR;_|g@UBd(>jk(~URwYF zJXR$NCb_aw*Mw`52n^M1jw$Bk?Qk1KoR}cybRg#S zn3!!8QF&h2ip5{eM8OQVD=kf0*yE<)Mi7rb$Z;&ats=4)qH}`M#y}m_?i6nWAC-d7 z6_-lh4TQMa^Z8`CSmApG0kC|srKUq$;~XhWYP_^n>@aX^8R&=E)>av^Bs%AzcU-$y ziV6vb(gF5D>8FvQ9yDgkKPBcWK)pr&DOshpHRP5pmC3fkSrlyYS<{4d-w2;3TBkEa z+k1!TEv{U*O$We9o`V<#x4Hn@rvspa*H)hC0_Z>hm@($6-0^X}Bk`qzGs=za33sYA zv`${?%(8F;@^&H6Bo4;TUiaQ?w{xcm03hppI{5(0Sr^68B|74|D5H`oO!)?y(3Q8- zuT^e$ShOsHKghNggZ&zJs_LSWWU{{RlD4MkVhom#BQoT=D0GTgDZ_%R;7*M1$-?O! zJf0|>PgaERaoAu>?q~ajML3u3M;74$cEC32N!-7Fve<|SZkz5Po~$^VLbYm_xHd;h zEWR$9=Sp8UBEIPity4THfeY?-2SaxgnwSE8WbUePjZiXoRS7)jRSGWp2? z@0Hk4L2jzhxvsm)wBp|82k`LduGow&m=9ksq+ctdSI9u^uDTi;qEF}`?XF6i>w&9? zv;nxeKT&)ymyQ)GmE8@wsT2XYF!U9}932TfIBv?N7IT zxQ#&mDesuijdYqyUlF36CXR>&4oqn2P zXWR?3z8uf{iAcn?HPTNbPI6-yaQX0=CnUh~Ebt!?h!5F^LLeSxA0mPHh<#+v20StX zXARVQD8?FOqTv3D+#_CImix5M5I@05s7~FPf;rw{VJGBy%fCjh)BU?U>^~Y z)6*7_(-qBN5fN?tQ=K+NM1TzL{OOeukzT1qt ztVqxoZnr^9Y@nzbQVxA9BPM+m9zj8?M1h_B8LZ9$L1J=<9TH;lBs+w}(kq!;AAOGK)GvK8@#~WQX^Tn!baV-DRHjR+*lklx6xyVJWyGXk zYB5>qYLh{xm^f!*A+sC5AE*Eh&$!`}I5y-tYxh1;@VpCUhy==uGAMApqIrI-V1o-~ zmaW_h$syq{sMQdBJAM?$2PnYYWj}u-U;C z0p~JEw$u6$#riQ)AHF8>H$xN$4TQ1WnZlMI4u-EmK4eirLyU{1b|^Kihp4yl%{GJ# zdLxg9s6tM>jVN~Mc}IBI(KgHoELw1tq9?)z6KSX_L9nLz~6$Y}>5UODcm1Hu!$eN5iOa9>s7Y{7?p*g51xag~Rx)f)+^dGK> zSf|_`rr4|CLQam|>ci9a&ka}l=fJv$0S)QOKTXCCVZIMnj0L(*A8sf@#s~v3{1FN* z431Gi3c|;B%7lBjQn*Kmh+paA+SFkCWU%3GO2xn#VO%0*J*CSC6$Lq6cvS5{85W1t zSj4sGrmUj#MSngc`6U|BQVmtfbG`XUIzWoPBOu zNuJxW^pT1&NgWI$;#!522I2eBToZ@SJZ=N)faMqL3xV91>^)vB_L=Ljx3LE4%>>B~{PxcK0`7QgFfc)DBa;)coe6nD= z4e~qo9S2#cvF{Mb@7eblWFbV*d$2sqd?3&9 zob}}~X*SGX*{=d-3Huen{EhuaVAc{a6NSgQmfjf9B`%oX+3x~O9ril{^9TEbfN5ZX zar2#p$AYljX(RI|`%^$^%>G1B{>%PLpp^PLc%E0J!CP&y;V<@=0NIrNg+NxY3IejF z599<7XK`o$W`7Hqt=ZoQ<}r4Rz-(`I*3Fj`9>*Q}@CWD23%HdEn}Oyz^DUipZ41*i z0k$K5ascPSI~_`N0o;4yT>qDxw@@(z6+;4OXZ}QhW!5G4QxyC8%=5qNQ!DSQW z!tNfFGXX-TfZl^Y5$IV^l0{HX6uLK%g~x+w@qxu7z%v`Z&K8hP=T8JuH7Kb@@a*T~ z)~$c$t;8F1 zJBh||1@v~J4M9NXx+8cQP^Sm~D=)lPBA|DOY@D_-^`B9ctCTy*$Z!$5lc*X%$l6)w zBL<=bqIdGY^1=lYgzm!TsXby4QRE2CB{4xRLUV~93lLi9QfLJrvyuOm7sAzrfu}g< zVH>Yf2;EIWR$YYdCcz7U&|(*%Ct>#M$p6Xt_hF09MkqkZJq`8kSs+pPjM)ZU9v*(C5{mjkBJXY=9`u}(EnMaH z;aR|c68|eNER*!%Aw0E0A7I*Zvv0T)*$b!(=ss}$VXPZ?^+;Jz_4ShKi#*c=%qcD?9o&Tu`*7bM^T;E}N>KH8B-NLDq$e5uEU5Z>xH|G; z79OzOAZ}7KeI+()xb8O~pQ_&u{20STX3bOH9vN+ds)GY2kRZ=^R9G^vYf$wgxH|69 zHvv6bg<9KJrcoGFy$P<4yV*1Vr6jfv@`DCJQCnEw6?Fj5$B#j+oh)nZ z)PUA1a1Xfb#43Vd_QEg`pZ~_lasuSe4XO?cQkQ<100RS1I)-J3qf|6F2qsxjVwlb~ z+3v6lX;|TLm=W0d_sb4h2V$7#`SA?tUeXnu8w8VFt?iO76vCFMn7$yS$&V6APl>8$ zcqh-uWkJ=aV9KNFnV2A=^`k-6VU6c94pq&$SOvW9A>~%VaQN5#Spw5o~)4n7;?X#P&Z`!AvYH}EIYZZVV;yY0GzvIJg~h)SFI6RE_YgxQtME39A`*MQO*qQ$#yZomET;zSwb>oz}F+B3`D>6ctUgt}`2Ej1BXe9E5)7AHW-2@)(+Cq2Dr z+@L_i8I}3s3PDRNI@-7tP=MhEw$)+&M9@1CN=_8$netQw$kPeK$*3e*UflLK|BFMCXk~RpLVps;i=|-O|P@Ls! z%fYxrqzm%OT3dkJmbY5W_buC!R@*^IJKSnm(rTW(RVLzF)1gywfe%WexX_{#Y-PP* zZHWc;yGB6Wnr__}MP2wm9;+r)Kzrz0d(m$`&$TE0J_$-rBK?N50^|&LsFvc4Xf8_> z*LK@}$!?ox*AQ)Y0Qen5+k6t}K-%V;=^edoCyML2@Lsmz6%DrFb%Hvb1UxkM{hh0nMD3^H+_|C=2s!&(K}S z30pkC-HXKiXkf@!oz!$~VDwkakZ>n2-}!V-iW%;G&d{7AEQ9Dh(V+%$ZJrcrE^|eT zUKs53$e%Yl)KGEDFYQr7MHGCbrmsit#oe?=y1>&PVBD3Hg*X#20Ww4$+NeG@230Qye>`@5^AJKsO)36b0K;$zC<_FI34N) z2u9cHtt=wTkFPGeO@Y(I6V>@+s6lk(k1oobHk@S*L$^*(gGBC}@rh`2<% zcu>F%)Q(DOAo4-Drhv$O>NjDAz=0OyK|FqXVRF5Spe`~R>1dsx=8w|8*CdgQ)(eoj3*upv}?}6#1OWl zL(UWMF0hDV>1k$?yVLyP$(p8P?3ya>fQArfaR3x52@|CkkffI&*LeO!$i=6Q(*?PT zCu}O7kSxA1S$v6AuQ$BwE$U6?Po!QSDCvXiO@Vq8!G2Qe32%ngNM8WdS2S`3eYV{4XW)9gQv^9>Djpn69%`z{xP|*M0NNZN@VSOR5qt(h z$v}+HbT2+B(z3a(pQd1%nrvk}Xnr zEyegA1^pc*Xu-EmMiE+!hLX{k79e^y4adN{F`^#d5E(=2am9M3ryikU3xyYloLYe1 zs&eorh9BAPj(fZx|iL`z$l$?uOzt_c@>*(>K-u?WE)H@GK&hykG zShrGWD>NQkdB-D9u_S0c0a}|0N(l!k-A_3!vlz3>oMFke4KjmpYK!ctaFopMi|n)V>fPTqvMF#-9lEiBK{z z5c-PXg-=_fyL9d%S9^rorl(2TdN*ofJCJ-|1o&MfaDS3N5!^3^l8Z6!4$W5vb%`h=8-?2D432>Vv@P3*<5xg&fl1l>dUgaRr9!nvY6(NC_Ld{DB z)YbfnK;?GGWDM1u$AleuC+=HEMbay7M#32(M2U_nElYgY9o6~wlO*YMsH5V3Zn|aB zQF#>7p;$++90`hD22{CBP^^qU5sF<7C6{|B1|#eFWHGoZW6K@Lop6+^!;2|Udy0Vk z0)HZqr$WipK*%qe=I282S1%AwA#Wn7P-n$qVsV<3gbRS6yH{1Yt7Oc<&Waq>VuRf2 zXlER(>U5Obo>z#DzQmtMN3VpED+4>a!Rn~%-7q~{j!R<`!qz;&LHdGw&?W62bP4PM zw}P({J$RKrkse$PC07Ub;5Ao?I21ZL5I(nRuMzOK@h1ZRS}3_T5dNDEg+9)(9Dm>g z%=7yIPf_I}<5$@`=$(RtejNr*0~DqS^xoo61ik4{GCdHzom@nXItISCBh*!KfH{{r zcyz__;tn3yL0i`eJl^F`1P^YPUmu9ao&Yv^{zO{32}*7XZ0X~Go^%iF3Ague7VtmePXzwIq2%9z z@DB&TKMe~kpP__S<|`zXB}%P zxYP9UIfJB^Vk|{m3G5XpA$(ObOW^YZeNLxD=)mud;XAT-2f(;P!2gp!5%_mP36LpoBe+|H$HiQc`DL%K%9bb6 z)Hj8-LvDTu^;HG=c;Kq?e0!y|uOfdqwRZZd>}z+Qw{M`ea~II>Ec~E;Ehg_obCjyzT;lM>pxF#T%gi=~N{r_oer+?bELVv6p zok`>#=*&H$qnZ4Pbo5>*xi_$*S7Z1)UI+wK_{I7=@8 zWEKcSqx^{=x)4eh1|k{@vU>(8bTzVj1_jzZ4?MAVn7gw8f&OBK|~L;+~5~0$VB$=*iH) zo;(UL9u@Eze;l7Jnk}mqE!g3?KX~msm7{rHeBt zh6maQ+_UnSfLxnD5y;D-WI2Wmeu^N-BLX2m4z(W_kn8d%0(k|LtPqgR?6xIvtzFRe zN(ng$Lbq2&dN$BSBvmjJet(;NsBe=Q;8W7v4J&xfvM!$htey}Ux8zR*WA4Ry5@T$; zHdexmm7-ER{zNK01tm|Jl|*b|F);uqx)7X9 z^kWno)5vW&2FFP`0=Ei~SS1kb$e##;&qB$w7{M+=MT(BChIgw)y;JxTska77*5G;# zN=3L&NjK=AwbvHDaZ~utWb9j59GWi$RPF*P6HvSJCj#|3D0vRk7-FCTpkW%GsW6tP zW8q9p9Im(EVl(Hu4QFJH#g>Od!sh|8=LOO|_!B{TEtISkNJIV&I2PcyLC0Y`$q8gl zLcOGb*^56BnB1H50w!-COW4-Ii*=$B-+Nm}D!m9LFN#W*;fgkq%HdtPsCNc`BK5dO zkUxmlwG+bimE*Y#dfZy%KG^VB0F<$To@;AFl$KOK#=AKKe5aN*wN z{REc-P;vm{f;86q@a}z4?<)R8>Ty@x2cCMKKFAY#(na|B$|Qz(?3}M;zs0c+0kaPU z*4$J4A;J11DESCujda_`@a|(#ZyJ9h^$tSGK~Fu}+qmjVCCak6HeV{lbi?|5b^btS zEuH#RnU!OwBr=nk0zP%DSvq=-ig)YFSK)E>)4ZFY(0f0jS z_|5!@06z>RhY4`Vx#IzTp%=Iqbe}=Z&jeKNdHak&Mj0Tqr`AlR5txxkfvhS(JHvW@)%0^ZLxYGVcyo z6fQ1&wy-Q*QIsfZSaf{2qGU_SdnNnB73>}MDf=v3QKMarUN!oJD{7uvb4bnM;fmTL zYhPIV;&4TsopnB`b2wa4uYbL>>YW3>d+U8!@2hY{{nGlK>z@L@GwRQ+e^0ogL8w8Z zK@t2u(_lk`SHcwy7d2efa80n)Leaq8Z z_J!XqE#GSi{cUwdt8uN)h2IZaebegua7F7Gt>?A|{9C`<`cUi7!xe3=YI9qgIq~Hc(7FTkufxm^^E*5UzpFZI>;QD=II82sj+5ZGyyNzc zJHi!R>vnC`wJrSa>w2W?*Wrq8@ox3IHG<#q-KKWC3V!Ewd#KxD_$}+Ux!cxo#i<{j z`t7Mdge!WS)T4Kge(-yCkIQ-hK0Vg-c(uoC;fkIY_Pn;|b>WI$J$eoAH7ZfwsMefo~+3w-N4x9_8Um%;DhzCZWjyQ9~xNyao z%f{R^<`(#Ub^$d_b3pFKcNpJiJm5Wk&iIGML;uJBdS12j!r_YZrk!{D zd3V9@FB7sS0DkA|=jWea2)~`r?|=TlaK+>^CXbs8e4g5O>X}mk{*`B5IqAyD;fkwg zTs`+{;P(dWR2RXXsyIYRk3i5O7?YBOB>m%^{?yZMz1$mg+aAv!i z9pLxsnX_iz4!>{C{9xwC;fmXiyRG?ct>E{v+itoI@V;&HZF_Fp7p|C9Jgdp96XAE< ztjV*c!0%(T*3Mc7zrW4SnH>pN%~V6GJDR;K_7;1=3fL8U$6jLZJ@#H>?~1*PioqVc zqM~AqG1XK}G0{YgA%6b{9<0k=^!zMv%=g9r?EH4_&F;*5Z+7qYj+ILzm+nV-ZRt-- zf0pBydAcioNg*?oKWquo#B z_&pu>4BdnN_bl79Yfq#cAL$=iI1=X@*)Xz8WOq4!Z=1dS_abigF4((e?{+zUU&Vcm z_BBB{Xy3Gb@SA-P_Pg$PljHXn*k5ITHI$q8AK8z%jBChzM@7d(UzXz!u0FW$AkObl_@N<(hNJxW(2YZg z|HB;)4?YY#hwmJIdIbJ;B;-ijBOOpi9yxmi=YQ1uXuhKbP!2dc<>+)d{@D6s(Z>$U z@yAOY4?SKNd+9%qdz+MS$ra^1-da{Q?Vr#heN zhH}@bQ>V_#@uypy?tL2mclw*t4^RIs$Dav0)AURWlv~dnJ99#gKkIfj+u58bd!8M8 zc09^cXFofOc|Di)T*-6r_j6ay{c!G%9Dm;Ve8%&L+w%-BIRB>{f5CJi z|Am4mdt4ZEVVoQvlQpJf3|<#AAZAJo&Ld`1%;6a1-&JGA?TT_j?2=f-LF_+QJg#`l@mGposc|J3Ww$G%u8c+b=T-SC;_7O_t3g+* zqr83f(bXq%{I!5S|NhR#cxRWWSKdf4 z{S>B5|ChZaGoG(vCh67gHoQ9NMQ$&CQBqr1t8J5-=+sS;_Rs{-kSBm3RGu=Ign4lG zaDU|qNSeRIZlrw750+nxMQ8abjLPv(ne*#4YVkz9^HbJ_1-#DVRUY$eH>@~r4_}t) zbsx9o*lRk|!1QEU-b4q_bs}wK+1^wqmX64K-e@O^S>X;W$D2GK;Uw1=9J4;ID2k#cG>9cKM?=nGW9*G&~g{b zioUDSQI60%J&2bXrQ+{$l$0a&&JX0pqcxnBeAlC;9I;e!FfSgpH3-Vrcn3iv@T&hT zcOR+dfL=U$Kd>^XW%QK3m#Plx#iRHmE0>x^Q8|*S?!aC+n%sv~NNuC39MM#Ha4#HH zHkVaOjiag@*;IRgFCN`5Se4W|y2=qw)d%_FQT~xtP0gdM9O+blpf4P4yz5>)wU4%P z#M8vVzHrppT2?bHjJk5<)64*`*@%A=dMTM|V_CBx5xlI=* z`~1_^-nR)+@bvgVH0?<;nktS3JuRV_5I>=oL!ONVfy3$$oH=KFd!1V4KO7{?hfp4rikw+wc`Tp7W@4sM!g_k6LmCy3EJTV~8 zzT5cxLNiqON%B`dExsZKMe#k@=NBN}fDIQelk76e#YhZ{!fj|^o_8JAij5Sm^StXQ z-A4?L%6({Xo?op-3;#*!KT01G1Ek?Y8mVYC8!KE%+m)2=BnHWfJ88I7b2d)6ly#R< zx|JBH|8OfBDEeK_!q;BxYf66;1NJihhBlVFLVVYoT&*aZB3$j|Tute2V$fdF-DuEUpQSnac>$!k zjm{bB;J?J57pTRi3IBU}|5N&)7&sezkOt0@`kt+_S=bEWdbYWq(*4BX+30>Wc$Nb|M;Pce}HkALE|lI72BWMLl)r~Ln%Qt6mtF#k`-q``DZxYJJjb1=bd zq43ZD-#?W;DhBj5_^8@Xx3k5TB{gNnw*Fu%h4a3C=T$ne7~nVHz)4BieR{&@?jdZo@Z&e* z$4XxogZzek`I$dQIY0B~P_|b1^PBT$rB922euF+u18sRu;F)VzWD&x(->hpZ-CGRy z8+LC^7vCUU{LQ<#(#^$yzXdnfboEWb)!&Y*E8SfT`de~$4VSOLwg{JhdoHhZdol2D z(d}vA6F&G(x+mQx{Qm9wz0&u^;J;1}T?nANe+A`$c@b`}k1Ch?tO65F`Jwpd1hZlR5%M88l*2Qc2LL78u=5 z7A@i>^~8%ZX2is#qL`tHNqRs!3p*r&CUpgkGH}G?q_V(yw&>t@sS>^|%L}q2B7Ra| z{3v5cOi(I}A$1%@uwx>QQfC|~V@XU>Dvc$YB+G;7&;G7ZL-w%m5RfP zCd%?deDs5)2^W5jnb=7YU8y^|lo2K-E0sqWP1f^2Lv&iiSL%;1WsHdlO9L^ciZh)f zJ1gQWZN!-}*2JWxkyum38*j(Xi+D>r@urM9F>z@q=4j$nZ-@l57!i7DEA*7%CnhhA zg`Y+UhOXcVnn25Yn8|-kWH^fz(U>-)Q5lh964PizYDZ;1c2z`W z+KozOWQvJQ!;z^Oo!o)NiRet*(W#73F_~#RLTNIe|HEWSf2#Hq5vXZDP?fm9Z-(*Un;>CinT9UR+62Ap5f~MIhT>AS;7e zOt2jW^M3^N*CL?pGoY10EhgDcgW76fXJ)rWVB2qCD}!51v>gYxwE%a`#J&>&Zr=f} z4018qb{^z3*^cyaRnpttY(D!zgt+~OxH8Pegr|crPYm_MkEoyhai9w9ClTuDBh;1Q zE+##lggZ^T@{fUqu)8AO(@(rBV_r;rI*R#}asP{m`}7s}%Gei^pUz@GW&Hmp;y?Yx zzcL312S|rGAY~r-UF3oEnFo})KsZ4<%>^m*!F`br(r-Rc<^wM-Vk|1nKzWVLpX=X9na+s zb^ZutPeuL^`9qyQlsQB=h{z!+atJwy>RpfeoQqT zR+toD&UBwgKZV3M z!f`~}dFix6&h`ASIMj{*8l7*CQEg4BTk;q7|l#vpg zi84@9R>D&~Nw;iXA~%WLq|7(AZd>w`a3qnRUOhk2*r{_=;@zCol$%735;@A&yrgbV zc`7vF?SUTLM>v#lD7AyUNJ+4&sZc~ z%kwfki*T^lGpNEjpC890C&S~K*@TllKRCi!Uf+0FF2;nDiHotFsZfS$O@ z#dC;c_WFWXJU=ks z2a0?r^4;6Ycb1%&_z0bW=*pm4yq?SK|1q~Aam4k)ht-rAL) z($STlUd-V|MIID+Q0X3Tb36H2r!l;^a75vVZ`1kIeI+|%H(pXWqi{yGgS_o}vP0hG z?+J$#4*9knP^&-7hjhXr#qG;GxqVSPrRC?e@bfkDp9zne_U2_owiMY??I`c6ZpoO! zDMiLi6&aHPA!XLA%FBtYDYE9f%92|BS~90_Op!TLP3BZ(&u2d<-Hlfe*;8astt|E~ znI(e?=M)(Zri7YCz=)26A*7~MQn)vWq0bWHosc=$jj`FU#Wyu##Dwh0I zx8z%bL-`ESd|p-LQ;|(T{g#=QTx&6)D!@9jUprq*>vxBF(1yG)sZxLU|T{bx@`B1AT!!SfpK%c2je@ zRUVrq^$MpIsW(leUUFVnb>dA-#mL5#ft&GAk%2`9R%W@>zHQ0E!f{0wPBU3JA*j@u z_*o7PRvyNDrFc^Ux%gQ+9>?p6bS%=bI^Cs(x+NtG z2No$g&81`tFsI~8ZCuY704TjWGs@g zR-#KQ%#x6WBa4KbW)m_6oAPn?zC29iWs#TDN)EPmoR-`yoLS`NG@YBt!4((HO+|7R z$=O=cOH166poK$=1fAv+GzFgWBKj$BF7mX<(`hLm|JSiva^h-K1uEJ-zwB{aa=UPLk=yM$ zw^KmiqCG0%$M@>+E+V;$crGA*~WBzWQQBEj2zf`2Z_H{jhwk{3xnEhleVj@^>z zh0}{fpDq$T1&8t-n;pD|$oC@O+mbWWdRt4*7mhD-e!9u|>b$>&_Y!$u^0bHcv;c(C$5}iV?<;~p1c5y`^{bpiQXsH8 zyuSzp5eTp1ME3HUb^ znIr;61kCGlEPJ+-6f|rcpCW=r1dTmA`|CWnMPP}*dXvtU-fSlaS0lb$1eXY|^cDbb`h1fEtTkUL0!#$hn|8SLXg@i~8u8U4 z$V8B(#~^qc=d290wIa|&puG*JORs$-2U{H;A%aZ=TY3$Iw{_mifZHGfP6XWBa=i51 zPjb*j@J%A~#XUoN?2tsi&DlSIfkq_XaG|Jh{knpBVFyABAL$MyFhP5HR9)o2~ z6oL4T@?m;)JaOL7Cw|?tbrGLeV1bnXOjsZ{^1Wh#e14pS--z*e+dh!|4o*$JUj(FZ zz_;yyqQ8_rmmHLN`2i7>DF;Y6jTn=6;RBXiN)eRemQuXUno4{i=|Q+a9xWD2u`s-g zg+cVG?RS<1Qv_xzNtVJraNqRg!%Ni;IG?nGg^yrM@oKr3mj`S_&#Q*5K%%k}+v6QA9CE+<@ zOj3gnSe8=}q+&T0x1_1m2cEw*{aCE0V(mx`Ylk=nZ9lZEsUlEQX+9N>tL?byZCq5h zs*CtZv9t=$NN-V)*3Orf*U)FblXqGKtO(e&=D_LkcrDk&B4EWev3Q>;4fsIPGx)jq zS+U59MK(PyT50i|Eeov(+BA@4)1rfkV}AXMf${^Mdi=atZ(siq3%3F}zxlKbppj>ym@EEb~iZ$X*tr5cRoAE8>r$LuQ0Kb_*7S1ci^-cPK~XPsKWIA9-4=w_+YGYqbb!JIK=_phZBF4=C5`K>nFn zuZ3HP)L=h8U|F+8V2d?d++W*~52$`nZxjDqEZz2#w#5=G=8}BCvV4o+wxiT7f?EVP z`M~qH-d~FKTeyWt4ff{)mNi@ixLCu*Ew~-}fa+HKJpWoO<@T4x#nLS1lzhOloQoj0 z!&EMUTm(7!0B+5roZY7<{OODO{I*!ng5g9v!x6e2O$zYkb0=taQW@x`17Wcv@~mz`q=XScBAH|%~A z>%4G{mvtS{E^YgYWvv%MFV=eT=3P4RfrMvg6F#7O!h!;dGp4U0#WEDk%w(2}xv`=s ztFiJd6lEJ$fptPTgjHlCQO;(S*kY7hS!H$x<#iS$IWk#tMQKEt17&`c6;M_~*%W0P zlzmYSK{*ZOT$B+gx1v14syetZ*&%>ca|lN{h*fvkiSlDs!y%r@jz$*j*b(Jm7UFmg z<>#!nBe;{1)p06*_H7ACvoWX)VUpzOn%yR1SP!CJW7M)^By>6(qnuDMw& zR~(OPKi1lH4ayCyjq7(P@3XdY4kpWaSv$Ee%F(R7yaQz<>j1x!^#&HMuYfX$b<~eR zIg@qLA4d5x>#V=WWH$%a#jPC5x~!|)aFjDyH@8nw{=m8$e3)#=$a)x>pzOwa8aANZ z%X%5mui*}RKLhq>$j^FbXo|8s>yu#}%KfabyToL7BkSj08D(|W-+dg)Ic$LYF_fp+ zKqF(a(Tfc-Vt$N0*BIgAbWh(vjsjqv15_Vi{W zJ?o-u&qjG-9z8!|qdoCD&)?V>FU-GJ1vb_T^X)Z_ec*-h_qxr-d3!V2+mDU+Zj7=E zo8XP{^WMuQdgJ^}4s4RCBFYAAifJm!MQp0sz^0i$V6u43|o`w0Llw&ZKi*joY{k|%Ul&@ z0~V2aDE{qb>ofnzHe?B48?%J5O<5+P+{GfYoMl_G{LZ#yEy%WJZO67{oyWFkjbS^o zK4sgp!t_kcwZum*= zc`Q0lWp*%c9(E|7FFTyylgasWv7`BKvtxlz*ztm1?BhZunOvwAJ6YJwP8BK0P8Y4l z&J;t;78}CO7q7+S;w{;Q5+zwoi9guIk~NrIvN^j{Y9f%rvrMzPrUFo*9Q zVOL7`Vshy*>}uJ`OfI{WT`PMQSd6ZwUFDhXUEA3}rR*FV>k$qJe$5OdD z`??DJsfsuIrpi@zJ7^mFCMb%@LFd``LHF5@L5TILM)p%R#AdaE>~76M?B|;B)0(T< zFEy{C{GR<<%a_Tua;Zw%pQgeK=}cC6apU&xyc@fW?*t?M)r4TfA%Ew6#J)kCKg}250h&TWdGLQhVm$T zTKf@`>*zVFgX6E$obx&ui#i*)RObfD&$(k=98cXq?o_uq%AQg)TXXMb%TaFOre?QLe$CCz z-I?4xJNIc`A7wcAZ9WI(I_}r}3d--ee+%Tt7P)yqi^eEB@Qf`w^NcM!^Gq#Q@yspP zp}fd5x4O-C1JX?F@i}u5K_72yX+~Fsl zBfJHZ!+Y_Z;TYraA9=2h=)Yq)&)pH@*)f{u>4^C2_&d+rX%mw>9pw2sA&+#5=lMJ1 zd^>ydz|LsjIh+^lyb|SZUa0d|DDU&aU9vH`O9@`23tr#lDKFZ!Ad|aR=Eb_ck8&(8 z-mMIiyVd3;dR%65kK4RdPY)*d%*o&D*$`zXUb-iIqUU;ErsvlvAMmoh;J3Ykc)4DE zP>$o}-@nT%^!}Ka@BJ&2`*2>d5As8wdc0Df+bI9ymHVQ7-ymM4FWUDV#e@2v+SMt!2@ZphP@;ajs>!WhdX zS%vaEZ!|WLHy+!IhkfA68-Gw7<q$o5W>PqBJ82(pH`$fRlQZ!4li_QV!+3|uQ&2AC;gj$1 zj#DZyc}fuPG-VLV@x1dCtV2`o@GeuaUQ8{=yG}*knmUtrn|c@JJ>GphLxS93@bmE+*h}jjBD0Pp6l*QUJ=!p91$#eM_`;ICP=3Btt9h$#NPVd zlF$0jQ2r$OZfGm{Z9sf(xGDK>bYSvEvlOtg63T{B#*NV^FG`s<>6yGKvy^!g#%$C3 zQkG4zD8H4mZtle7&Hbh9Tk1$Twsw|sZhKG4wLMJAy`#UBXU7>S$BwV0ygTnm`F0hS z3hZen6^zUv6^c9|72a1&DzfiKsp$R{QnCGyq~cKxr4k1+NF@)PlS+M*Qz{ePL@FD- zQ!00Gu~hz0OR2)4XsP1i7E-0d-$<2@1W8qnY?XqJx=B@!_LQn0TOrjrUP!8We6m#Q z_>WS^iE2{li4{`qlar)6r-G%rr#4CTPB)b5pS~$II8#A9IqJ~vNlb`EoW?wr)(e4Nzs!XHwr7+-nVa*Rh@klwizrBEQLZvm&f6tHsQGE^EZ|dBE0zWifkzMSx}Yy#cl!ESv9f{M!JQ)$d!djbJ(aE`n_W z%kCcxwizs!e{rxaU^xTAz_x!&9$?$S@?{PK+X0q0%WbfoV1Zd;z;=P< z&(;oXH(0@JAz*vJ3S_$j77123+cmJgV1=?*1ltEzG<#mK{a{71&jpJDE1rD>*a5I& zIm}=mftAd`z@ouQ@ZlFoJ+xufR)a*2J9$Uxm?r1j)9fU zeH`pKScTkM!9E5npQkn039w3eYJ!~vtC+VM*eS3oc?*G^2CJN}B-k0Ss`;{jodpZZ z-x%y1SoQoB!OnwK3uIsyz-s2d0~P~TBXBF&MX=z&1z?xJY8CJWy9^duKmv;e3n_2{ z>33ibn7yJ9rIKZ1o9qxt;_tV6Ny z!0v!`Ds~C%E?CFnQ^9@)>r#9G*e_t6iysF26|7tFjbOilbuG~l>>gN;66L{u2kTy9 zBG?~by-M@}yARg0q&wIHu-+vegZ&Bie#!n|e}VNa*&6I2Sf7$h!5)G2FF671F<8Hn z7r_1o8(4B5*b}e;rS^dR12(wSaSGo)41~#cu9GC%YV&z<58NjAgb_a6@n_PJim=SDR<#u2mU{fov z0P_T!QF$_$7ufX5r@*|yW>ww^W&)d85ZZ(Xv zpFh}#)iBO}0buj1odnAWwy@d`uuNbJs*eE647Rv>XRs__i)u6j%L=x%Mi5vwuq8EX zgJlO>Ub7Tf4zOjlYJ%kiTUo0pST3*?wP>7kgRQPbW3WPCn}WB26$aZF;tEy-Y)kNcu%cj_Ly$}S zih*qlK`!wt4z@L9Em#S#9U(KoN`h?y`iJP%7I0OJ^(8ZwmunJ)NY9pWeRRlXw8~N0)5?EA2>Z3APbVKT+3fM=D zvVaAF9cttXRu$}EW8{0kYG6kiBj5W~2Rq!v0jvhtv9MpjYJweY(gv&+*vCz3fdzvd zZ!sP$1ngvs_rXHJPPDiVRvYYei=$w5z)rQpTH#k0>})%%6@K-=&UB!;tPgg+1I=Xv zuyY;A{~ChDbRhq01a=`@25SsAUU>(3dAJ`l$9PHL$V4cCf9=Z~&3)ok~k+c1}g54gD zobA^Q?3)S5&wky(z8jDH?AHVA+X*YddV>8hVG39;uw!R}0)2G$Skmx%+x`h)#E@e8m4V82Z~12z!s*GWae27&!PDFAFR*u6X zurXjyCa(b-3-R2Xmgf7;FZZZs~ronP9F?l~dO?kkMftB5K0PHweg-y%BJ_aklc^=pauu7W;gPjDcxcLIuDX=P=cY>V;tGuNb z*cq^@TN;3!1q<5Q1?(JH^_`($=fSG&S^#zdtmdv^U@>4dc3lL!2o}6+H`pbxTDyyb zT?Px??E@AI7P6cC`3hK_-Q>?#!D{b*0(K3o-tI5K;=tUR2iVk)UBEoSW*q++%nNM#3FIMvZ?IV>kca$DU^7opA7-#QC#Vk}u-PX!fcb*W zJ2?%^4{YwKZeadkAD*fM763N?)LF2MU<*%e1Iq-q;B-H*%wUU8Hv!86w&?WVU|GSI zp8f(X8`zRFEx@vaEk9EcEC<-KGbg}uf~`EW5iA$jinBSva)Yft>jIVsY}MKEV0ppT zp6v>j4{Xg@4u2Da^dX|Up8ThIRqRsw9t`A@-0f^EN05Udo~t_vPu?}6>SFb=FV z*q#erz{-H_j-g{I3${0gj-?z}WDL!Dd9eL4H0Kq-_Qf0ns|a==CIYMySX9hou*zW3 zF`t7~0sH7;ORylYLl-N7RRueE5&6%*8rYGG$bbIT!46-dbE^S%>=K<@O|YYvnu65= z`}k5huwby`m;M0@0Xuo=E3il#h|`002K)NjZ(v=( zzKWys=n8f_j?SYS*f(($N8Q1`i=#N|0rqX&eXyQjKg4|k)(h-E9j2m9%I39#N^ zKQeZGtJ4FgKN&Onxtwx2&7^>$_++;hbHM)@J~;|35M}%}%75ri)Fk@sm+2UXmZA5q zSk}Z=@-@7I{wK?E*rNTieBFN-UQrU;1_v}SVwX|A&Wz~jk;`Li9gR?TRQ9=!{z&TR zKC7?Q(I4pKzNI7maQ%oB9R(zw(I|CCGoI_{35^MzhrSCwx7IkLC(MXl&(27{)_O-{ z)E&*V($Ny@9W6=f=#ce}K2Ucw*Gfm*t#`CNsiTY5I~uR$qVzh<5q25%L&)n4eXVyiS>4exD;;&W-ck3Yj>cN=XsWuS&cW4)u9>W)@f>1dhtj+P~Lbjo^1v(+7~v(nKa z>m40R>L|{7M|0I3MOf+Rvh|KGTRQS+;nDJ03aY>){GTz`{DBEMb-t<}Ry&~|b7CXe zl7US)T-qrYRl7Xvh3+% z)$%g6<-|;%Vw@9lhjN?~^M+>ztCm-&Ehnb-m$vL@)$%H}<-}b6(v~w@wY)}cIWc*^ zwB_n4~skXeuHp}CzTHdX;ywx_#)2&*LR9oI=o8=F! zTHdF&yxk_tUO`qZN2x7;Z=2<|RxN*|w)~52mb+QCd{AxqSKBP#^7`D$YIRs;nY-9# z`5UX2kE$)Z+GhE8tCo+eE$eNv?7hY6IG<2kw(Y9Gd#_c?r_`3~+H}mOhE_-8jM{Qf z+bp-VYWbYnazEQFcd|Mf7u1%A*=D)7Rm&IEmWSJBd6ZSlm(`X>*=Bj8Rm)e@mJ{#c zUUr2w?Xhb4n%Z*WP1{Rbj z`LtEbx73zxyZSO+uxj}WwPo9`zD%)JEq|r9yxBH?zG>C+H)_kaUCEffv}*ZVwPo9` zH%#AJwfw!>vTfHJreCaD{!wjthi&8hmsQJm)RuSJX4(3k=Fe)&yKJ&-wtlDitJ?Bz z+bkQbj>bK;>Y+4|k_zbeZTv(2*AyW;?+w#;p_Z1wIqKvG+lY_n|j zJ|)0WZP~##%kNv8!2q4wvZHO5`&+f_qPFa0o8=)^Ez4@lI@>HSuxi;&Z8_mNX?)i( z;my+*zAtKCY1MKDwPoAxt;|-has!NN%Wk%f#x85`}Q*0qgBiPYRmq%SvFg>oKbB#z&6VbtolY~wdK6FS#D+Z zn6s)a=d;POZ%(V0v#TvPwas!qtCn-BEjP2pvK){rAUER)AAft{17G^c*E#=30fkw@ zT7}QO14;pPX9kvm8JP!jWnRpLtmMjkQTm|_K$#I`W|Ub_W;kEi1`q}z9No-EyYxV0j+HKZo zcT}U@35|BK8tvjzwlj1{+0M{equl_Fc0)AUjn`;5S)<*D8toQqwA-iA?jwzMXEoZz zXtcYb(e9S2ozA_TdpQ1eOqkzK7}a~L>it#q!K(UjReiLoK2A}0;rrbexG%K$1h(KO zU~4qkMh&)IgGIXUO|rN5nZ0NYc1(kv)?i<0uwOO$eUh@BQLn)QG+1Ctd&XKCtcCiu zE+;+Oc(k*eFSZh5)T65g>*vuwsa^kP?Ivol*&azTimgO@3pChL4Yo>yMQE_i8vX9j zV38WHJ*d&{xJJ8k8tpD=w2RYdcT zAGJNc-)pcs=YWk)nVY;OXt3!TY@P;NqQO>cunihaV-4_%)M$4^gPm0~my=$xDRYF^ zS1GS=yuQ<54^lF3hm`ibT{M_MgXQqfY025xO1Osd&a0jymy_NFG};x>Xjej`U1^PW z6*bxgX|(H@GH-ZqPw7|QyER@LrP1!7Mmvq%?tMz*_|9p(_M%3+D;n*tYqYzm(e4Y4 zcHgMm@%`R+QpSw;?;7l(28&P0Ob!~%RfD;!{h9AKnKW2{2FtEtFP{c0tieiZ*sG|) zLe$LVq$wji8j+`E}Cm}8PyOyV_!IaZ_HmNx6RdsQniH=hHalx%o6pdCWd-Q}*GTM}rkmGrr$f;~Lgi;~Lg?M#}Zhcb<|3 z6kvbhU9o@gj@N9wo3&YgRDVJrtB*5uFmyHyFbpw_H%vBsXjp96XZXl))(~U3VYr2N zS$ezocOUFN+N(4Ep64RZWnR_2g1tI; zb@uA%)yHeF*J!T^Uemqic`fl;?X|&cyH}*w5wEjev0h(!edqPS+rit#+u)tUJ1?$0 zi+GptF6~{>JIK4E_jd2y-cjBMy^rGx?VR^T?EJd;r)&G9q-@0A9}}|989hz zcazB!V9IXFXDVzeX{u-nF@>4hn8Hn6O+8KhOoL1#Ok+(GOmj^OP0LKHP3uitOuJ0` zOwp#Jrjw>~rc0)4rW>ZuP2ZS)Fx@rXGd(apHpQDIv(BtH8_nKkKXWE?c5@zcAhKV1 zb7gaNbBMXFIn3PL+{WC&+{N6}+}AwFJls6mJj*=Kyx6?lyvDrVyv4l39Ete3fY^BK zP_lvlGCCuvw(+)g>!1bMI2fpFN^#f?f zZQ6lnsJZ@Is@|X~9@ggiuTV9vaGT71@!mN%j(7Wz8z&MQ2j+v%Ex7Rm+QMfe+&CIr zW_)JE^~H6$xxT8t6gLc`alw~bxM3t-W|#xZCOqQA4O3|czJ$UJGik?e+JPsOxM3;n zz?Vk2VF&FvNjva>5jPy99r%(5*H?pi!*SYsjrQUpA#S)td-2T-ZiuBFU(gObG**KdO->hWDWu0KF~V`vAyQ^ED8Xa~L< z$MxrEM@dYr{u6pFO$B|?fa~wjj^C(Cj>O-pbqUs~6KA|cep6B`s zWbYqhPl@5Nckaeeb#tI9o>k{=j#PD~DjqQBZZ1?UhI4ZZq9oIfPQN0oxDBN07?N=$ z6N$~nFnQtGW9~MGs&h%^k<3R@a9c?IR-)<>sxBkTD`{&rZLOoN^|ZAK=j(Qm+8rWx zgkDyes>i8%f|{JBt+TXsfwnHv)-^iFPf2c(dI0H^RPm+S z$()`L<_34#!V|sRkQpyCBqXRH+A5FE4HamsB1t8Z$|PM$`e3Z+F;Z^mM)?I_1LTHY zI3U9q+JUD)x!d2=?`Bl#@oDb1iw-xEs(9v^yO9gH{YnS)klNiPiKXf!8csZZ&fR9v z79JPp@-W?Ie2S=>%XmhTOeC2}vXEpY$wrc$BnL@Ol3XOYN%D~7CCNvUpCpi^07*fT zLL`MrijWkAu*R->#{MQ5Px1u9i_zZVBqc~nl9VEOkEAq78IrOjINqUjIPtu#D4@qB=ekA=#29OLS8ALLeWC+Pnl3^snNk)*2BpF3Anq&;gSdtG& z#*vICnLsj;WD?0_k|`upNv4rZCz(MqlVldjY?3)7b4lir%qRJfWC6)Sl0_toNtTc- zC0RzYoMZ*bN|IG1t4Y?7tR-1T5&_X=rukh@)eR&YNj8yeCfP!=m1G;qc9I5?e`IduVGlZSAA2%_I>d8%frX zY$w@EGM(BjCz(c46U=3f%UqJbnA0y#zcNMV7g~XLaCef3)kr+rakhqf=NjykA zNxVqBNlYYW5+4#@5YrZI7w-e5+o%_N|C%rQih}~NjZ}8Bo#<1l2jt8Oj3m;h@>h> zHInKiHArfb)FKHc2_Xq3sZCOcq%KK4lKLbKNE(tfB56z#M$&|&DM>Su<|HjhT9ULP zX-(3Gq%BE1lJ+DWNWw`vl5`^JOwxs=NgtBFB>hPGlMEmk zNHU0IFv$>-p(MjdhLemS8A&pVWHiYblCdNokc=Z4PcngIBFQ9@$s|)qrb5_x!~!=W zD!87+og@P>nYLU>T&U_y;zm^iwscE%%OLV8#>%-&W9&!lV6h}$ko-wXFRK1b@(aml#6Bnajj9J}>psafk~orcBwvzzMe-fVZEE}j$wjLEL~@zr0kNwj z-;-P?`Ge#WlC#w05XtW(2Z$XZIZV}WNiIQnB;U&kw=8-Ib zNM_nvOtOq*HOV@X4J4aMwvp^4*-H`yk^E>Yn&dFaF_IG`r%BF{#E@Jjxk_>!B4wnl zPf2c(d`a>x$xkFdll(^V2g#o#k04SO+Im9rFT|0PIFdM%$Rq|5BMA+JBb}C%own#m z9jRYOvf`M)a?w^^l0cF|Bt=O|K%|7d?@_fZ3C*A*&7dRApd-zoBh8>A&7dRApkrf* zlwhwZRa=nI3_8*bI?@a}(hNHGAbFppFUbH1{{bQ;9L8W`!$?Mwj3F6EGLd8&$xMJpNbBs6)Bn@F~k>>=4la)9I@L`pc-BUGg+bEGMAq$zWxDRZPLbEGMA zq$zWx*>a@Wl4x)oza;sFD zi8qN4i9bXtOIw*pvXbN=$xV`vqyR}_l42w!NlHT`nk}btBo#@jkW?e7NfJU*honA9 zBa$W%DTub3le8jfOVWX)6G>N+9whIR^d+ImlM;;5ygAXlInlg1(Y!g)ygAXlIZYv% zPBM#RE<{Q=j1Q^0h-5j*Dw4G%>q%$^owkzfAlVJ!KSQL1qo+W0qCj+_7<8f-bfOq^ zq6u}P33Z|gb)pG%q6w7}&X;D@iDuP_X4Q#i)#+=JZ%KY2xdV}CT%?3?p&^lINF*8( ziH1b#Led?=X}YD}B>hMRlF&d%G!POEghT@&(LhKv5E2ape?VI_8dAb&&_GBu5YkeT z6(p-k){$%=*$m<2Qqne(og_2{5{-dGV<6EONHhi#je$gCAki4`1Sh0{kP-%h#z3Mm zkZ24f8Uu;OK%y~_Xbhx;G2pcI8%e_9KBO%&{|1 zc-;i1quXZP7?SeT7;m329p1uZx)!>YOc$!F&2&?B)0mF#C3O+H^<1}!3@oHOsztO~ WErvM#<@AuTU~C1HV~jaCG4?;`;FX>L diff --git a/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class index bf6220decde813b6094c1342ec762a0655d1bab1..e253a491d1c08f82a074aaceaf2458c45af1ef37 100644 GIT binary patch literal 7352 zcma)B33yyp75?u`+v!YSn>6WWYxilBrnTupDUed=3eiA;bfJh0uQP9&XI^H8nKz|H zM64A75wRkOENb1w1!|Kj6$C_3aKl{`+)=?D#U1(Yy?K+Dmpj;eU*0+Qp7Wo3?sm?- zcOHG=p8EitASMV*^D5PL{%3YoD;c-o=hJDsP%NaECm;kSWP99-J%fCVN8$daAywRbz|^~Iu>PGG!1LqwE7gTQDdH{iB)7JTBGsG``AQ-SGxx!6ao zt;HQ~&hJTJGRJFpoj{V~Q^@oca}I@Ffd{hD4go9c^=68DoO0Qf@^QYWreRk#pQlG% zZXxScb547xnxM(S@E56<$u7^(h*O~T!TP?S!jct~mt_UJUu%7XSIqXx=9R*VK%qG> z><$^`FbB1v=0a;AtlA(|^JT}$WLM}mQ+C#O_pmG-P|b0bDF+4O_Fh+DO0AbHX0knY zq2PEGI@g?YvKeV`kL|g+OxeCPlN+*8b}q^Eadf3Zsn?hMq+0)~zU#GbmoVB{WT;+K zYc#<79c!suGglT!Ns*n)m8I>WnUiaQnnO~l>J)M{)u93<bJ}~lVdb*EC$*(=c#gyM9K~SC%Jq?vYq+s>Xu8xMu`mrUOCp9d1V;IcMFP{i z=!!97by%3LwO_@q(3EKdS(t&BON(b)n5nhTu`o+>=Lt*gaQ^z8{B@cppB%R=WMNo8=ZiF*J{L zfQGb3TOY!QQ?&IFff=o}riZJtKNfDo$5I%Dk8|?{9qKHUsxsAmf{UOA)s@Q0PbKk5 ze40C|CJYjw%AHE*?j$DQFmt6{DtTNIN3c1?nts`%8f+tjTSu)Fb*?5*YSP5f6dV35 z!%5(&Y%hU(m>di{JAuzLskG`&-j~9?_(G_YYAdso4}?3ZD^&-+n8bs4NVd8)MebpN z@jEyXT9A6lYPlE6Pgr_C)@U+=GfaUN1H}Alb@B*SR%daC#fD8hg%&Gr zVYgPLC*8#=4<(y}J=r}UWS6lIcAlqpV8COAcz-V|kWQWXWm67CdTkPMpY(7g1n^_(1};F z#1oSd+!Y06%aElRqiV=KO6uig??l6L{ zj)L2a;5A0@wNdb5BluJ!__`=~i4nZk2);fFUTOrNW(4051-Bc)ryIcsqu^ym@H!*- z#wd8X5xm~G!fuL!R~o?^aI+zj+!6(^GJ-c5-M%#nUTp;5hTC;C9d!(&dB#Kh*|x*@ z-~c{)6nB!l>o7hufB{`KfY05JWBoX@o}jDk81CJ96!-UIOFf-)>j}EG;7bE|B-Gqj z`mw#9;QV@m3+f3jtS7jrp5Wqof?f3lIS#^OI~Nb&8%Oc2e(ctIBS+D#C+MZ%`-k!4 zV|a>V`I7c-NqxgU1muSPkIgH;o&Kba;2JqJb{5`P2Dg0+Y{NvEsa~wK* zk3;7&tut~2F0UuJqMqQ&<7jSwJ@A2gf~)EYuC6Dzww~ZR3SJn#Xa1-5%=PsIH`Egx ztS7ip3+l`-o8$c6)OJjWokvBiAGc85xQ%bUyLJaU#Me_`EK*4FQ8vXF#c?=;x1ozM z9{czjbr21>6ODKX6Y(UkfzP8^G+>fw&5)o0iz5q+&Yq2!G1MTq=mc_5Z^7tXF zj6aN3@yD?`{tSQGlJ~PIIz$cL&ls$5hln$Dj-_n0z#WZ^BuN(97|oLGgvIX!IzExK znRF8A6w;}rjCTB+C{p~6;&T(kIC3#sut*=_t3eAN{cab$h;J1fAl}&-<<+H7@JaM$ z!B#d4zRmK(6Pe(>q75~jHu7>}K<2*5;4>-kW*v_vX#@ z-puS{&p&h;z-lpGV7i+xH1ikRQ^?0sX)hU#+UZO>x-tYIFe%=X$~*3==8c7P!gWFj z3e=QqFVDwq*A}Sun+ai@KuZz6X@AyD#Zz8erZ1avoo!h!l}YEL!;5XMozI6rr{=sk zE`*3ctpO}BwTR5x@m_nc6Wy4}xX}N6gLblhF{FG8>3tq}?-YH?U(`cw(S8B9~_gdFew`49S5RoD~k;utrho(bL zFg1syY!VMLqT1i4REAM4;PAp-2c8tXu*;_f6$xJTp#CmMkE6!%7uP^0E zo$6FRmQN)dCz*8OUS9S&l5`9C9!_^5D-hBJXC1Wtv`Fq>9Rj$`byby&2sy`2=nl~{D-rixsU`8{(_CK9ft*w{ z#-$c>((Gl!(2S|IZ(%xSgb~0dfiWIqjKDdaL>VIyEl#5W)9$cv4rWR_FBOEYxeR%fdX(?XWOkbGs}o(A;hdQO&*F!a~ixf@4|c(Xdr+ zVG$O~Mz6NepgXz8LZjxm?CQ$g9JZ*J7zO5KZJ`NEWFtKmmTB&l!-rX|X$#BIEY!b;6uW1&TJc?+vFSFo^JbJtopS91p}tkK@T%EDUBz1qTgntP3f^ELNc3m0ha zbrxDR_j(KKH1`Gz7i#W}7S?O-O%^WF+?y?2tXq4_@Sz>HvMg-CM%kS^EVOChTLs3g zOQloZdIr#j5lg7GaVHg`nS$qV&&(~x-wNp{gtu|WZQ0hoqjOVKU|uQ8RM2m=@OHc- zjC=7;w$kP0QgQC^HD&Wy0cc2FwDoShCqi5A6`0vjYI?XT`(xp5yg!05_y9Lru|pl{ zY(b{04{{Ndpt@2y`Qb1=gpY6^m4wA4rt+WCxj&3a_$c$8oz1$O^kZm`u;$~kM9xE;V?dp)3Vjh2)Q!?6N{}Xtq?xPj8bg2eA9;T2(xi#*N)i69bK{R4VNe{IxKtv zUyLAxFEL6rbZEC8i{Mdwg)S6rZ+27JtdpQ)Ut`QK4MDM5x%NaDkK^m?bP+VP^NIVW zO#TLmf_^)UZ{a%(Z$-ytaFyxN3*pHK&dMQ*`X*>7+77itW5@9Q2#x(9LT5N9H6xo0 z;YX%LqE0}{o1cVHi=USDzPOG;_<4Eni`5GB%P@X{UkNPW7?%23)EItZqW(1eCPMw+ z3W#0Ygk`&l$5SVlw%z7rDwp%zW_9i&;`jJN1aL)PuoV)x~GR_y_(O;fDiTT4UwWD}?_<@T{Dznvr3O$CR$r z-n8u%ay%%GX;bILDyBSVYoTwClk2wkxD?cMW_aA*Y3EY1JXl%1#qoMFiS2gI?sGUm z%%xTbPh2^5o_1KQ+QfrpCZ9_0)v7#WbY%)WlWgW$oZ>EdhVMwbY_21n%rFF~8YYSW z;|!SpYGl49S0nSSqRgy{GOsGitg0w;s-n!OiZY)n%517AbE%@tq>3_GD#}!;C=;ck z%x@5Qo_qjH*)Fr(urd>y(qmdvlu1odrZh#F&=h4lQOi`vV zMVY`9W%^Q-$xBhDE=8HR6lK~{l$l9U<|WdF^mRJg`TZYD&7^{KY|{yBet=9smM~6L zhJ`FxS^<~fB`onYgbsfF;7k4Bh!H#sod)n$KX`%>JjV#$?gv{&@LVJKGCz2t5nOKs zcl*JUjNo}j@J>It$_Sos1Yhn4R~x|#jNmK$;K@dC)Cj)P53VtS7aGA=`N6eD@FK(v zi^TSWrx?Kv#tM2%yL!os|TaR}x%N zNpNK)!By<*qq~<3;L9iR)qdDoZ{(Q7D+wG5zHuDiJ%zIzvhNMxhsA+<>I{C|kJSH> z_iDpGJC0vh*AL)#1NhSb{!+BT3He(;(xcGHjzT9l3LS40I{QYUvwsvi*J+)RqkVlP z!3~uJH&zndR7r3%1^*hp{Qs?%|G`RvTPg`|tt2>9NpPDMRG4-8!C61drTHw^)N}o~ zlj=u@`>MCG@a@?8=bj|jqi+KljJZ~|`|7Ouub9N;_89jLfV3s(G+2T3O4b-DP(2jY59xMnPLNst676ndY zao|Zb1fE7?FpMR^`B)lk#j;>GmIwRL96X2>!J}vi_G5MMasJ^WZ$NcA{0!cJ7>IBW z{>%TM7?dzp;GXJgk{}CBj8tJdZ}B^c4o@bnC7nWAM>>sEf`G|F-d@M>0S96%xd1JU zBYl_+F61-X5y3Hu2{3pIJ}urZ_+Z=4=Pvo-F-h=Mlph{j1m8GW$CS#q7IX?eev;z> zP#xq1FUsV2i4c6*q<}e0@ZFOi24?}@YXl!U$?=jRTjqynV8KUHet22qe@pVi%ah;( dE9-cr5bP)4*m+V_H%YVw2yR)+| z{&4VdK@g^fUKEA2rjD*`_zTx`b%YyRI_p(I6onzRn;Sc#O?lZ+5^ZmZG-a1X8zQy4 z%3JHYnxd*8i-KmTR7AIRHMU0!J9f3yRzy3ZovM&53TjhhO*Z_gf+`9b_6jC<)JB>j zqA;|K3fb+^`le`YXLcnPS2i}ZL^`|LqXnXnT(he)+99StqKLr_QBj(-R@_#+B6Fyi zELG3nmN`r;mii=TrpZYsilR~)8I~N9mnCItnZ=^qr%)V`Ss)h5qtbIEC||9Y_b-O> z*|dB`29$^G<#Vj^27CEjtGv}-KF=!OVJ|<{DnHRGFUl0n@?=$HJb_P9reu|;+RJ6D zyuV%!?JCMlqUG4G+4uTl{eVShg#*W_VQs?`3`&eaI5@8y`08vCM~CNtIFm$Ey^5Wm8aUvM_T3mS$Xmx z9517>Jab!y)Vq4=#GPf;1)cLocP?BuP?4r7T4BqEf+Vp#Q_Ng5y)84iO<9^GZCWxb zU2LvarQ-4Fna!(3byw-`9VtVHhvam!Euu}^($KFie~c_OEK_ER+10sC#X~2K86oC{ zl1EjIDJ&Y(R=TQgbvYg{N-vzeUX_-OPLH$!XXy7ET%n6JmB`ES*5}f;rOA{O$8X6K5=|E@&uUm7boH6ik*2g4)O= zNg6&bJw3fd3{=hzWz0TLu!E0L9vNmZM+F;`hS!K8 zIjCicYIDP?-P6m~&2km#*37K}%sGWhc>X+;pG{&eWy_b2sS8+<# zfTbJrHbK8&yC=BXUAuK@(++Gmw69cQ?W-PLDAjBm4gH-tAU&AemAwYi%ppN%=ql^MH7XIIbOR0{1*PqE^|Xm_+9**S4A zw0E7}-f$>g!u~8;zp!;pe!t}8lBD9I!dY@hsBtXB$M$hr`nF|LrQph}0V(T&&yLWz zMTPR};@q-@y_02WXz~etrJ}+@d0x6@XFx%)Px3J(%X12orQ|t%Gv)lwt$XAdDI2GB zV0(jld&h|i_GiD|1M@oOO~-a;VVv(82XUS;v~OYNs!^?t*nfR=zgCF7upJY%yl8Y! zp8)u^T=(k&dEB^$l(kc8N;CSh_7&8!{_Ni?bHugEB{ zYN{&`Kbhe(Wl75!yry+cZri%)WeZy=K5F8{l4+gumcsa6o7Yg@Z}7s_4O3chd=R5O zqS8>kYH$GfZrWOec5_U-sTz#uA=5TR(VrSWF3N`BIH+TO>l57O7zYCRSrq zc;Hls+b&fuDomBgkaZ9rii_8XvK?hZ(xpr%zBvr-&UDtlpk@7(mcnGw*{cffW4!NW>zje*5EpXmzC|{db*SK`|=+sg%9md<3?6p&(#T5klVNTb) z#U#CUNI_e)pB^9RzX7u{!w6o_t0|4kIP{57FpP;P1{tuaW}Rf=CPzcg$r_` zrHgm3nyeMnt=LnrbLo)0P1SREkH-GZPNwrvOL4_uwPnrhwkX86fr})~Xq&fj_n6eR zQ(BfRo(=QEEGcv5?j21VXLOYA*$U%oYCZK^GU#Q@rgqo$>$`K(+9}|-ZNN8gXLxbe zj*08@I+pdLe(O^W!CgLW3zYYd zKtCJv=ZeuVUqYNz&xLln%h%7?v|=>Lf%w~0oRJ0d#jM6km?xoqjg?X;(^alaUI*oh z2Jyn??Xn@$wlvcInYN`cIUUP!yg>Y6Ir=@nO)iIdnT{)H-@0jCz;6dxKO<8vb(KTh zLb)_1Cu;|dZ#ZAT1sm`KK7-S7oH@#&e}E5MAHew&$1%+7y1$D1!+1tN+RGuXiu*G^ z(s=;pRrDkHYeX6NF#!H$<-*;G=WwFdfG_mi0Jst5HVmLBuZ zeY|mdP*I`G_w``Id=w#C-Z3icbE zFK~X!luH~u@%j_ui}p`_^UypxKfn)(1{%*Wf0ypb+mSjjuclFf{u??KwxGEh z;uPWy=H(Tcw)1Yk$)5H$^%+RG~NA9`eSiLaHeA;ebWq7z5cBsoffB zh-MYFwl-y{LWU@$x(=yAU%1aik8^MWHt-O*DTiQtsm&cmqfC|6jdh)yRbjX&q&Uk&Vbqq$_DFV9 zq@@9F_M@sWO%!_BR762)Yy~fu8J$to zh5mqBj(9he-U)?fg`+LuZQxW(3;G#!dNcZ4O z`{u?@gf>BcI17PKY$0fFZscehh1e@$w@s_iX45LP*|Z8l6K$pdPg=-mVp!`1dL=Fu z#5h&3qz{1VchphOx3@L6MQO`oOC7Di8f&`hO(&Q%un;vNgiNc3qPqLlUZB5asps>bKFuTl}l9ttMfiNzJuVgNm4pc=N!mA-pML{fuK5E~t z&oj;Ri3aphnKfbQhX4k8`UJ<*(+^?O^oh;TO1D{~Gal&lDq2((E}TEVBD@F~Sh=!g zP!By;QBhbOo?o)OY7saGOBOBy<-*EEVVLlUQBYaB7MvHrEE9VbRTbF{8#!heb9TeM zQM;(BaAA1CvaJ!d=a;OZ1avi8kVE-Xn4ZUqtbDHPcy8EvJkNDJ zj~)+TwTwYZ(aO@Y`QgGvMGz=e(AJP%u%>h>%xq>RytJ^YbQK(jqRQ~{iYj#8g2I($ zRWLYctQ8g)msD0#-%R0@fmdVnayeyiLW$7>CNX7jnu#f!&MC`>aI^C(Sz1_BRssw; zrNEI>3M@ILz>`x7OgW{%l~W3AIi_#l_{}qQa$1!bPQ3m2f4&3aFgQ~jIcVHjioCY5g@^ARFo_%UAEMqR9QH`!j$PyW`-yaoqX^4<%Qv* zl?#l?AjI;O;Zhi|#K>U8V;xktG7Ljn6#6eHtgM28TUAm~v2uA;xT0i!X+=pfjELT) z#f8O-O2WD5B;b~&=WRYqu@~Va{Mx+-*=)j*@mGW3JaPSXpSU2G%KOUjmpE7w|Xp}h!1!BeTa z1{Oi{uwV6(WlPJTwMM%tiOe(7it6-*LO*c?D6YDKE+=fL~!;Ox^ zQgnpTDL`d;Vet}L;Ea7}l2L#ygzAl~Wi`kO>G*)=fP&#SmSZiXjfZ0lr$;%}wnaHJ z#eBiQX2erfJ>r>6BR*8RU?s%29?wQbk7pxG<2k)_Da5mD9(R}FyyGszdBc|5ih=keH5;K?Zkrkqlo$73zvJRVz$^SHef=T4l* z-DPfnqMqBISmyR8mbv|jWp00BncJUOhWyHw70wS=Eeo%#ED0|!TUiNQ)UwKzxiHJY zg#bua+!z@>Zj3CAn-t7pj4mxgi+D}yC@^PvEUttLB6gynxhmVto4#~en8iD$IDrC- z<)y{p+~VruGRXACOi>}Q#Y;Q5E~9yBjUIxjIJ|mU#eA4GD9#ufRF6#$RIh4TRbd%U z+|YAq!ps|9NU11+3%)8C@%m*_5NYdUsFyA?SA_H&^F&o?c}YdMtYjhBFM-og1}?Z^ z_W`n40zRHJ)^=@8Z^9Ievy~WYbeKL|%*s2n0M&P_T}i)4PZLw%J_ozBZ|`d9Y;2BZ zS9Z2{)xxr#NK+*wSDxaR94! zwMD%T+Ue_1gJ1y>GsHe2FtECD&m8FV9A>zxI&0kqok_8K~+*R3ip_budW>6d^j)1d( z^VUT`1awMUq&?Ccg}YMdiVoUg>ulZ1I+}>1#W6??ZsDX_zq#10IB`65Q$w_q^}Hw? zYwsrA|FI_Res#qT5hse1LgEAwZug``TRLE^S7B{!w4P+QB7#PU>e8hQt2ECRmV+*(&0soflf@E_ssoR}zwk)kpTs1NM9 zew_GRo(JdvtH{Y$g|#D`aSUyD-}h+_h{rm4gOW}Oq}aIt=JX(hC*0X;t&0XknBnY= zhNC(dq*yRa{&sE1>e?X1YQt%vS7#Hw--h=H0%Exn4##ykNU?BNe?V5(1}Rn>&MgFQ z>a}i1D>e^hmF$q2n zh+QrdpkbH*kz)b^#!)Ba4FHH707OV1qk9{z?hS}1xGaI9VF@v@-3!s3N4C>39VdLXkp%{nj2cV5GFya zwrwjsq6mohx+=5+3N>ThmFEg5%aSfjT5*$yu@)0Id9Jv@ywOH(+~h$tSZ#zFfA6Z%3LI38t#PU=a4@ge zh$067;XrJUuAt7hopnyNVh2Tz30Le)wPFWF4gdnem<-yZ+i-yV)m5hzJyW^SGu0J6 zm^a$Vjh?9(J@rjp9h<|=;jXrT_)k}jR`j4MhqNntrdrX1A_o8=p|TpmssD9VXNAsG zZs<&Pg%0M82697ZD#QajdABwZ#DhvFft`&3 zDcM=wG%IdUi%Rlp8M7T;YOw1HcWJX%GYU5N!`g z$GGYQ4Z{S8oaVU#W||c+C~^Q02>sEU*7Lt`8=df4b_Jv%uIjA-n#K*FX|4dmyup_n zK+_;5+||>Eml3Rfl&g9xmJk=)nCY%q!n|HRiW~sM2rg5ww?5k3*10Q8>!0MTf4UW1 zD00j};MhZCx)oC>asYV&VVw(Oh1GO!SWTZA5Z1deR%A`*M%MIc0bzp+V};UmZYWKk z9uPLVFjh28=SI_Xhze3y+u4K;rN0TK5|;@pgix8|5?2UKw?YU-4q#?LfSc$JzgvMa zof|0mQvyPn6O(Vn3W~Ay+vR zK7@j!N-Ik65U0u+0b!mCV+Be+H&F5+P~g6jqaG_z^0|RB0|I516EnjK6cl5*&45s_ zSAu!H%TVM1pywR`D=21ggJOm&C@^o-zzvES(9w<>tQf#U97?Vjm|?{LiW~s+tD^?1 z%V%)Ae1@ybF>kbi+vPK$Qyn!}{f&n>l%Pi)0IRcSa65Y@bf+DFd7To9u{F$e^)%)U z0Jo=Sx_TP(27uesGhIE6c>}=h>6xyc#=HUG_Vi3wPh;KyaC>^DtEVw<0JuFp)78_M zHvrt8p6Tjo%o_l1PtSDqH0BKeh<#&zBjrqi{!Fpo*TRCJabFH(9tOJ9iGczW12PW- zz3Rk3fr$Z`hk=fDVxYjpfXu@{-#Rf+U}8Y#VW4}R7$`6?AoDQL!%hqom>7_G80cgt z1`13J$UF@6vl9aaCI)042D;jbfdUi5kAoa{9AJTgL77uejyn#pz{K$5AjcgCSYTrK zaggJV11vBx{5Z&Q#{m|Y7=9e&xZ?l|ObkB`a@=u%1tx|c2RZIIzycG)kAoa{9AJTo z;m1LaI}WhG#PH()@0dDb2MY`g%A7dB`=m~cEe`M?595vlymxBW!;b^J8|tjb76*8c zR}XY`D*mKvk4Ea)l3}O!1UdRGemUT_VhbO<$J(p0r85^EF5|jWzumbDm$C~TI>GJP!W)@!y0OgRW4E2q% z>NE9tFe3Mt2Otv-R^3NBnp#^LSTh#G%?p-yvtLZ)A@Wef3#b8Q#JZp zbrG)alTjJWjkU;m!-$+EkHVTpL-T6jX&S8aZis@T`;IMLYjc3Hs$TAl0R2E@b4|Dt zo7gljiPT3Vt_ z9pLk1)B(#QotC-UM9!0^qJ0Ry6r^ZzgKM_2v4mh`IMtw{!B$1F03y$nXJHM%OvUBT z#f9+WG0j{?V6J~&>ni$bS3v7E16$2vYb<5ZIS~0+xe%!q!BDB`g#LnM)0-n5o4o>E z4<)Is76z0Z1XcM6cnT--LU|EZ4C~d>?Ka?PVpAQA!3tIx=$pt_j{ z)#Xfeh2E@&&Fy-#Cc4J&VaAJs_8TG8WiX-FYZ!y(PP07gG~~=#V?=NKYIzMdz8V_Z zzCF4fUMzu?8;za2V4XqjR)`w<>Ht<7WaY3^5B{KtQH7RnWTwIpVUFW;fTp{P08qnR z1Dyx&@6@z|*KiHL(pp~+d-=csHw!_xff}GI1=5RWN zw-4-rs|8VuJeis&N0_nKom~-iA-5z znp3Ok1I{rV?-Gsy1>25pP&=YvGcB@gV1t+ z81IkcylVCmlt7={$ok|aQ=O5c>ON-%$~b0#=yjRq;+O+?hKYQe{BvyJ?Ub=KlfzXM zT?}fx8OiZusl!<~voG=;XdVDY=@;&ms*venPHILgW zyfzx?YU<2_A3*Zhad6o&utyDGKr9C1N{PHzeh}^Mqvwat9jzU;@KQ5e*S2prG7uCG zv7k5rvD>_r?u-YoO~NIf;akVh59lgTSB~Y*3isZ6uqu}WC-S56W4#1Lew+vm!sehL z$%lxrMc9hcQ*h~RyzU0e(ttqhn{GsC5}Hx@SC&ZJ}_oWUfO2xl@WNQASP)QbpbGpRQb&S6q25zb{&IuXue zQXe9m&!l6BZ~>G06X9n}(ui;&lListA|?$X!o^G)Mubb4lu3k3nKY6JmoaG+5iV!a z7$RK3q;W*Jl1USYa21m#5#eekWfS2VCgl*}S|;TY;W{QwBf|Ae$|u4NOqxlA8<_+H z;U*@{A;Qf}nn#3Nm{drFTbWc$gxi=@LWG|)X(17AXHqE@$gkLl1G9tXpq$`N<8zx;vgjbk!4H16Jr0azJ(F%D!s|@Bod|zm(w#(jgGqN2;g3wZmk4h%={_R-iAfI-;VmXTNQAeU zw4Vs?FzF#8{FzA)6X9JZJwk-{nDiJC-e=MiMEDDno+QEtOnRCKe`V4yiSQwlo+HBF znDhb>K4Q|Zi12qN{hA0LGwC-(_y?1ION39D^gAN_lS#iP!lz970}=kkq(2hjGba6s z2>)i%+eG-BNq;87f0*M{cA;R}e`Y#cFVAB7H@FSDHCZfQkZ;2=}>3bqdO!|?CGL@1=A|^3O zCSo#^l8LA=NhPAnq#zLkOzK6%Ad`9%F~p=){k%nA*s#uA^tEvI$~Zeq(34wFN@dHK z(r7%@@RY3gz?tHx9u%$j&Y9jw4?TQ`iD?b%LCezMvd0(H58FgT$+L;5uO$2N1_vO8f(zhw`HF{raMw5G@NUh;Z_dcxQyZAoT@D+VuYKAp# z*Mnay&v8S|@t!}cFq7wDrU$S8Go3MBI{K~vUYgF$0+{Alj!k;qXn*(AziN(u)m;Co zdHz*(SNUnyH2+qo`&Z3ps^bsiSN)yYBT?3U>(8?8U4P2DfBh-z9`>iK``Dkd?qz?< zx}Oi%(fEGt6o0*ksy^cvRei=Us``vyRP`CZsOmF*QPpSsqN?s!-n_-OlQ8z0U``#* zi*vk7jc*n+72avtwKK(G6s^4vm|~CQ%zZ4F9`C$s+unjH@n%)`t`~>lXKfR37=GsN z0f*to-j-%cJ$e`)2^>zvyh!P>6RiC=m@VGvmTk46Z_B~dc;_o)M~>3@Oow;*Wa!`< z+f0kwN!H#TOldMF%zD`Jg8_KW(08xMr8|KzHD2fIYUTzZOp_OLc1`2T;c$A!r2}u` zvfE?*LT}m}h9up5gpuS;orY1{o+O8FyRlUX)AZmNT zUcl*wanw^sd2~8E-!ipvoM6&T*)~mKy1a3t>$0X8{mu(^U6F3F!pwPeC7l~^=as`& zjGDM13sdBcAH8DSo8++dn%lP=)|_=!>)}D$W-o`W8n9lx6mJtG+S{xP(i4dKo2bn6Z449f3fFlUeI9+mW9pd*AI&R~gg zva0OSAFfkakL<13>yg;u&T{51I;@L&tjdZ@?j&LExx>tGdr;T$J}cRF=wYU~^P+p> z9{;Ky7oYa6YVfXs$Hk{x)#KvRt?F^{=~nf)_;jm!TztA!J$^jdRS)~)DOTCz$5X7b z$B(C2W!=Br$;%cCjI+m&r&!A#Kb~ThJ$^jJDtr8RvMb~70goR~ZdIT0i&lNcFRJ>C zUsUxOzo_aneo@tD{GzIdUt>0SV)MdK&b0{a#)SJmF$La~hTAopM^}le}{iRkAjwVv4+JH)g*orpoi4 zwT%_i;ay2McDG_`ynB7drdQsE%)PL@IoVH#Jym9%>Uv^rZJoLhS_y$st51ASj>BFqqG!qfBQyJ2;O{V=Xj zCc-SC01v_<3Hw31zJds|g*kW-o;uBC5PME89a4bz{=pM(?TY}Q>R5tf~QWUOb?zqEoKrtby~tCca>bU@YHEFli;b- z8YaP0r)nm_Q>V2|f~QXFm;_Iq)-ws7I&EMQJayX0BzWo+W)eJg+QcMy>J(wp*F>mc zoWCVPEt9?{LLHNSWD7{_OVaTz*=RfTVpOPyj#4CC+h8pcq03GRhYIyTXq3W&?<}@lUu=YkNWK@_G6qm4B-P#ydHWk+ilY--flQs0_gNyW0p7HD-=KnTJc==QC~^gYo^aWw~c zZA?fRrHqAjLXFMr^9Q(v+-N&M-!{*_xtU%>l<~@h5Kx-vi&81DQQ)>G=12E7WGJOP{~nXiIjrPs)bdW({16?1CaAkh618YQ>KT&7QCm^&!Fd2RN>=$ zut%5v;Zf{ZRe|MTfx6bPzVqG++v^NH_YAthS|;YK!?t{iE>9)O9A$1unXSyTRgFhf zr4W~0w}!JKxUg%ZwIs{Ato5g&QLM}lDMd;NOqwic7s6s>Y2&Fo64 zvKXse;vRIlu+(d37W|uT^hH-^V^el<1ipo#!2Yv+%)l|rX{f9SD$A7$IBwq#P>*C) zsR9S@fQ=q2qV@14h6oIT*<(41#@fQ#)+YQYEPNp(ySb|qrjDx=$MJS7jJ+=t+$H9){Hf`37F z;%35$j@8!*Cpso=*8{mG(oU3}EY5bp$%-949%e%4oC|XUn1->K1bci!#&tLc&YKK+ zGMqP=bSj+jm~?uKJ_HgPf~g!6$hqu+4_zhTPgI2im_W{T9DL>~0so;Y9KZx}uH)dX zK8|26@7kHO2*UXiI9EBtnH`}4eOXYsRJj~9*vZ2gPXN9WW`1t0!O}-KnOq%Iu2Qan zu(!Vlp(?PXc%bvtWBW1*1>O)8rYbkWDZ@HIl$+U^;1;+>VxGQD6e{d*RQy!a!W`vx zoDlDTolUZu8(X?MvKrteKsXI%!TNmIuM>tO9dg!HfIh};8(5mBG<(xw%-*Hk9fG#q z1DAZP5pc~p(^z%s^e>Fp7`HEswp6u8U<1bvoDjfQ{gwMe;N%Bjd2ftzDNtsYCJ&0j z1WqM}f^BA)5AR=4v-?BP_1)+Pm>ylh1cMHv3}Ols$hnTg$Z?k7`=6-Fh7{&p$6;)k z=RJr&2(2e!V4HD?MKmy;4k}M6&%l)_bKbLX70RUN;X0E^urBvF+jNK*_@*7&x*l=~ zM-OuyoK9Z~0ZG_&%+*FV4d837;0k`QS+cV>N75c~gy-wCEm zjA9!9{|hQ#Dqlf&8X)%jR#m=%%S5Pz?(z#$JzSz%jPyN@mG6}AL&~?x4@B$*8!-!# zDnRX)8k@3I6@EV{Y$s?sHMtcExYsN(T0~7!lSATMRneJ6x;moxL&46>{Pk2o)PNcc zDW9t$+f0BXN>$<4l<6bm(_gBZV)v0zj6PD+f@-Ro4xj#l<>aOp4Gr`AVEUKJ;FsSp zwND5Tec|QWl|=1_(J@r*FA7c8EOHd)4Y9wfg_JMVfpFEE1t$Xd%oncGhc|nSu6B}$ zcciQ$>R@#Uj8heM*%o0knq-TV!^STB8cE&;8!-XSIeXj<11T%!6po~pPGl=J{4vS>KK@wX+t3$WEpe+l)~S)>dcUkq|SmtlZE#U;O!OL z3-O${SD*uCt8?I06%}qV1mT`i~xX7AY00(c<=|_AeO63 z(eYrqzZrYR@WGj$o{7~JL7|UY0T&?H>3!5HeBade;*N4;%I5J`hVfQgY;h-=;-2{ZNCkLoC5TS;#a{7gH1(qXAYGbORZb?&MfwLf}_zx_9&S2M9mC^RbNK@l+^ukJQ zg+(q*oC3Q++sZ`Y4Erq*9SRDY-5)0`unkZ@ z+x;JAe%5QUHu+aO)XtFFu69AAx3hBxYwCio7W(^h3b?4hx-&x%)m`*mQukrgrRHFZ zxmo1%mPB-C8~C?5+R_h8P9{A<#Cw?Z7zDgQkKW{11wmh@s!tH{0jBXJ5%)3aX(B$%q+b&8 zQ6@b{#3z~b0ui5K(yxg40+W7C#Fv=#8zTOeNxvoHYfSnb5&y`f-xKjICjEhk?=k6* zMErnBelm1SmBqsd><{u+c z?AvLm>OYATWIF#MQVNs)O{8=t{f9_>ne<;GX-xVbkp?sAYa(Sb>02UYG3k3EjbqY} zM4CvYfJmeqCdova%A{l>&0vyBq}fag66shb^&--ICiS*4w{IS)22zPs%5>6+RK}z} z@En1jssqOmX*nzF4^I?WnMR~4RyK%8YnU{INE?_mj7Xc9lu4v0lSUG0Gm}OUshLS* zh}6cUaqzT+p85k5h}6l-Cc*uDR$DfacCxY@BJE}p{-UXY+gNbjXFE%=vsqwTV0uVB z6o8BLbfd4}?l|4CyHgF|4@~^dCJ7XP{lKiiY~v;(o`gtXF8zrTm`9`&SzU0CGYR(T zuP1?G2AEHzQ`n&eaDuJbMFNZ1fl_!P!#FP?(&;8wrHz zU9rF>B3;A|))47ZCe`6DsrQI<11rmy9+gQ=tr2kcR_3B6B3;K0wGin>Cbbdi z7ACb5>E}%9B+{Ksg7Zypq(CQM{D%Z~(w}YtxIcF+3!CGKbPv-$0UnvLvJ;7PA1gbV zNPC%dDv|ax>2x9;VA7dHdW1=5!v!7PO`ZhK1-1}E=Mm{~cI*N;MmN(YfeTqnE+W#C z?ARqldWK1t5$QQ5T|uN5nRFGAe$Aw7i1Z4Rt|QX#m~;b?UT4xxL}F7~;1(ji#ma6Y z(w~`hJCWXJ(w#*5E0gXf(nn0Xmq`C$(tSkwlt~Z3GX!=PHoh-Z(OA0~uZ7gWgK%}H z-#Kz0gcJGj!2V!hU!WVG$Fy{^JId|Qk#J33N&*K055q0xz(E*#_}!u=>y8)Pvl$IH z+c@{68k>##(-pWKN&yKx8h9)Obv&M?OaPwFd#hQIx=33mZejp8tz({R*)Da=J7~rL zV^`{dCj(ExC0gL=R3-2XTz%OuqWF-sz_a*cFt#$IEyi_D4!Ea(;Q0)&{sOd?`PX(& z&T43BOI>tlv<_~ngTH?T`$omyspBeB|NlA|cq#BQ&K!}JqOQiKx&?5JjF-;_A6u2M zWer>p)xx6(OBEdaO5nF4F!HKsd}Yc8u_~tBp$1-qyV=e7eW@~orq$qB-rO4Pzu9#s zlfdhNKZF9m54-{47UL@LOj+aBs2X?^ZoRj|c0TPLQ4)AdOz9Q)Qvhy9=^w|k(YD>z zT-H(x$Ulq13{L0rBE-4^*U6;?-Xlu6T!n%17YLLO(q#C7f_Funm#(?A$N!cg$bpYw zGK4Q_ch$m83J4ar9@hgO2maA3@NVD}caY~9K~4gnvS|GmkoYV^ND90_lp4nCKTz~V zhLDUkJIBa03;sU_{|a=!&JYxYZ(;E7py>MyL5+pyoA97O;XyG~2}&740O4&E9!!QJ zB|`|t!e?6WAcKcMw^xP`Lih;`o&rUw8A30F_jeBP91EYp@O?nJZ-zh+eOTS^37C_O$452?-+Qcj^jt%aVU`-8Xmf@z1T0DHx<0s-NMF#CV6d4;|6@`stR7))-$tZwSQ%VC z2JzAYzrNQ&f?f2oB8We5vDA2?qo*XuE<1v|i1agllvvLn^}EbSgtC!bLij zA(im)szUE5f*|2DQkDZj!bhbn2ZDr8LsxZAy09E_!DlZlhg|S62g@NBeA>Zs z$OWG*upDy1M+_{7T=4M%%OMy1UJ%WpAmP`4SPr@1r+rusx!`wtSPr@1_jp(ix!@Od zSPr@1=WxZgdcbnX1wUZGa>xb0PQY@=1-~@Fa>xZgBfxUV1wRnL za>xa@{%1Mlf}8r&910Td*w1pv1-Ij8Ipl)d?z0?n!Hx7;4!Phi`7DQAa0`5vLoT@0 zJ4W?j6r^$OSisXF248yS}p=a=|U#Sq{12 ze(fxWTyQgXmP0PM(>lu`7u-gjp6M!^?0^mAfrXw23G%>0&w~VcV4~+if;@21 z^B_SU*ywqXAP;=>JV=lSMtUA3$O9)m4-({om7b^ka583jl;j+oj`cj{hZC}%r~GhA z*7KAfPRe?o^22Fa&r^OlG3$BC52t25Px;~Gtmi2|oSyYO<%jb!%cCUc;1sRrDL)q0-t!^v9DgOs{X{}rI`->HV+KEXhCMm5gLMXfud9Tn`u zwQA@>_)*=}Qq#J#sug~U)2GETr(5`y9lAJlNhowt=u)EeR|defLFjUPm>Hu=lnw0g zRYZw0=^COmGwC{_bTH`#qMXE}n}~7_lWrl(1x&h)C>Jy7cA`AWq&tanh)H)70iPkYS-n9lS%yjyXsm`~m zP(%Ag;S!GdpZduN=YflZam-^9F(dR)DAXM~kg9|phP#hV^eK;xIte`z+Ls{=4?PN3 zo|TOaEfM(KGyIxNDyDl=_O9%V)NU=0v{gl5=?;V!uAg1n)m#IgCT1lWWw2nNX%%eo ziFv&+jWv9^@fqU|xOyywU7p+NQ+@oRafmI)UC@YDH3&w$;$789zb0JR($d;V7a@RR z8r&gd-?=*$g z?=*$A?=*##?=*#V?=*!~?=*!q?=*!K?=*$=?lgtf?lgt9?lgs!?lgsU?lgr}?lgrp z?lgrJ?lgt@@@@NJJz3I#FrILMOF2>#t7Yz4VUfc*$*3R?)$6!!mvGz0g?qiKhpcIs)Do^IFE z9eTP`Pj~6*ae8{Zp6=Gu6ZCYCo}Q?uC+X?QdU}eUo~oy(>FMcudWN2!si$Y@>DhXE zj-JA9ceEX_*Bwn^r#qU$K6f;QUG8WKd)(0!cDSSI#d>;)o?fb_m+9%{dU}PPUa6;7 z>FL#adX1i5tEboL>GgVggPz`~r#I>8&3bx^p5Cgbx9RE6_4IZQnqbclCM^o6Nj;63f9ZmP@>4SQ@Pfz#jX}6v}q^Ae;^kF?csHczU z>7#o3n4Uhar%&kVAw7LkPoL7$r}gw1J^iJgKC7qC>FM)&`huRmsHd>o9BmKmHAhp} zX^y6_&m2u*mpPik9&9p-5Is-FH%PhZp1-|Ok?din=FeM3+GsHbo0>7VrUEj@i( zPv6ngKkMncditK8zOSc$(bEs~^sjpQp`QLtPe0Przw7D8dJ4P6(e}VzaWsXU;%Ex{ z#L*OXiK8j(5l2(lA&#b>>*;^=^b0-xubzIXr~lK_uk`e5J^e;cztz+4^z?f@{XtKE zWGU_ehxLl0o=SQu>uHjnChMu9r>dR?^faiaAxxzlcx?ohp~1z^6JUKeoN4gh$fSef zKtU**Fuq$HQaWTLQKn@*(e|rhy z_dhCktcY7Q{>_?Iny5XNd(c*FtS#Z*jIDgq{XD zSrmHZ3|( ztx0N~7pe9BNo|bBQ;iN!g-ueMyhufkDybTiRIL}OI{&2V4$Qk%UQA_eQG{Ca9nJf3QHcP6}_|D?`{$5Sm1Pn~I! zI?IdH*+-SsIVP!dy-1zspVS5Mc&gRmsh^poF7zS=Yt;M(`cFXWVw2P*UZh~ToL^Fx z$K$Csho`PENnPnh>Z+qk>S~kJHD08y^-t>hcs#Yu;i(%;Qa5^$y6LEry4fUkix;U| z{ge86Jf3QIcrCy-DQ%x+l$ma{z?5J9#3^SJawN*>V7X$4;)oe zdreXgdXd`apHz1|p4#s4)I%nz174&aKB}Y+nxr1_BK4?$Qjf>usa+0FJzdz*rcfClxcT`EeZ<6|p7pV{Yllm|oPo3!S)Za`}A9<1b`%xwJu}SJ5UZg(p zPwLZnJav-8Q~xqaeda~#-$#|y=O(HDc#-#4*^Ns>uQ_9B(!o0O!)K9MTHLnvQ1J`yh!C7RZ_VosXQ-IQ~i^g7LTXS zb$DvJNh;rq6l}xb^E4yPjEB^D4pOsBQUzY5X8R{KCmvGgJ4nqnNzL;j1)Ed&^;BHz zr!H`iDl$nGdy$&&pVWeQJoPgNsf8w~MP8&zk1DCfCaEP}q{{r0Dv!rg7dkw()Fidc zixg~9;y0#N#6#*L2dN5^RHYZGD*vQb#zX322dPyisnuSjV8a%_o?06ZsY@KB)|sT% zdy#^@V~!%JjV7tE7b)1r#xJRwcszBf!&9{;sX8xGu%pgVBvo&cYVaZjoBQ}BwIv=; zUFPuAR+Chd7b)0h=qQqEF-f(0k%BFc{F2%hkEbqoc&gnb)!{{|(?6-Mct~C0Ahq2j zwZn@PZ2IKaQ@i3Jb)|#UaVDwby-4l$O-hXG8S>Q*QYVZc%el1b`h zFH)x*RZ^##q)zi91)FmD^;BGs)~<1M-x(&UGrdT`MqqwPJswY>J4u~wk~+tW6zm7) zmsDJyy4K;T^Gs6bdy#@&$^4Rv%TrELKQl>P=tb%x-=yNZX?C5%Qx}`0F7YCDsee*& zosgZRE;C78?nMf=hx6NgaXngdlDg6)b(I$>*s0DhskmlzC#h>pQrCKsf<5wll8P^; zu6M-L^(Ltsyhy=zeSS&B6;n=9H<_ev_96v42l^x>#uZaeQn#9S-@e zJ>#F$v++y`cRK?8mnNxay-2}cvwl4lSD-sdJ#UhF!HX1Zd+V1}T!HQ+^(&LqOJ1a4 z2i~Jd>SdGEZ@fssX25<)#TDpIPyN;;^{N*s*q7KZskmnJdmMrOnn~*SUZh})WWS_d zk7x8bNtx?BC9id!xLdPdQgH>klhm80r~c&Sske?Qskcp1?|6}dJ*@qDDy~3xdg@)1 z)O%i}-uF)`t{MGaN1*@3B=vz8DcITDuczV)bSJ3~O;UgJA_bd_`y~}upgT$Z-6Zv~ z7b)29{3w$8#3c1kFH*4ex?fUp1-jEy=89O!Yeg(>iu?ac>TyS)e{Oo}KVF{t!au2B z#51EiN&VL(^`#dn*ecksr{Y?d;3V~xN$P7aQm|LCUs7?Mke_gL-?t{I@4QIC2FN~1 zNk7KZeTVF%WY`awZObEz9;9$fWuK(vqnW^*xawlO~Ar zF*2ypjhZZz6As7&aR(-UCg-?K4w47Qm;{!?@AYjmhGnKaLN{3gTR!1-QtJ3Y6A#E^ z_%v}}DEz#a(Q=iwoR*D*z568UgP?>ykVjHKjFrd5)-d>hob9)U@#tGx!vuMXRl|6w zVFIloN6zKeF#RX1Azz-M*I@M}IDR(2g4pmt;%0a-(sSgwy2)&49kwrd`~kU`Z;>zs z=61I2p*#;_Y`$C)!wX_;G2cooFWg4b)$_8gf0YVT-&K_K(Bu=a$3vb4ic}#t#}i>44n9 zuVOsYF(-_G+^i4hfZPHSPPGBK6)KbUxNf3N>Mes}8mOefL2O!Q4^7+2uQ#@7T~G(> z4@c9w%%<&1Tq+w)Ivy(94Sy%lCY>Um zs#n-4sPLa4q>P6OwInTBQx3@I^Q&FNG)<4D$fwB{(3Yjhr^`R1)uza2V6|vbJ`*JD zrxf`tkdmo%Hb@F3r77}hK4arx7l%&Vf6}0m7B!HxW zavG?mfdaTEPe)8JSzglvfya3$I_x!_r z&b~Zl7EFFl409+!nJ>|m*P!wkL(27hye27f2N#xeLhGx$d^ z`1%nVd{h3D9=g^Q)r9@>lMg^ceOw*GzN6*_(DGaQ6hk*773H_(cl2RsnI5!Xe%ilj z>nfR<6xzAH`>wCv6*{=!_x*u8x!@1{fxEci5B-6+bHN|^1MlF1KlTUS$pwGn54?*D z{?s4%I4<}zf8gV};LrVmcXPpC_yeE71%K%eyoU?^${+YdF8CXN;FGxE@BD#J=7N9l z2R?-dP7?fqPvwFof8f)&;3R+G)45>9ANUL|IN%R_CKnv?2R@4nCjP)@bHOS8z~^wm zY5u_Ha=|^^5^`TuoW}+C^;h%xTyQ^s;0w6m0sg>0koV_7d+J;_&P3lx29xfp6l1=lTQR%mp9o4}1$3 zT;vaYD;GT9ANV#dc!59g&$-}5{=m0$!HfNY@8E*V{DJS}f|vRO-^B$l_Xob43$E}7 zzK090@&~?`3tr_9{0lC4jX&^xT<}_dVBTGueM#&6fgj-3ywM+cFBiPYANWBoxW*rN z9~WHb54@iXuJ;G-=7Kl-13$zCZ}A5{zy&w?13%0KxA+4ewb<$^o? zfgj_7xBCM>&IRxE2Y!MJKF%Nb5Es1LANWZwc#l8uQ(W*#{=iRj!Ke5GKf?u|<`4W! zF8B<8;Agquv;2Xd<|1B7ksHd@UOYx z%l(00=7O*E2mTEge6>IDD_rok{=mQGg0J@new7Qp(I5DCT=32Qz^`$^xB3JBo(ulD zKk(~Z@E!iZf8c`e@&|r{3%WxhFZ_Yu}>X5Bxb7{H{Onf4JcH{ei#WfD~C(F(qg4O}?Li$v%9OA0%M1FW=;c379;FZ}OuA zO!niO{5S!V{rM(8NxkSE`DFqohwx2) zm4L~ie3RcKU~(AWTAZ!#?bljHd&GZHX4fp4;J0wyQ&P4-K`XaXj4_$G%ZU^16)azp|q^Y|vS5->THZ*p`3Ca3XD zj!nSibiT>)37E|1o1B<{$r*f;lM^sGlW%fL0w!niP39(GvVd=LY62!_^G!}qz~mgh z$r%ZloXa;kD*==9_$Fs3VDebL$+-!bEaaO!HUX1Ge3L~9m@MX-oS%Tn`FxWL5-?f9 zH@PSQlMDDJ7bjqHA>U+K0wx#nO)gEqWGUa|@&rsS=9{cYz~mCX$*KfQmhnxlO2A|} z-{hJEOfKb{T$_N&WqgzC6EL})Z*pS-CRgxHZc4yp1>a;%0wyc@ChHO~S;aS5pMc4g ze3P3KFu96va!UdxSMyCaC17$5-(*VyCad`-+Y&IjmT$5>0h8S0*8RnbZoq)+re3N?;Fd5;SJShQ_HGGq&Bw(_ZZ}PMROxE#Do{@mb zDBt8+37D+sn>;50lMQ^6=OtirGvDL|37Bl;o4haqlUw*EFHXSZR=&wg6ENAtH+gvi zCY$*tuS~#X3*Y3`37Blo4h#zlO24MwXkK>!%n}EsV`6l-zU~)I# zWOo84PvDz8kbucOe3J(gFnJ>1w&+#n+cfw8Q0Spp`n=9~OH z0h8D8O@5Jp$!qy0zf8d7b$pXwC1CP;zR7PAFnI&tPy!}z=bI!6n7o5;G9>|%ck)f9#cxuW zk$hLoe#85c?{RM#9H3u|aBmGbEBRgyIA+V{SzPe!hFXV!sb%RT|;6+^U^KS40F1VE2<`>=Igm$dI3xU(mr(_$Um1I%W9y>awTU*CtZOKO$sTkAFz%yL>0lPZrWeXAc*`eDb2wl8+nfp&jo z0Q*FRc#IIF`tObJRtCf0&_R2Zk&h^&s{1IT(}nxe);y$)>sBTmQnI0ZuQIh;nL)F& zx|L&{nhSc?TmXg_xeT}TtnM8rf=@|-8c8A9j0$0j=s4+&2Qh_pXRr3?oT_CzPbO% z`lcrSzOmP&DYa(b)Yd-!wqqG&)@PfbYI};BbB895)a6?wbdE_ss)G z)He?tS>J4lzi;d{Y06f!Z<_3VvlaTLiS|u1w{Kcw`ev{1zS(=YzHyG5y}tWqukXIu zdqjP+_sIIDE&jf-*Q6=i%)V*2_surwn|9ha9cJGg%g#3B4q;Oo%nlY!QeO5P$#5gs9)WfOi zg!sGBRui0%_L$vxqP-jUKsTO9yYVD$H=g2f-~PjO<7qB+zumb1aNT%@%dp>W-0!;^ z_op36H|{^OZag#oZnV_|-FTMSjc41t5k9M^z-JZV3gaB38->5=SAP%2jK=Q6b)$1M zcBlF6#%|AUv=5r@Bl32)ZD9$hWs2IwEgBbY$NCS^VC%*Q6;In%=g)?FrZE7lOCp^O_Lb7aQId zTZMGIP8SRIDp%}Pu7$`-eoVQ(THdSN1aeHkA4pr)^Avq-w{j~Wex4Q>v^h=Pgo!;; z52QtV#@^Yj+*?_lWLE1OI0w>&vwFtr_1@Q0z3IaBJ%ee*#;=mShm?KY%0q{g*$(3A z!UH`Uh|^X8^!g*T*B|xY>&}7qa00#l z@R9Voa{xb_NUsApv0euQN7CyLA6c(I7JskXYJy&W-0bxy?7jXt^!gLD*AJP!o+}Xg zoBW5p$}eG@KIga+IOug5j~A9N^f0^*rfuwbaNG&NU-bk`7f$j(+DG8QwCO!-zU&&V z2h)X%d)AH_t_Q7-6Mis;D@Z*I*Wbk7arT-to;vO|N5?&K zxQ=_h2me2ExQ=_HC!qh1d*nzu?vW$wxJQnxcoVepQl*B(tP>N!SnxH<1=JemeKDSh2yd^BxQ&zkSs2i2oqf#djL_GntT zXPYrU%pSG%%iHFFdb_6q^%t{WB0_-9Pm{(^aPn;Jx%QZcT=v>0DIe&4HA(ray{|ri zzWOWetG{#m>K~52dhBq0<-Ei3nD4%N%y(Zs=DV*RJEFdN?8y4+lf(7ZV`)dySN7T` zDgQM4>Qj4P{S*4?Q`%SmQa;l^8U05XSNI+IC=8S@_9|aP5-gk0t^9aE6(`7#sdBff z-Yx{oCw8m7o;aiw9a4MmRWqRUm~M4|dEfr&wBZm~`t5pkkgdF*t$Y|hTOqRFL2nx2k+Ah^q4Wk3zDUmsO=mRlZbJ+4ex;Y3k|WKzpd5sKeDv2=!jV zV0DBrlYUD)%0`O*k!-xDo~EfIjTVa&^%n0N->r^vws?&H7C)DkX=|C);^)%(+scPQ z9pD!5syab;8zgGCI=NfT?N+Cmj(WZabI&`>O;6N;Av!BOC)5egJDiwrI&p^X#C+B>^Wbkj{4E#{&zy4MnUlJxTP-`Je7isS zp7GG|Zgu%ywX$1X$$YV|U#7Xj=fL6}Zu}_b2c5 zjh@Xx?{lEj0!Ei{DxbrFcl(FW<-iX(;AXsVDxb$eAM}krmV@h=V?4 zqWMu$?8ZQpJY`}qO45$?=&AW`IE2YFPWW&ScnJsotbh0d4*Yo&&TsHSHwGH~;$bk0 zIGC3XgDK@;UOo(FF$eR?VK7TLm{$*jDdS*XI}E0rgL(Zhn57)d8z$y(Q_wOu8e;2B z-{|EW^jp5sD>&$Pe4{Hk=y!diD>>-*eWR;5=ns6OS8~uF`bMwfpg(e;)4XPb)g1W8 z{^4sl@J}3YuW7%UgZ|VvdMyY2nFHaIZ;x0|)-4fA~fY{44+P zFbDpP3D+m_q;A!IZL`UZfl2(k!(bvD%nxo1T!!20sfooX0$?^DRF4w`>xW=kUD_D9 z*y1maLIaNw|bKPTi}!)u8i^L!qQ3f=fY`|%%2OrW+iFh1LFsSagA4> zHpF&~cRFHcC2PqPeWsRdgDaXs;p*A&dqZb3OVw1WdakD0R0A4Zn?X%f)u^c=}AaH-bY zW+6pOu`JMfNdhvgr-h23vYYO-=lohG;{mg`aCfY!-%ULoExm zO~bTdRPPRLm`!iE1}6xNJ!E9m)Xc9bQ_G|l?$R=C7Di|zEDN-zk=jVAcaJvGrkAB< zS$alIE&Q5BX``rxUudIj7Dj8MEeo`!G1?facfU5qrZ-j_Yv~y^weo8kr@a;Tpq68^kgLJZb;o$h)AFd^qgtL#Z>l!c(lcsm=hrk% zn?@}>u1&L9n66D{Tn`yhp0DLoy{EK%o8Am8-HpnA`01vb6e+H6bDsA)UDra9UiYTeUexB< z^p4fw#E)J^J)@>weD4)%h19}JTA|HCkyd0`plvGFimBeqTCq)UzBb>|Gio}HUsH)z zLM^Xg;eiVZJ|wXkp}lb+-*9ZUsI`8N-eymmD(&U))re9XiZDB zB~Is?;i}-aA^QO|MF;vh<9aPUP3LQiBDtFdMzAt+ZKK zrLD3o(3)0jtEt}m+G?BL8VxR`j1jKa1W#x9RaI-S@D{52K&!TySgWnIOwg*^vtSG;a3&W zBGkkuTEu3eMysJF)K87Bs?}<#-e+2^O|MR?v-HfWPIXje&PY)$N=KTK=r=R8fQ~xUn`)ER zWT~1}osl-$HqWxEnzd$%|3+)J;ajv83vX1_s{LPk=KU8+0pu1w9ty9-l$@E++? z)0P@;w^VP{rYG;I*hsvmVAG5Dve*a@4c=^|l}!RlW{7I@G5=VxG4PKCo8G*)1DjEH zHiuN3PxvQ_jgfyM*!1Cj9N3Juv-wK3>C5{nHtxKyVAGHHb6_*Z&PGn`&-*L)_vHPB z`w!p)l=~Y@N^4qv^cctoDpP&(0S^uwvoI2MZQM_z=r( zE!S*AK$^`s+s?D>WGEl1*aY#Rg3T~K%*rM>&1Sq~o8f%8ViUrL3pOM82+_v%xip&z zj%`Nrk%~w=ytJhzI$mllAFEgt;9~`gaeQ35 zg>rQp&&MnCBKde>-UL1&eO}s9lN>KKkxx`C3h{}8#Uwr{-9lMvGM}u>i{_Jsc~kh5 z^m%DZO?JH0R6bR)h~-lSi)nnC#lrI3&JdSoGsUsZbUt0NDaxk{HZ%B)^qs^e8;Ygb zOm%EClh0IaO7NM2%`84kw2|(m*-W#u!LP>ud83|W{YE`GaWea1Q_hyqaT|SSa z%88fr<%(rfzFe?e!B;r7eBJpenpiJh#re#4t!I{UI#aTGlg%up zfgQ(Cs+<8-fF%&ZJyOT zT4$SzIo-dZm3Y$GrlL+~O7w|EIdKhNqpaPUuMyT>%h#r@UBTM1d}fa8_&UY0Eng=% zuIK9&N2?22dnz|;`wTvpXO)`SrgqLZV)g!~*`|8VXQn4{wp|i~l>mLpKUI$N5&u*; z&IZ0AJwVF5jeMgruOr_m%uD9UY4fa-I48?VoRfJHH}Or%+MW3(VeQR)bK2TgNt|QK zG>KdI7R9kE-y%4s@D#^MtSKgOPDURRvr6KeEGKbJ){;2KE{Sn?I$T)7JL1zXQ}axP zofibX=T%PJ%C{=V@6NXh$KS@cSprH|`#d(DuQ>HI)U{r{xbvC4(yl4?HF|Sv|>oQeJCvOb;5&q!?c_Vt zcV-H+3i1L|5$B;pkn1bHyZA1}cM#tt`0nPr(|xUTw;+Qz@+rBqeEj*Jw*XTS zH&dm5B3exG0#ixn`%F*q0^7hy?;_1{CRbO{Y@z9Sr)JXfw0c=+XI7QWtm|b_*1Q(k zd9|VXv)S0y0hRn%5FLuQl-MXDVk37elrzqbp{5$d=i;Em7R8i*9+= z%$D1kg(%hd8UIYF#v%MOp&Iw_J?YhG3bT3^wIcK9ji2++71Lq-bHQ{k-GYI)hKBTC5N8LyGkzen_xB%nw__MbE>m9Y4-svuPc-!Ybo<<}A@4Fpv|E z@FU7jE&ugpB-C;3UmbQV7;n4aRNL{kSDUzyQ|SuGj=l7FdK&*5JR)~EStOSo(^zS5MX zjIXrIIQ>}!Iq?iXqpUWMpAlC3iht!aIZ2sk{477Km@eRF1=Fwj*BO{*HRDN{XZ##L zr!2pSpA(ip&(Dj=vC4Q-<{AHnf1{W#;ok_R7x)Fy)Ir9RGSB!$eo?Vr#xDxim-r=1 zxNI|?l(mc}*=3ym*n*sRnO{~`Tfr|2t6kw&oF->g<{7`ruPUZV{HkF3E&nzH)2wEE zRpuGL#;+;Mujbc;<*)PWVsfl9zAE#K-{3bC)3yADV0x3^6ippud{yQd|BipBSg+^b z3D&pxElap;GrlTo8DC|W@viF)oAB_UPd03IO*ZU^O)(tAJDUtglMN@64QF>6&TTPV z(6OC{ODTr$7~5j_!G)#&Dw+Pikofy?`hCFwJ43TG!(SX2uC+7lZfE$1GsC|f7_PH3 z9As-K=W${v8ypy}w==w8XXxR~(A$CG20O#=?F<8)83sEr+-PUG&(1K+nPEW(hRJq@ zr|b-)of*bCFx+Hkc)-rExHH324h%Qj8J@N?Omt>g)`8&`TSK|Lona+shSeMxrq~(Q zursXV%&>t2!>x9P4ebmYTNz$Tk()U%+Gb^hr+V_cc1EpijoLae+HPxPCH?xeh0 zAlI;Yg<(fVfnSbOTV4u4zRKI()A>WOv|MsOj~nCd$Xj@(^L;f@R*$mR4GEOuChksiwA#Jl{ivj5)vuCV`m{GPgh zdasS#$tGGmP59%0PJTHdyr{9$YQe$l<^IZ=_xXKg&4K*Bu;wrP7fYq$oskP-Q{+*M zCCg(QsdxI7|Ek<+JpWa=(*yp%>Q0j_cUs4K%a`S!<-3yEn8)aA48Zt`v7YgDjO~n{ z82e#dZQNnpjq$YchVhnUb_;Tgaf`!P-mR`%1B?UQCb~_*xWa9#TPnueZvS&}A9p{6@uvH4?te;Vk64eFJj!CM=kcCLD~yvo7JDqi zxXt5;$1#k5d3t&JNM_GMo-cSNVr=c%)3Z0mah~%%7hyc%dDZhe#^1f%ygVhdR~fI@ zyy{?V;nmfv2gW5{o4isme&Kb|>xyK?M;0SYkr+#xs+nqH>}48h8iR3x=~GiO#&1pc zO%Eiqx4U z@|o>3590=(&wch|{MFas>n53f!+eYR#$#;m+u64p#u2_Vedl02;(NjOGRFIUlAkP@ z{Yv{)^TWRU-tp_`*9GG|zjb~aFdp(d?{`r$`@8vv_=jOk@UP-u9b-5Dq5jyH|9t=T z{u?n~_BZ?Am&^g~0r>;4|A6`dEdt)hI3r+Xz-o+_1Iz*VeqeOqi-Bb@whrtWhlzD&Mty zHzjjOw~(PBI7i5#knOwmT?Uu|@`Y6AsK#T*TCPtyIqs~O#jKY4SOGLjKT^ZxN z=ylOpFZzCr6oY)lbcq=pGYsQ_n6G2Lk<77?v87^5W1JAXFm?&X8?g^!|B%duTNUnJ zxEIE)g%1}#Dw*Q~;-caZzqmnhljEjgJR5f_?gz)U6Q$|0dK_( zk<9T@d|-Sq#y8^I#N+$%pT_Tr-zS+%eo^vb$t#k%)R}>iNT`}n zL;W=HRf#?LTfUq2>0kOZa3y^9_iy|$);#}wLOA_X!md`Di>s@LGBp983ATKgn5L@J zt0>Y}I@1@zk$czr|s@~mVAI99B%u(mjG8xSgmh;TOO0`p$HDxka zolnbWWv;LdpDb9b_Ud;0vUnf$ezdKJxsR~DfQML0T~6I*&_mu=y+3XD-`rQ&cJRMg zT3ueTX$^H93e)3ULkL&V$9NR= zIBM96J?6F4^(cH#Vl5$zC7!_1)Z?k)Ect}iRM(}jKFKwO@FqOLqpHVM!(93audS|6 z;eHxx3t>-u3P)FuuZF+OQ`&>N4@$$++=GygvQIG-YBg9mYs^L}3p+OGWv$}68i=4D) zp*$MqpiryfR?Fm#9JY6L|5PqHaqnqmlgQr6fuUE!ua-}f9C`-z98^X*`3yoiHO;}P zP}8B7)jK)-Eb4ivymG@?gfeTM3sR$|M=iG&x#Udhxv1=N)0u?wYn6*qrKU?Q!`8X% zZ0h-_9CPE@(#rA$);1TWPEDVsJPWXPx%7&-rb&|RIBM$%e==kc6RmrRPN8>+0)9t zEbH|Qrd~|{vwD8~pT(6?KG9K@^?pW6KrDl2IR-*0^m&F$K`e)7Jq|)i^n1ojLM#g{ z7JtwO11%36FR%exY1n-{a*!4iB_?9qXfd&TjFw`O_S8F(4be&^tyI)9ovV;3LW7>&|m%e1O}q*W_ct`^%zTe<1gYq{oDXDhVo zedN_ER|KISm9c{AAg10R{37G zL96m7QsrW$YcYQ^l}<4io`ffBrT)Z9T`YGk?oY1VZ7=Yh*=DWkpJ>&Km9NGA$yUB? z^;cslTJ=Bi>K8jei~p1F0NXD3g>BQi;Hh+h*a=z=o=zwH(+zm@s^!m4>|&`}H$1g& z5IaK4!_(^s+pZYTc4}SmRJ%g#3@sN=w=*agc;U$MfKi+6)>`AKw}#jrT0WkBdr&^` zjveJ`l~jk`?&~s`?a>+~TQrK;ELu*oN3;C%n4-Qjey`Rl*``&*cG2>ZecFZcBEB=f z8{4mSO}6S9v2(QCWUtOi?;iCr=b+X-*{*xU4$|_I{W{3Di|Vn%S{G%@E)qLQ%Tf01 zB-?Ik$-dCKDcg3F*il-ZvTsLGp3>guSd$&oS}I$&l-O2UuCjMqr9WUj_NwG^R(RMtj?p{2``a+t+if`Ydx|3wEX3~_Dg>NR9{LtuXSOL>_V{>`X0}IlD7$yR#*`qIGAE?@qBp zwS4CM4yAkwFZFz@wP>zrQL#<6oaT-;O@BaDUlO{mb!)EaR$+F$U@gD7uY+y6IM01{TkGOn*~MZfYdOxHoov(1 ztQz}K>*ieB&0J_GeBlzogp4?rDwwOf{aN`+p-VXFIwK8nY^pH|C_mLdB;VN;_M%__LmX0N&oWEEX@vr2j$tE?~2s_470s`_QDn*Kbi zp2x&$ck?bRn`>ca!GuF}b0PExx&$@ceX5CD# ztf#3X>t#B}KK8D}dV8;7pZIXr*JmK>=kp`$@B1bj;Jb$n^n0ES@|(ei_~&Iq{X4K> z{^!{6fXZxSz-Trq;1nAjn2(JKY|h389%18x{Mh)Q#%w~+0ydF*ut~fJo6N7WDZ#O9 zYH&w3EqF7V5z>y$%-@8~3Z2Jhhqq*N3dFK`1@5u=1yk9A$TMu=bCGP(a~;^?=eDyY zg=Ds@P$Ray&?2@XdJ0<^JB%g8^s2U%*#SJ;kH!`RMJzp>p3k?gaCqHJ%% zd(73in=yGC`%S*fBzcN!1apxuu@HGGdy(mks0n!*v^+*V3zers(;HuhHUpYuEXN|` znb7hY`$3xp&0uT`Z8kLWHOOW4Epn19lKwAjS z!>s|dMbJ!cWuYyG=H)g8+7f6!ZvCJwh34&+3T+uQKeuJjmP7M(XV6wa3vjyyZ6!2+ z_h@KI(1P53psj)y=-w9EYG}dk4WO-o#@#1CTMI43y*IRV(DJ#Tg0>!7sCz23Pod>^ z{}b82c~=1~?}GPD97(a<(Qi|}X#Z8Nk;k2=t{Kr8663|b1bLLL*KZH4xn z$1!NzphbJ6K-&&2%F_p0DzsRSKcVe_7UP)+Z6~xi&q!#ypcVG)4Q)5HqMogweFm+F z=OSo(pcV5R1MPEY&wE~nwij9n&tuT`K`ZX%32i^LlAaHs9e@_^RR`KZXbE14&<;T> z<<$e)VQ8hjnnODR?FFwCXkS1}^jZY%D6|*7u0T5mt&G=UXvd+wWQv4#0$N#*wDZuadK;mA1Fed8b7&W!Rrjt5?IN^l-Xox0f>zVJ3$)A7YIq-pb_H53?__9K zp}ppP58AiT>Udv(b`4r>p9E;vq1E#Vhjs&6U7x1VZbEC|Qx)2G(CYilgLVsAL!S}Q zzK8a@&wgmPp}pa=9@-Dk8u_|G`w`k(KKG&h1no`VcxXREYvP+9+8t<(eY-(3Lu=~$ z4z#<_-u9gX?H;sue1}2153QN+WoW-ZYwmjp+ON>w^^>7JfY!qIF0|jEz2`@<{~cN@ zKZ^Yy&|3O+f%Ye~)_zT){SVsvejA|u1+A^$9B6+-YvXqj9nPS&^E<#Ij5=r^_=iDr zf%c)l3{4NMy?=FRd7yRhF9|I#w2%A|d!q!clRsi_bcNQ@eT?3F`qdT-70m!e>16uch_n~=0>lIKJnisU50jr^zp!E)z2F)AV#{uN$ z1FcU0`T0WoB(MxLKWO~|3qkXT);AD+WDI~dAP{|I420G{a4EDPXoCXBL*vi}2Ht`e z3~fl@DQNki4Gt;}Ed<)IpnTBsLmL`|o-u|(8xe$_F@`}K9<&2mIJ8kg%b`U;8yR#1 zS^;Qdf{sHg2yHZvg%$~I9QT9v9JH~#9<)NxCh#)QqM(iElc7aJo5cG%&mb#wyU(g%5;Q721aI z_Ry+9`!swdwCd23!zV+l0c~SM6ttSqHb;0vdkxyAh@Q}DK}(5v4_a+#TMAIl>pO{&{{z|5z`6U`_PWZ zd;_gDv{NzrptXT^GPX3dw$M(;7J&8vv@c_qKx+r>tJrbS+Cw`N`v9^+#7|7vrWu>jv#g+yH3Z zpVK;Lz?!fc-mzfLxi>Gw4g*O`~Z#GU{iyJQVM4w4a2d<$fe0Z|2Op-Vm;6Jhy zY@CKCe4o+RE)r)ZDVUky{G(In8Me-AAI|w#r_Qr%o!32-Gm6()H0IbkuYWja*{Sn9 zTjvcA=j`Lud4a9-#)osx=hS(Tt#k6jIY&BmUSjLK>EWD%95loD-ZnudsFA z@^H?tICW04bxwIW=bBEPSKB&oeK_YvPMz1R?_02lJvF%q#3*UNHyrN;;VLqJw!a zJD69|!Mv&t=GAsEufBtMZ#kIP)WN)#4(7G7nJ3AE6l9~$w$)Zq(CW1N6#vB(kJ=`I;kIS%6N#K6`eGaZjPaw zcregOW9jAux``{ePTE2@x6w^pc6HKgbaOjlCuz7~>ZGG|^90?*RZb_JrJLvJCN51n z=_=j)j&9<5qLXgZ&7Y*7b<*3==(&l`wIJPWPTy!r8lF|?q_%XkJ>3mY1$0sey4jg- z;_+K28TAJk>!e@DSZ6{PLRUgJLU%$BLQg_3!pDT(gii>42z?3t2>l5I2m=X&2!jbj z2tx_O2*U{@2qOuj2%`yO2xAH32;&J82onjD2$Km@2vZ5u2-68O2r~(@2(t-u2y+SZ z2=D95GB%&ST0mGxSVUM%SVCAzSVmY*SV34xNFuBvtR}1>tR<`?tS5X**i6_!*hokw zY$9wSq!6|ewh^`yQVBZ?Q0Y>?a%`93&hf93~tgd_g!$I7T>5 zI6*i`I7Rr9aGG$2@D<@K;cLPvciG}GtPhcJD#K8ERQ>nkyReSHI_uSj3j z09Z>2YYos3WcuRz5=`%|_h77a-W7o4%2*|`szazns7-i{&?GM&o*NSy5nd;}L1;jz zM`%c>PpC_1PIr5c(1OsEw6_WG5SkI*CA>v=lTeOOp708x0^wCcMM4=uSwR1_{v6YP zqrbrNdJ}vIz63vlKOuk+NC+ZuLNFm8A%u{h5K0ImgcBkN1qcNRk%Z?6g$PlEXhIAj zmQa`wM<_xlN_d`7j8L3Vf)GzANhn1~AiO{*O?Z)zNO*}*hVU|>ETJ5sJmD2W1;VR@ ziiApp%7iL}s)TBU>Vz7EnuON~wFtEdbqI9{^$3j!^$86KuM-*)-XOe5c#F`O(1h?d zp(&vm;T^)egyw|z2rURL39Sh46Iv765ZV$xAhaX2Cwxfwh|q!1kP{J_6aKZ?}NWv(>Xu=r6Si(5M zc)|q2M8YJ(WWp4}RKhgEbixe6Ou{U}Y{DGET*5rUe8K|4Lc$`#V!{%_Qo=IAa)2eG zt@J7St$>t=v6|FnH2|HP&YkJr1za`~HWRiIQVF{VdkFgo2MI?A#{gXm`syU%G~q1a zJmDhY3gH^zCgFR+kASWXeRYR$kMJwucf$Vwy$it=(6u9UBy=J4B=jcqCk!H#BMc{u zB8(?YB1|XDBFrZ&A}l8)5h@ba5jGIE5VjHMyt+Mv1BAnbJPFJ9qpZNfW*mW0-X_Jj_EE`aV$`l<(^H=!?K0AVm;7-1w~3}HNB z5}<2BUri;pJOM|ApQ`U5)sN&P9E{tSIJ zSwDs87wQ)={Wy9knoDR*li#JUy3kiW^}U$Bt^Nb1U#?%l^z<}EzeoSMPQRZFlIg*# YGCc-VAylOY0)Kq~Gvdi0)8}RE|8!UX*Z=?k literal 170991 zcmcd!2YejG)t|l9?cSZn@=31iE?YM4vLst_!$y*2TejpP$-T&D=_CuQ7Z)(5gx*7k z&%0mPojZQI*IN(F~P}Xj@l9d$h1)cXLfev?JQ762C;$#)hrg@TU@0A{q7$rgqds8Y2=J zQO1Pq_Gn#Ww5Bt=5}PX<>YF2-UG33167g@{-5KqWGRhPwIJ{2sP1_;`7p#nol>EN7 z1=7}0Qn9a}KRsRY2jzZ}G$2#))!t@r*ZDJjpG|+LP2b)=#%j-z zZTgd}_BMNap4Fa{VvW~7hqr@>Zca#%O96f3MtR0n0CJ$=ZbY#n-C6mC;oOJ)XvbCcN=cdXLnj>wTQPVHDa@TU;RT-iY zX~m3|%8JefV+!Y|`*)|T99=lg=O4RgO>tqNZ)|#SLS{r(`}l|FNKp6ZM6$AnOh0!LA5l!urO5~tIeFE z&D^qKUe=l^iqAK=P|M8DR70x2Y2`9WF0P(es}>a(hUL`sKIx++-)R53!oC}sAIDD_ zF};3LFsR9X**DHVrJ|^?u>XKyuyjme%F&zBYS;8#pBD=HXJoYbeY7EW@~*OVb2>{_ zPp|JIE52O+fJ`m3Sd#05g}#lmcg#$j^>D`-rg5DbpZ^s)0r&LXMLx@D80eaM#R-l2<{ zhx$|H)X;>&oI=0PU!D>17j$ml+iy+FrfEk5pPh~Cv@MHrH{v+Q8snVq*NTjMX&jcj zqkQksLG^2Mo7Rk8nZ9yKq3ll?8_bOK@r}(Hwzg$(?v8q}w|qVF(fb=?>n{cS%R3@_ zecqNuOODC!voSw7z`v^BN@=SUl7m{7>k%IQkb*5 za&>xodY_10_9!hw=WN*k{jcmBROOaX z!*~ds-yh9_e4DpH$z0iY^Ngl`>A~IOGe^p)>rxj@9h#RO^bg3$S?Tx7sj0JyZFU-< z|MUvOufu))SpTyc8}{}?{)-I$VPz#dj*Bz0j%XR2H_-1d@z+SRef`I2=_@M>-P>FoVGr%u6)Skf0xi5-VE+n4Pbhx5VGC3}=?=C{s4 zVX(iU>togzs5}g{y1Mufw(QrPg$H@ zJ#FizI_y8+>c7zJe_+~>g1Y$%?{~e?@5t1)A~=5LLmu~8KP_5ZL3d6b2Kh0E>iKcp zASorUwjr1GSF?S{bevz?GGTrl6PX3`*MPw=fAuL%%Uaxw$9ZV-w(=o>uQc$h(hJ$~ z&E^xBzxJ#y-zcTC;|u#ut1W0=o7XabAx$^OkMqdhN%hTZXJyJ$B8!@a&)HJCnl5S{ zoCn8URzcs*)1upz+MRSrx^IxJK6xX|YZ=29L*DNw&fT**tyH46`nYUKZ7N-swTrHw zvvnODH)9KVy=>i)T}uac?gV^auAh5M!@$YVe?g`^gZE!jm$i1vkeOSeN?T#t`q^Fc zLuHF$zG|7jB&A=bzaUy)0sa{|r*7%&J*)eSgyUXGFLLM&T(B7CiA=e~X>aM)tX*ZB zq<+qR^LFELJyr@bz6L+K0rFA_I_-`wgLtL;GPxgWIw~fwDc?A)p>l7(!7g6P)D6=* z3jLB3pSuUgo#E(za6#+*{FHu@b6g9XH%{wt^Ita)j)zhyy>i)}{OqB5bxR>Xnl#KO znm%U3>@D@<;Ji5m@;)sK@_KfrT&OK>88*9aeg<8Xy>41v8~81|FlaySng61`P}|nw zb6TSV_bi;E&DlC1#tD8(2S3Akg6ApvBSsI#6Qj2g;^qq#j^Xpspgx;(8|G)s-9@o| z@Ag5N3)%d(da5?3cIDnNJ6mx4OIZF4V)NA6f~^f{3wAbcp4m~lw`OPaV3;?QSs8tX zS`HF$UpWriH%k52W$YQqcrRHnC~Y(5NzG0;P9Xmr?M>Btiw8|D3^kSN z=Y{BKoKL|oqs!E>lDmDz(a=5!t{aT=LC4B*aGXJWR*p;QSBUNGc$voAVSMZ6(Ht4h z19)7)_!=rJkl(bXYF{YR)sA`FRIO-`x6?4cca{yGadZRlYX&}remu_X?HHdKN6Y2l zufcd6gP#q5ZJ5FRXm5wS0-nr|>^Ott4*dxJQl<_~_YDU>^7dl%7x35($3O26a2N-5 zY+(TW)NfFDGsIc9pTa33=~x`US=h^Cet|;QRvT7aliXi21L4 zbJikud@M;BoVFzIiuE&{=3;Duz zRy~ZD$C<|y+D>^cy~neN~T=M6l5Se_4_zN?ha4{*NW`8)>w zw0>^1RLL3)afRzm$WNAsc{}?K;nxeu1M@92#Xg>zol}|LO+9ve40YIpe3^yuGW$h- zg%}6ZkNI?^v(D$o`9mfzPQ&@O0`g_Uv}QIx^7A(256dGw4`KZ3;CoLK_?sU``Eb5N z`>Si=yoP>(yfw}@Hv9&5zL{3PJr~Y*m~SvI7s~qe^pNRw(beghZT*8O$A$a@w@O77 z^FwXs_c)AK`M~~zBX)hCPa?U-jjNJft&I&e4V}d;O|1=$(dDh34K2+bS*3Dgj+X7w?DA;mwwBt(k>=XQXh&9AOUw4I zR+Wqa`|(v(GFBoA*Oy4Tez!5JeMe2>_HDK8;HB{r37S>#w53v8R~H3^NpP34eFv*J zEZ~jIjt)?m$}H$ri41n%D$UA@G`BQo<)|bFKJCE!m)R@YqqWhxtity8mYszi9W6Cr zCr={O{;5i4NF>#!A`xFh3wXKA2u4vC`UCEP;_X>>YZiu89c`{|1E*SA5NFUyZLIC+ ztgh{fG*;I-YE6z>yF~ghO}woNrr=Y{6s8lHawq^G-42NE>O@dX8|a1YdfOUM&E4eI zYHW$of+o~z=&0^!sEtM;N;RDwtf`~3r9E0*8|jQxBMZ>#YioiIT`k;F|440Zdv#N! zvu0a$?apd!#6Abwns>Ie*P0*nZEmS%4NcMZ`Y7udT56q58I85o%s~6LhE9YwLgbu{ zz$dm5G`BSfG>t}#O10aj)o8P6HQH=iji8A((|{*yQqlTq=lhs$fe$0M+fR zWu9+uZD@_Mk;S$;Mu9zU?W!}KVA8-w)Pxi=ts08%Hq?W3H1*J<_Bwt}ZJ+a$tFG&6 zY=k~K;ZM&ms|nl%TFi){1@#a<`2ZGmQAncxW%h%dx8iZJps=d2y1b;kx~z0r2_887 zc&_SdZH!I_g|&``xnNSw35|K(FM(sQF8L5dqjDZ-H zSyP+-5x_uCp9)2K`bRi4eF`+R(rwn*+z2|UMT@Jd3l}V?s9p>VtXkP}=!bn)QBkR?OY+1AzlnW~tSHsjujfTq7_29e!R=Jp3R8?d*Y}B}6tl16sLG7Zd!bQ~! zmzOO7>Smo=>yLH(D7U(F*@BX_jDW7j8gdwa2Gi@;bfZcKi zX+^6_%NA4@E-r#Zse-YF^oF&i(_v;aE7i*it4deH=g?GHy`rKDowu-XRaq4r94yxg zi;GJtE17Sm3EIG`F?zXzHaPXf=mC?MHaH>0wB-xh3LxF={7RM;7L}C%LqRKW6tn_M zK`ZbSv;tE>D{vLG0$V{V@O6x~Gf zw$P5?Huy2Z`{Xv3t>Q$01h-L9vZ!?VGJ{fO;erZNW`{C2#CYiB`z$CgtS(x$(3lKD zyk1#d3I{ATDwy%u2h**rhC^B+gBBK6R>6T=RZ>y0YDHCbMahEFijrbDBKnjT7Zxur zsm?_w0k^PTxA`p1-h`8sqXCcNbi0P`IlH0C>NSPHsh^=%QfQmRgm9$J{uK1pN%TZ=k(HLkk77p+}(!rj=K%#9d}!vpbh68w;s+r z?lzov+-*3I+uN*pJhm0*@z_?J$75T8r=S&>3R-aR&x4Hd^ zdTxJWo76DL%O#Y;Q5E@O3OjeP`DarK(z6$@b2U^wH@V0wIdV0u-{s|w3-;)ckf z3A1i^A+4eWF8He8h}SQZf=F9GhEjEzyCS65xF@Pg%S$S%%Ssl3{Sr70W#C#Ne#an- z7vSS5<89a0>~_tZ3APqvc@fu#i&=SB7NGi%w=3xv>0v1y?uhV9`}VHp&W5IFc4cRK zR}HL4iZm8S8XGI&j*2SvgR|h)mX^+r&h|*FM9#M}h;v`V{v`m+HZ<4UTO7cu-L291 zAKK~bP=nwcDh-eZhNS+|puUPU815EDT3Z`;!`&hnbZ?^r@DOQe1|iZgiKNsuG}lsT zgwz+Ujg-hx7aZ?-RCG00fv2)mDHAfjeEEV^WhGg#hRofST^D*8BV`4p(b8Br3pj6b z1f(ONtu@jfX^O)AD|AH%3)lu*Sb3nSG(nn()ZkuDU+X&;ziB5;fiTraJ9*?Ka+Ezx zy8mNM+WqRv9V%r@(?Zfz3Gyc#ZSH_&YK1j5(TBs&tg-IEFdeecz`E7IZmzgOW}Oq}aIt=JX(>C*0X;sf`9CxK_3g8a~y* zAjN`V^0#Y4Ro4b7RvSW<+S$nN%;7zPfV9F1htG95NU?A@gV;NRs;&)EtTvomGHRnW z)!1QOOM6YUdRwHiGa#*XS^+iP3IfpUJn{9Ja;8%os=78vvD%n1cvRNp!aXy%SrCx6 zIPsvM<3Z{d(_0Rlocq{Q4(b6toj?=-Aj|q0^FRaM6spPL9dC140!70TVq&|7hn z7t$n%-L`Ird*=b^GFOLILZPOBx+|e_t%O2R0D$Z;J8Ta~*Sb2i@+eoBN4c&%!n!d+ zVIJi|UW9Oj{HA(9y2aI_l|ra0VC+hvTq}i86aXMALeXaZE<8B(ZdZ?10_6%5C=as4 zp0rrk$B1HVk9m*`))>L72VDlNyg^lg0axDSS$Tt^007xwjS+f$%+;fnIC;Xv$#W$R z){QX=6DJSSf!dy_K%dXL`m}Nfl?9|-xszw*4vGQ*Bm_Ilz+})KZNmfPC0CzT_T&k( zC(o5VSU1Kg%$_{Vp1Q`aj&0RV)m^Ot=`~l6R`#H3Ea~a4?7_O;BZ>k5q=d?Q1gF01 z>~6Z1Iw%UzuGE=sr4EV$0OSKdb+(kGT4@5(brHhd0BOFj&|7L{R{ML>PqLv>r@Vx3USZd3V4UaCSe#N+1*k)?5iR z!%83&1pvqiclYe6S_HcfySle>X@)SDX1H<*>&EDXxikZE1fR6qM;~o!?c80>`XB7- z-%2h73PuBoV^5J8R!*TP06^lHPu&~fDLn6Yl*^2jX)}bGHp7)^SU1Kk%(NM^0%WtR z6Dzf52vaM6T7XnLG5J<@p%^>9{G0&U;=))tlrPMo{M-PExG+{4K;Sl!J>L0Nf}kkC#k@Nm}zAKiUNQu z6J}bOfT954%7mF#CZH$)KzJQvup&QG82OnHP6xn>G=3!L!4>J5R-{pk1WN@fR^#O2Ni&<{km zZLRKvN2L%R3k3ZRxAhwfr}8j)ICeGyA_!4TscUR#h39>&tA^&9#;)3EHr$)3uGto8 zZjLr~fY1G?1D3})Eeo}&JVwq!`}mj_DO%j%95_Cf5FA}jHRx!V)ln>f$`j;?*aI%- z#}&H8g=O#!-h9$+F40}@YWisx>FPZLTg_r?JZ;xGP0@%{3wY1ctawyl*4|?GUUFsjlBCk?qzv ziW^&Mw$CvwTiYjDZGuRd`hw3~OyDSjYXbPcy#o?01AaFH=hcq(8n|+4tH$36Kx0$1 zp?+sgXM1CHYfB?LCfKRpXyoS;`1XhmjgSXn*NDJrodT!*zmJW|$H>Q`m-m2|O`q^? z;Y4Y+*u2UPs%T9O{EDgu)`x&!YVk0K%(faK2~Xn3>(5MecyP?r7h9o=mlJ}|TxS7^ zob(f!vW{s%uci+KpW*TD!(%|fv7;N*jwoEsMr%!n@L@xIR|?`QFd45qmjkGLmV7n_ z?;LgzTJEpLySg~9no)ulh{^dpCO% z7+W(rTt%_0bK?9%CX!TvvVCR92LN+e24t2RHDdt zQgSre9wa{bZc3U+GfMm5(%bk&7W`rt2*myvi;@=7ipt%fVJK%c92uNtmjV0C777W-9$@zc2KtY{ltV~%(H9B$5p z)e_m@Z2JkXzR~*d7+S`!<~Sq#cDI_6E*`YsQL=+ezo%p;m;OM>E-w9%lHFYT6D7xR z>2*qu<q z6(#p`X$>V0aA_SS4{~V(B@c0F6D1FGshW~UxD=t}Q7+X`@)(z*lswL*dP<()QUfKw z=F)abp5#&!B~Njwg_5Va)JDlOTnM4LOE*yRE|+ek>V!tV{&Rp!5%luc({4BvvZapcLE$2$(@KhsGsxW^8 z%@xP?plJOxn(K{;qlZ7U;aVem(6Tgy?D03$y$;b(iaSJ9au1PR3AlX$isp~BIrq3~ z)&M4nh6vW&Kk1Hdl>Oijf9dT>%@}e|6qz;rUAPBp_;Ya&YWOR1PilrWVd%jxmgj`2 z=J+W(?=Vy3VXgF#M9A#xE@c_`FnP*IbL9sT{qf)9_d{*$Gd8-chx-as=BMZ z3~PpWtNGql3%KgUUi_;6tn*Nmb>Diktb5m+vhH7R%DRWWDeFG=rmTC}o3ifb-U5w3 z0iEV8dZ_9#e^J$A{-UbK{6$rd`HQL^^A}Y;<}a%1eihAIe8&T0I|T03QKCG@yVUsO zSFR#DExR^P=ta@`c|KR{k({~J0@o9rcWpZ`a3#^K>fV^47k<_+*?Zw<{=mH#e*6c$ zT&YJ7<0FCIRLqN%9)nm9t`r;~8iu~XLT(XmR>9Ro!PnJL%a+BWoU>~hR}Q`D8J7;CiOX(}$A#Ur z>4hZQAA=7^G<6zAZQEw_K6Ya_4Xzo7D?2pVRuf!Rbfu^>V5i^Xl3iD1yMS+LLOZuG)K)MHmxUJ54(b6Xg0Mi@a|C;nNxiZ_Lnr=}W@zCllbs+$ z^FAu!w9mIa;(DU%JeQvB3RZLqKy7`KByLi4ZemK--bq|hH0{Q0sl-)9-m`XE;yR)$ z3C9LZTupSZ&)AnKz9DnFrudxfr^B8)vrctAv9|VjV(}DBOMh$3#=PpW56SldkX%*na?6xYkJtuW9xX{KZ*nFUYJ{l9?K750yDg)$|x$sGLf zYD(sE=~_yTI+KdlcNv{Pn`<61W%ocxCBp~in#<&ofdEjo;sCq z37$GFa>`522Y(zc^f=+TEZoG>a>(g@YJb{>%mi}axTGBr)6A%r%ubc z1W%n-a0#9|t>h9sb*kVJJaww%5WEjf~QVvxCBp~)^Z7+I<4ap zJat;nC3xzzflKhzX(N~5snaGd!BeNrT>1+o)m-|Jk}X{ND3jDHC+0P zl3FhPgOVuc{7*{ixb!bd>bdl9zP!Y~U>$$e8f}LdZzByDC|Eb2Zc6~RC}j?nZCWOH;U zLU4h%4Ft;v>tG?jxkQF#DJ%!i;*0sE2FM8iRWKGM9kR}U6H5JHK^b4Es&9<0Dg$uI zo}&#n15*YCm4V7&iHtK=;6Qi$)sr19U9jm!?m8Ea$ zRf=mpz-z-p%1~tltRiY?;yeB09%$q20Da##`|ezN6IDhjnIWJw+7qQxV5cguylA9B zzlXOAsLD8rWSTzgL5q20d{8+;nE+{l!>WNbocXr&=>aHs|G*roOj0I?z!vO>HqfBw zR8*C0$U5UQ46Ld^0t9MXs`ahoR@#2-&~s$a4b~zuXCJo3Rc!GpRq~YSAthIVALp1| z<0q;DznD>>>#PVa``T3=1*bJ04Ordf zbP_BQ1t;Ok!d+P)J3pKOCnIiQBb*Moh0SiIe1?U*0}CKKEfm11i(9C5e>9UJAUgpE zvJ*E8PISD#qv1ryCHR#POXRJQcB(Yar1KeYu5zX`|AZyQ)?=60+ zX(3O!3Ma&?VF#3~riSLOj;wn4nIW78vtac;Y&Z#rBs=7+s{s8Nx9z}ap3>|?hhz3y z<+>1z4X!zt@Ik;e=PYAQrqjQ0yvDeFQM9?LJ+dR(-hmSW_-cr9QwW@VGc5j%Q7#3_ z{LVh6V8J3}*KB5QP2?^aD(fu4ICP4zmn$3Ja*YK8GX6*@8b;L{)xB zVa@e992@4z2l5Y6>pnQJ&Ah}WmKgU3m4nIyaAnG!_Yho#a_JGc&g9Z#5;?{;9pdG` zX@`xjhg#Cv!(9ib(TG6;G#siebtpC*0}| znL^EWF~LqnW=N6_f_`S7rM!u$`&JAUIIXPbPI))xGoIGCKOWMINo(B3Zh+ug92Y)M zvgQKZAOK&aUJ7qks)^wFyD9?jvFR+?RTE|Jwo(=NDm0s)+x`aMIE`t!B3i!;CK$;5 zzr*(g(|cVXB8qRErVcyOt_nD*p;8|5U!B zQX1?e3||YrhTbhTK4q!Of8bh|Z)MD;Cbz;I;WbN)7FE7e{u`1CmG5ki z!+aH%{!%Wu+#IO};Z@3N2!49IimDW|V}#mAB8}E8av0_fX^7f4q@i*fOQp+?@koG~3`6SAcWQUU}2tehSNJ zbqL&J;Sy|J?b3ovr+NIdk#Hk~OPN$EV)>|!fm;8e%LZ5J*bfnR{*1(Lnz48k~C zoq%DS2%}m9-|cK)(o9#?HMF;PHfHMxb+S4oL^9Q>63Mo_ELOG>iBB*pRi|N^jl4sfq>0YXTuL)RB(O}?jUEg zm7b~!-;dJxFLqc1{JaA-=clUk)T2OBU@2}uEy8c$AB9M+x&VG`RtmqEwe`j_${HH0 zQgxxa2qp&=zQ+XCG_*%cfh1fB+5CyE3-n(W&7tZNbtyW&46g-^Ts00iJTtH}x4JAy z`l-v|f(3)#PhE+>UbX#<+yLP5i6Hxn^B}mx)Jp853W8fi)zxTYs0v~3Z**wqq^j#e z>UunqVhLgRIH8R}GFaUNgfNnWRoKAId<$SXd!>C~R}Tw{MQ^fcCP#eJ}I5-jlVfz1pg_h13?c z9R}UO&oz9g3%i=x_tk0Oq9JNm29eYq?3bzTk4=}F2V2a|C6Bi-qPtqbzfIBR&PZc+ zQKTcvg9N9OJatzHVg%pIw(%Gp3-{OjKTv5ApKJC~sf$}CbS{;uxpY3@jD%&s zl2z3Us8q{8yogHmT)Koxja<5nN-bQvf=ZoSx{^vexpXy^_HgN1Djm#1}ymwrj5 z)3|gKmCokUEmS&>OSe(!A}-xQrAxVVCnT*wkKNc<4T)Q;s&`ZAO0KbwO4o2{Kb3yT zrEV(S%%y`=x`Rs(Q0Xo%Jw&DbTzZ5`_i^blDm}!dC#du&m!723lU#b5O3!fVSt`B2 zrRS;iJ1)IIrB}H0B9;EcrI)DmCYN5O(mPywg-RcA=~XKIl}oQt=~FJfL8X6i=}nkJ zjA+|8*ihBCsq_`sd6!Dxa_N04eb1#2sPrS3KBB%9E`3aWDwjT?KFXy}sjn}WKBvC^ zT>66g265?2>Kn$Tuc&V%m%gUHEH3?r`i|hzchonDOW#vpHkW>&zC0!c2=x_k2`-h) z(bzYAR0Ap0H<#-u)Hk0?0eGIkPQif?^%e6r3QrbzTN?E(;%#B-Tgs&j>RZmG{?u2= zrGeD9hD(Ftxd=OH2Zm7J2HrLd?%Z>GBdD*Mw~eB{8ZNN}oGm2ho>u&!1bSjFy^1y)nvN&LgL)OQM(U@M{1xwH{n z+t?C;SZwAVtfs!R_(u`yJC{o})c12PMXB#1F2VOu<~}x;;(s*Iz`nT!;O1R1&!tA{ zyOe7;!xJ;!)=GU>@V0j9yNXMl)ORhHc2M69T-rr_H*x70xISZ>&eOmi;0Sqj9QEDG zKRX^iV|#AXz=@pyNz``-|LkPyyNgSwQr|uIsE^NXfs3f`8QyjY^*zs}%c$?ST)Kk#UgFY~)b|H2K{#LK(zVq0I+w18M+p3+ zYP=t(qM>FRUfQSuxS=#yzj5UL5HDQxLH`J>%eBo8XpILz8iPx&rq~nL`7=3fvijKJE%DnZVO|Yc(rU8)@yt z9S-20b^t zbu~2BE`&>7yc#z6*t&%MY~b>y2A(`vs^H+q15bp&2;5@Q-;!j5SRJ!)r~&vjx!i>B zM=CRDS_6*dE$q>LGeLJU4LlQgHWYX|@EoLDjH|#iWewY-YT!3;=e-^F`f2Zo(!g(} z^whu$ffq%zZFe=7H`f62C5g-w1Xq+H)&;drE*yB7D#h{wOq5q3QT`Z~;oA@16medO z=CX*tmOoDiRJEOa5;2s4ei(60VfjZ= z2|PfRwVc-n(DY#j@ng?U_zVmFF^B&RbU(=;3c|N=_-D}cc?MBq;RP1_pB(-r=>98% z1Q1@w;a@}3HyI=t3!i1dzvJ-#g6{VjB!ut|4*#*Q5+oTU72$)N2Y8N&56TQ5OaWzo z2BC;Qj^Tp=XbNVKJ_5YuyddTHKA@bILDCR^BFCpgQ$_~qE5KXc3l8A;fuKAngM<-( z3dawDCV074x&UvvFF1nZM}qRG43dHPyEuLfG-YLwegeGZzu*xZKOU4PWRU)dzn9}D zL(`NDG9d6eEQMgFv>;5dPEY3AJekWa5<&hJb=y5AcN7;@3^HRXe!Pi8d|Dj7YD(GF_vuJUCb?&0&GbJ8G@Ex=a$N$X;}st zik70>5`5ivakBZjl3S_**s2UN3@yFSEv<#7bs1zhSn|EePJO|R)b|dTHdEjGT-t*7 zdSSgvEq4H1X?(~(fP)zyA!p$$_;QStJ3kF+*a9Q#hH_;iE@2AR#cn`)G+zI2^h4%+!nE%-$ z{j8>%)ma@dJI{%8hBD6MIML0_USiDO({%708ay_*CxeU#9_M%ztndHioXe)c!=9K4 z6Ha`=<3qsv1nT>e^FE2H8Yg`+kUk}Yj10mR(>MIX)2TXwe|RQ*cvc1(g zC3qgeZiqpNuT*0)loH(iXEKx$+yrAXloH%-XEK!dqBJH$>BHx*3cKY9f)5{}@)`&} ze3r^6Xa27(VCjPe=?K72mPYasaWITo*h;KRpRyas{~pIGr4a=`~z ztcHRQpXBfwa=`~WyoOxxsSK|n7koIwYsdwkgYX)1!N(!IhFtLB1Fs<$ds& z8gju`itrk8!S{vm8gjw+eefD`!Iyck8VWvq83(T+7ktwOuOSzFQwFag7kncIuOSzF ziv_PC7kotpuOSzF(*&;}7kr}xuOSzFK?JWM7ku>ttD)e-mpbqoa>3U%@EUT#H!tuS za={I_cn!JW3ln$^x!|i1cn!JWOAdGqx!~&ycn!JWiwSrQx!@}YSPcaqzD$7EkPE&h zfY*=QJ;11}#hFoxab6!I(xOX|PAs5`3oY#;G?mEtE z$OX3)=QR*ywsW}3Cp%yRbzq^_L4rE)(CZ*U9hm5Kkf07+^g2jT2R3>gB&Y))y$%x8 zfstMZ3F^Q}uY&}2V5Qd?Kb(wt9VIyjr(?a&_~C@C*BL*YlJz>{hm*2iXZ&zl*6WNP zPRx3p@x!TEuQPr)IqP-C52t6n&iLWH%r|&+||6bWmi=Te3@pCdt=U(@I5)SH*|a`bX@2Js`OFP;KUv}371l45~D6=`5=3;L?2OcGFORfV;jDno>uDD@pj8c$5ieI)H?a5F7B)Axbh1?h zpcsZbfc$O8+$J>jt;F~FmNqv67kp*{5A9eB&?lM1M^fNVApzJ7DgzhD!=+~iu7zhM ztb}JJtb=DItb%7HEO=)nEO%!mEOuulEOlokEOcijEOTciEOKWhEOBQgEO2KfEN^Ee zEN*8dENy2cENo{bENf>aENW*ZENN#YENEvXEN5pWEM{jVEM;dUEM#XTEMsRSEMjLR zEMaFQEMR9PEMI3OEM8|NEL~?MEL>+LEL&$KELvwJELmqIELdkHELUeGELLYFELCSE zEL3MDEK_GCEK+ABEKz4AtV3rd>{3Xi&}|_5NF(^Yi8O;;gxe6Z5_S$`C2ac#Wd?4G z$I2agxl=E9>E&*{JVq~%)yqA4d7NJE)yw1c@&vs+Q7=!@%b)4x$$EK;UY@F#r|ISC zdU=Lko~f5->E+pad5&JfCU zdU?BE-l3Pj(o5K+j*SPlsADB;P{&Hxo{p8UIUOruYdTiK#&oPapqJfxd7oY$)XV$z z@&UbkP%j_S%ZK&y5xsm=FCWv($My0Fz5KOaKB<>a>E+XU`HWsZtC!E|<@0*^8@+r% zFMq3-u*n=74{R~VO4wkIm9V`WD`9gvR>IbDtb~o_Souf2d{r<1q?fPh&xJx z=yvFJ51fJUhR2i#q~U~=O`6y(jVhn~H1SWqd!ICJVn1p8q;6?)x0Ks0<(E&I2-55| zlkWzA9J&MkPbJd-NQNr)SEa(xub@GJ^4cZl}T!KJW}vD-m9n9CE}?Dho{z? zq&CDOwehf$+GLX29FG(%Nbu^ZNFts(+Tp3KCaIcuq-qZ`JW`#9l~k8WYDYX$JH3eL5?TtqY7Up;*bz&l(YIb<)B$L$7;*mP}u#!5( zBz0;$Qm1(*bw(ndYH@h#Oq0}E@kpJ0SV^5@k~%jYsq?&(`gtOrYIS((0+ZB*@kqhC zFt3UJ6Og*tBy~wVQn38YE2+y9@l=PyQ&*Uzei4tb7 zQ`eiMZiq+fmxqa}@zhR-r*1Pz-5!q=taY$GeNj(^k)I*1r)WasJ zN8*us)H|ui6Ycx1Ze&?Ok?-TLVaSl(tY?AszJW{V5R#Ja7Nxd46)StYQdOZCI6M_HNu|akMGq^fJ|?NOc%=GzCzYOvr%rWvD#Ikz zFCMA>hn3U-lhnX?qy~8>r6uC2(;S`}Vv-sfkJPZkN@}=CYD7FzBfXQ#OvF>CJ3KYo zBsC@;sjS0FYOG0WTs%@ocqf(6x$g{zrzV)BCdMNLzk&BUqfbsGd}lgHO)*JLjYlfm zJE>`jkUGmjD#s+18;=z14B*vM(-R?ewu96RlT>~@QU%^g%}RvSISx{@O;U5>k(zs0 zNgZjDnir4MeD9==O2kv=Iy_Zqk}8Tv3U-L_no|j_pE}P$s>CFH-I;l_sf*c%)zt5wD)AN`%yf z4pOU3Qmf;UTH~G6+C)fQ4H?y-8|YJW{az&0!>Uv`K1vJW`FG zN%@)+3E$<8@U@tvTH}#|?S4FZ%GZ%RsZNtrS3FX%>5oTJ(*22$y29b9ohGSW@kqhe zLtaTG^bFZa>KK#MvGGXl@lGlsPyNE-spCvid*hKh-aDy;Jmn;Hf=TMcc%)#LCy(Jv z?55e34p05eBz1B;Qn2BaM^aKkkJg-|PBlrL7LOF{edU!@LZ=xgsWVJcXT~E1yKZ?T zm00+$a)j?}lhir!NWlhR9!Vt@J}0U3Oj75^BL#brc_o!l_?)CJFiBk)kJLrpNhLHT zT;mAe#U`ms;*q-4JE?>w&ubl|E;C789*-1k|K>G(&n0s1yUs!C7bdAICPQrE{L1^e@PC6$n;oTPqflDaV-DcCB|E2--e z$tfqPn@v);#3KcJ6dp!Wx0$4Fk4Neb@1znsAv-<_!3HVC#ic)Qv2hPg54{`vjpOciiq|z5}NhNN& z>XlSNiS8uzlc($QU32ZvUUh^|?=;VX) zATdqcnFikkWQ<(pBWG>>VD~T|^Fh#uK9Kt{KMa<&*dB%*lt+5(K^}s>Wjzd)N0~i9 zb66hAddQSV3ws#%ll5?fJYMg?ksfS69}kX~k+_i_I_W9$RNZ7Yj1I@=pLkHt6H}&K zfxDe=ye0!oo-WUb;RQK1TWlpJZRLCjnaL}kIdhKKm#m!fz*&!vmVyV8(;@3+yH9@Y=DK+Utr?UVN(vu zHR3KNavgKR2*{iC!#N;t2FZsOWZa-o*7JHJ8&V$`6vLnrh7WOQwLJ`No4DWDp+%t& z9&yLeqUO*VCrT~Q{Kx)mL_+}$FpwJ>8T9kK!gmFrf zcY)+*(r%CxMoLrUP9W3;e>>oBC;aV#zuk=B3E~VrSxhW8Lr+A17#VsZGkJ=9s-8a^ zfeW$;@i8Bi&lQ`U&b7?(hUC+AH-}{G0Nost&j1O>8aS$$Jff&L11wfu%Enc6kjblPF?`MN$mMs#hg8P z{x9UBm3n8I{jjZ2F4>iald@uGen(u zpZp+-NQQ45C=)3)=7N+DDXbqOlKhbTu)yG>+~5;n@X(}!sA zdHFYb>RMM+lMcxD+yevka76_>ADUax$}i|s4BHM-l7B0|s2_%w>7fVYd%c^su9CS) z(j|=UOP-=jb_l^Qdjszjf?x3l-X#RT>J7YG2!72Q_!uGh4R7FMh2S^6f%gc(Z+inD zCj`Ii4ZK$fe%~ATcp>-$Z{QPz;E%k4PZWYb_69yl2>!$y_-8`!r{2IP3&Edz1D_%U zf8h;$su298H}Gjf@K@fzrwhSfdjp>#1pmhy_)H=AJ8$5#gy8SJfzK9#fA9uAM+8nG z-oWPy!9Cm(a$i)OCj_T>>-l^kSn&q_xey%i2EITDW;+(go|WBWzEB9J-g>@B2u||` zzE}tjdjnr01ZQ{yUn&Im_XfU92p;GSe7O)j*c0e3Uov zokDPtH}G9T@B(k(yM^F|-oW<=!Hd0t_X)vEyn*i(g3G*t_Y1+xynzo0!7IFhyM^Ei zZ{Yic;3{w6gF^6XZ{Yid;I-bs4+z2Qy@4MTf;V~tKO_Wi_6B}f2;Sli{D=^|)f@Ox zA-L8X_%R{4&Kvk~A$XfN@DoDt(cZwn7J?hSfu9tDo4tXb5`tU3fu9zF+r5FG5rR9t zfu9wEcX$IoCj{^E27X=$KE@mPH$w0pZ{Qb%;Jx0!zZHT{@CJTS2tLUh_;*6^$=<*( z3Bjj&1OHwKKHVGmWg+-XZ{R-&!Do8|zaj*m>ka%zA^3c6;8%s<3%r5q78l-oS4N!B=<#|5*sW(i`|qA^2)<;J1X}YrTQr7J{$$27X5f{-rnYyF&0y z-oWn(!MAt=zb^#e<_-K8A@~k&;17i0JH3HF6oT*e2L4D0-scVcS0Q-6H}JPV7eesU-oXD9 zf}iyU{!$2j-W&K|LhuXTz+VZ$FM0$2TL^y18~AG>_+@Y4Z-n4iyn+8C1i$JH{H+lD znm6!wLhu{j!2cD3-}DClUI>2M8~A@h@Vnl?KM29^djtO{1b^TO3@=QNT@JKA!|&I3NUn?F}3hg8$+#6n|wJ5ld{<4D@mA45u1E9 z36p-Y$=8xFsfbO!k%UQAZ1T+{Oa{az-%i40P;BzuBus|HCf`rOWUAQY2T7QuVv`>w zVX}|dEH?Q=5+*gF$rO@=$suBsz9dWz6`M>+!sIZq zNhJxB!^I{8NthfVHW^C7ZBgvkkFlcSO_IZCUeCmbCWQcCpI}f36s;s zCi9apIYVr6W)ddz#U^JbVX{DMa&8hPXNpbEOTy$VvB{&7FgaUnvM33YbHpYWBw=!{ z*yO?_OdcsVxi|@v^TZ~XBw=#C*koA}CXW)ET$Y5%Lb1seNti4Wo2*E}WU<&}RT3r_ zh)u3e!eoiq4q5+=*VCbuPFa+%oV(MgzGE;iYigvk|Rlg&w(Tq!o$nuN&;vB~x%Oje3bb|zu6 zN^EjR5++xPP3}s<J*P3}#?;xQ zlN-e*Pffz)Cb7xWlQ6kiZ1T(`Oje6co}GlrEn<`BCSfunHhF#$Cbx=BUXX;z8nMZX zk}z2-HhD=BCZl4LmnC7cPHgguBuv(eOQOg4*6-j;;P7O}}Yk}%mSHhE_fCfmd&?@q#GyV&HuBusXQ zP3}*^WT)6MM#3m0WVRDDqpEVv}Da zVe$g8$uE;Id7;?kS4o(>NNn=!Buri`Hu;|i*GWpjZLJXZ+5&fW7&A^1ok_y#w4 zmJmEo2)@w`o-G8=_unKK^DS=h93i++2)@k?o+|_w3Bh-`!AAR8PzWv)j`=Y+c##lXE(AZ}1}_$ZmkGg7y1}JF@NyxT?c;6V>T`(@ zyg~?m*4^_`A$X+_{Ja}nCInXq!7sSMxJMq-QZP1@CG6HZ8vze z5WG;`WTf+IrkCvNaY zA$Y40{HYtfNeHeHfpbI1lJ3}U%SCu zh2U-eZv@w2-@3uIvEXo_e4GEf7;v}$dpDTBBh7Ku8!m)P=Kl%6KY9R@4EdmZzYdPV zyKH>$j)+kc*OlRmEZ`*>eJ4Ihve!+#PmvGE_f7is%|w~>>qqZ_*Jz}WL1dWu;*35- z{+O3X$9n7%UZa6sPQWge1M;IkS(nfB*d@GZ1G}7zU8)D< zr+=C*!^I;ToE4&HjK_#^AK80dnB-@KdYC*e?lE&YxAq^lwrvKi=ZY2Z$`VZZw zXb&nw*Y#6|rIS0uYwuG=b}M5ZQ^rF3J_R(Uvg)*MCEuyJuxHJ=0GsDB+}yLe%kajY zHRn1hbo8tl^NzAR*^$9tO7ou5SAPNEdrBDJYQ^3|67(s3!(nI$gU77*l$FD4n=o;~ z8>JJW|CEQ7+;#G#%Ey$Y-OBQArNVtA*n868JtRi~7~skRkc^)2^(4@MJyi;0vnnPw zcX^J@UEyA0v&IpdyTV>$b641FZ0-slN^I^rwAidQ-zav-v9b50DeKJGthdDmn#0O^ z7Ml&i*ldE>R6AUFx98a0-CJy&$IacIV{^CX*xY?cvAO%uVzVXj*w}m0l!zIdt@hYN zAU0cBY-)tDiN?g{9?!A4r?=QRkDGfu$L1c-vAO4vVsp=-#ilOt*w}m0lzKBZ+w8Ha zhuCamv1u@4a}+<{NEG6--Eqv^8!qX2`pyUSCYSoAIO_KE!oA_ro;6#+aJ$QJSI_E> zQ`5cSNY9$BP725LtQm7^y4MP$M2%AuNIjgIIuZ|~ttU7kb(&%9vWF2~E2zM01>w}R zLm0+g4)^WvEsV#w)V+pre{W&j<1*|ujQc%@aew$w!nps?!gyTbVYKxGVcctm@pyX} z_d*ztXJI_S2qXEce)V^6%+YwDw=g=7#sgumVLT8wjP`@(z#)12faPs+uW`_T)Wbn@ zV&dMm_oOK&ncn`H-P<7%MI8(rO4JV?TGa1NJnFWdAnNFJ8TDL3*?00E_9+j-ar&_1O5px@m+^RE`DhP^*ZtwmJs%v`0Pyji zfa&CfI7s^ucz-y*XU!*FhwJ_6*`5OTyd!WA^cJ`mdhq`Py#?;Yo`Bv1_rRe9?tw!K+yjRexZfonID1c; z@{$?2-`fNC5(Mt|EO0NIflJq~9N&V&;1x&I9t;=toTGTSIqzsZ7>1jao^CNd7+&17 z=4cM!4J5n1pINNl5yt90N30&|EmqDu91nSp)kB_R^^oUSJ#;l?=Cs@xIk3`;>o7?Dqdy zHmO_r_d(^GN%F(Wx82JBZYII<$=#~-=wr&v$5eTr8j!oy)NVD+yl?+RcoZa-e!E^x zx3v$nwGY5&E0hc+Bb1Nzmy(Ysz8}d5;)7eF}h+ARA_@?xQ6T4MNP8hK| zTri}k!b5G;tsy-XPPetgkesg_e~OXg&#)GXh>S3ZNL{+?zXb-PIFX?OBF~01Z5>)f zo(&JOwGRLzqaE>6v%JUexgPp>E~bxhoalI+C=_b9I;mUD?pAZnus`2}x#u0`@-Q7% zk}aghnGQ8(_K zlg|jrzYLzSz_Bp(K6U2AgX&!PD}=w|iSQIH7oMW2CEaT2W6D1d_^+G@gYQ<$_o*wo z)e7#9Ro&`Z-3#G@@B~|YHo&oSz<*6In3)31b-iF_2{1SGf|)JA+-PDp+oQULkIZ(s zhv&G_xd;5Wct+0^pl@@a!{An)Qi9Hp6u|HB4xcB0-|2vx`7G#sz5sokfKn5Q)F_KWE1uC60`#k%(Uk)9Yo5_n0`wc6(W?aLH$9_Q3(#*n z(BXKq!5RVlUGMO<0{Ht5c)V$UodErTXY_gj`XdKA?#MR?;2(R3Zxp~kal+$G;+q8U zPrbu83*eu7hgS>WUzl)x5>M$??blsf+!&a|zw8AQ5n#S@W8h1Vy`QZD%-4X4KA`R- z#QNGDt_>dn7lPtNP3kd^DWL;?{0gwATRo0{^HPuR;mRGqoGqJzU(VDMrv;CT%p-3@yX5 zz{b>1>&Nsi*ZSG?`fL3yJ)@`X;+_U*1DJ(hXaj5(25JK>3#_L>+90NPl{UzxH&`2N z=@~sWihI&Djaj%x(`*)oXhSRutf!&cP^NdCHq@p!OdDqD89gipD3I z1Dbu7nW{~7^^~n;Ge-Am*)~Sgv}u-}(Nm|mryMPZS-4-zv02E~a=BM>jWOkEc}(v? zEzhPmU7K#{89nV3dvAs|gIRc3n_;t%ujN}7Sey#90;czzhrOiTxR$*CSJymLzOz+QHrA@C&tFrX;F~Jim zaaXIfRm{X&+A5og)!J%iLVd>w)EaFK(|b=_W7Au!t+n*bu1*Y3vYp?}`DmTCj+yw2 zw$5f^y|&(B%SN?9+racb)Hc}kHfkF!J+rHm+@pf)gH75dhX1R!$%fyoZMN{nB}cVZ z%~b!URohgzXj?2*v#XQC<7}hiS7H$@!tj6BA~yV1ZL5Vhx~kD?nCfT$*WP&mMpdkB zcs5H;b~kM|eMlfA!E{0i1VpOzaz%=Opr}aiy-V-CgifT_M0)QaO{9aMfCZ#1h}VAY za^LT)Cz)+2N=d@`@6R*4Z_d6m^OdtFTOxm3IJ6CKlW}PJRAU@W)t0xFAO8(+D?Gj( zZ?Z(4Tl` z;m|I;OU9w;Q;l;lRaf3se*Dk8tMK@4yj#ZOm8rV(?((6(^6tW+J$R3dL(``kZ#$LL zOR?$6d&)My^PYlDFW$>yBb-G|Y=V_dB3@7)qS*B2y=9v}d2hj{5AWl^W}=vw-C)eJ~#^AL_;j3x^Kj_{9fjH~G-?G)yu23N4dW z%aeo7J>6!iZIfG8GK>$CZ9Mrf!DcugZe`=0ZZplX%?Lh1wlVP$g3U-iQnYakPq&%w z*k%+TCENJ&QG(5AKHAErP`b?w$2Md57}>_3j}dIf^08Jn!Ra zY@XF`7&zs+6_PIsX7kzd3SZ!}g%!@>b1bCk8_M_&+I;yM#7SBz$(D2ZT-mY; zpDS3-z|@ifN?s%dSX-2|m%J3%Sg zOHhhkf`SqFXbB(j&*+WCnLK?+_z*8D{yqMly!{URJz@Ln`Fcx0=^3ejjb~M-rKYQ6 zJ$p&#M|Ms>7c92g37#u;U9po$>zypgdM6wB26-pl`37Mp8~H|OJ6U4P?oO5%v$c~Y zc01`Q$9NOpB(JPD-z2PTGvA!CGGnM!ke3>ZIS(CzYv~z9J+I|$1-H`}}=*`bqqKVfrKdh?pF!jIYZ&<45^X*>nm&DwrPQ$3#;H8DE##w{tBS zKhBTK*3x_TIKax#T_(y{2Wqvsm)9hwEE$fV5;aBA87xOE^^dIw&#pGCJJT2>tf5JbJO_%ad z1krNijo!X^4t6>Lq=XdL_GPX*CERl&ZqoSI{WEg=bs8^zt8w*j?aGkGW%Me z#qo3gx$O7`|6FkVf`4Ius(w?vT;6AFlF8+={B(JP-;k}};x`2AoBU?_Vy*rL!M;qs zSf_7oJ$Khk=1#v<-)9?keH;1J0(}LWR~YtZ7Wl=O+452V@>SCAky2tOy4;sbq2@kr@?^``+ob`jg;$O)R zn!vvj9`t}euzJuG%Y!zteuj4plMT}(vtfy0gJCnqPYwSyd?%URb@&Kv2*$eZE!|sT z?BzbneJsYU?nm8EV7%`Bwfnb{*`u&WagS0MYkD;EXn}FO$9#`P7&m$x@;HL=drz&W zB$+)6dd7JsV0_K9t7i|4qdjMP&ck@j^NQzHjQ{m~=;b1ry-Ijh^{S4sxmQQ8E*KYh zrFyN$c-ZTr*Ja7(eL7$q;xol(I>!AzXMHYUyyNq;&u^01H^#TTZ$*p^eOvps z#hC27(svEUy}qY?&td$-&(qH+nf;>t%K5#3vAy2_zrh%1`Yrcch4F&lmwtCJ{^{@K zZ<5UZ75!iGZ-}vj|3LpC7}xmk^2fUTFZ$o|zblyo3I@alAg%##1@sE&i*ZfBt^oWz z;F~}esFlot#RIDZR>RmLuybHHj46TZ12mnkJsYOc}t8_`C`5d<461szbBasmMU1SU`>ph3LY+aR5Aw_53Ul7d4kUe z-weJjnL~<(lnE(^abL)pkn@tckWZnALXj9Z6*^o9#}_V8*uOCHSoph8mrz&99NIH< zWat=-?}uIry&{>5%q+6J$STPk<`WhXhR21q3F{j+Kr)BF9o{FrzhsUm7EvjpD#qgx zA4go1%tb?smMEGinIqqe+#h*JGDo>Y`9=j`91%4$YBt8pQFo*6OXld3(Jw~Vz_>Vi zWAqk`KgPJm=p=JY@0igs<1n6x`6TALWR8u9Egf4H%?)3H#-U00N~`57(sZbD zcrE#7;Y?@qGv((G@ux!_{Vd(~d1i3%Q03tk`%vcLEI;HQdMG}$jep}IY^U(QScB$Xi5z_Qx zE(nbh9wlAXbIF>Nby3=K)0%|z)yzeqQo^OAv34$7o3cJiXKq}Zkk-1nFmy`zl=Rlm zrE65yNomf_YZTJ`QZ5dq5>6%UFX!^LD(j{6=Y_QjWzZ-OgjNZ!QVvb>$eNXPQ(5Gt zHK&(HdDb)!g<1)>QYOvw*xHr#Q@P~DwF_m_A`gaM3BOW4ujSD_DEpu?%FBC5FQ>As zRUS@-k`7B*g|j#F_+FI#PQ90(vy$NO6DIcazNuN@lUGnK3m3>l~=I1>M<=QPDr&39$Qno$v`CgU% zQu#g$drdFnO03s2kXkXl&&q!BA44i7f3~+0>+_7HSWNS?v}YmJ{hpyzi|KyW_AR7* zz%!O|G40Rd-qTZGmJNCaQ?I07xilT}jK)BT16{H{%P~m5lr7JOKEtJ;l!K)$DzV|u zcr0w=F;b0(&3FDPvC(Qw2a}*&3H97RSb3oW{vIR!!J+HCCC%N{JW!x}e6&^7juYCeHpD z^v4wXv6*VYISrl|RLg&W-A#>GCdDSkD~rPG%aYZ?%U0o0P?h3SW9Ix!Cl(*w&Y}S4 zvpH%3X1f5zB2?p+{UW5e;f3yU@{?^{Hcu_N9F?3{c53W$R(2FS{KYJko~8PxvjuAP z<+%F93RL5l^9r;&0oG@W)DrwhB`B7m8pD59h8ZVB%Lj_)uy@sJ{KwTOR-_uoe_oLp zRjFJgE>WxUUsa`8nQAQmU1d@%3n&+nmIA%SmZ=5$uM1QxQZ=6czDOya>Az_AXDiea zeIz9+mZ=)kM^mO0Q}Gw`I&76%t&gl)#fnwq`sgawwrY>DHEPv9(yA3JSB>qXtz3$& zaCxv!E!{_6x?=gN@qP5=YkLB&!_w3$ek@fiR<*&tRL?>VE9iEmpW1@5f)^6z}x!CO2SP z)e?UqB`%h^8uKSp<`i?hyJxvvsY#zvbQ#08s|EkW3SKOFHSSNY=>I;)Ti(#F&vvRM z|3ph(EPFNfPqyqS_Lg@aEx)4nW4qPrf8y0Ic7PiHC*J`XU7$P%>{YwqsdRzZ32F|W zPAAxQLnF3d?S`k;4Pr;Ad3bsqVcQjL*+I1{o@!T!ouTI9>2?O?BK;FJ_1R&yHJ*BF zi0z@~vg?UwNbr@Zv>vD$Tc zs_VqgQ*)QMIxnO9l$S`Zs@<38x=-vtHGg@p18uvo5xcH-VV>+lu@luC=FLvD>Ba&- zvCq_Q%(LAncBGoeyxWmBUCHXOFVwEg(_JZcrkcyV-I=!C*@)d#yED&sr`VxtKJ$Ku z+H|SLhuu=UG+%V7*r{qx^GByrPSgKPpXJSw9qf+Uu=%E8m8PvF|7W5*Gqal8e3e@z zzYm$4np>RAC?5IgpNpHmhupgH?7rH%`6{(anQGi5Gqtap-~83ON`8eFexSB+zHDJi zwbI6Fj`L?5Q;z>`Wy5=nxp=WrjN!B$z;rBzm1VE9a%={Bo*iZ7*{|#cO<7h!)0b7$ zY-N=+Kd{O!C0P}h?yRcId+bG*uUIv0D66h*#cF6%SWWG9R;z%K)hJ|8c)pzw{|8cF&UUD768o2IeFT4K28cIp5k<^DZmNv5{(zomtw*=PItqW`BmdcvD z-Dj`rqFD=FJJwRSlD($8#a`D(uvYrk><#@=_NM+L_Lf1>bk$Hq@sc8|Jf(4fid=M)*!*BYpqJM*F?R#`v9LWBtprasJEL_yA8fA)pDH z7_g8{3b@H82ga}|fyr!Y;59ZaD4b0X>d0mUZDccperB_HC6>&`u-W_+n^Vx2%?m!x z=7(%y3koe~3k%<6i;9eADd90}arh5xNyI+3wCH8F?70ZG{JHLI#dCYv%19ks9odwv ziCoUsM$cyJV#l%6_<<}fp)*^bu$OHp?#VV5Z^bqh-@vw%U~FrNhHP7jWo&ziAJ~qP z)!ELHv)Qhaci8SyW!aum!`a?aAFzF;tFZlL#<2rseqo1_BG}=iV(ds#b9OYTH9MX( zm$@0%Gp4W3zBWu_l76aNAagMcVIlfys0fW=Gt=p(Ln~ld%nIwNO0p0_`}o=Z!<5oq$%s*a6xH&|WYegmw~ICF441 zA402WG($TDt%~siwA0Wkn_{7zf%c-w587F1RZWecor6~0Q~}y~Xw^)~&@Mo$X&MUc zBD5N&z0fW}t8H2Z?IUQlOn*VU46Uxo4DAZEIzExmK89A`#|Z5cX!U$LK)VXI68u(m*_8GKBK6{~k4y~cjZ_vJg*2L!)v>VVG`&NW@6IxT> zC}>|od&Rdcv|G@c`!;}f8(K5pHPG%rYvDT++FfX``ksSkhW488ZfN(Qwe&MWyAQ3E z?{Cn)g7&)K3(y`wd(-bZXkSBn!*4LO|3Yi+*B070(BAS}1?^jCZTzM~`wrUMes`dK z53QZwIcPsXYwK@<_9L_oe!oNe30iyqhS2^8t&{%?(0+#2(SHcEU!ZmIZwKvHXr28j z_P;^v=1;Nz9a>layU_lC*2Diiv_GMB51`)q3tF!L>aB;+dIt1mIs=2&C*TbhX3#+E z9RNRr3$%U#@H1$k^$pZQD*$akz}L`Rq4f`}22FxCD6kkbH)sO`yFt@I8xq(YnjYHV zz)jE$(1r#sgys(Ioxoq9c|aQ;coUi@v|&M&p?N_Y859G}8`_AVvCxdrMhEqPW`Z^< z=rlARXk&wRK=Xw*hNC|We$d7Tp+5}%(8lqW&;p=MrB&{7KHoMVWGwxlr5Ifi1;7Kge*OMtel@HfzkLt7d;23iSdD?+#a$aH9Bpsfz0wIo4X7e;F-3vF%K0BGf)rG>SIhBFRJ z4et-FJhb)UZ$Wzj+ItaIp;dskF(M9HMQ9r$u0g8=ZF9s?XqBODDw+tb3bd_73qh+2 zZA;`KXfHzB9+?WQ8nkUuxK=V$hqg0{L8}36N7QU+HKFZ}8V0Qvv|Um6q1A@AH|i3! zI?(n+*ML?R+WzR`(CR_k7rg~qeP{=xQ=t6^+JP7yw3nbAj{Y86189e0#zA`-+L4%^ z&>BK}Kju2LM$nGM9D~*v+R@mu(3(Iy5gP{W6==s}S3+wF?PTmUXw9H~5R3Dbp*gfu zu{d8DUWN8y+(2k8pq+_(8(K?fr{m5*dkxyTxSh~mhjunT23jj<7vg=Py#eif{9I^n zLc0_{0@_>9F2>{e!_XSq<#;@Q7~Y2VQL)a@+Cclb*elT5Lc3B7&kKfj(5@E4^Mav0 zv`-R(p>=?EJ;4=PM`+g)IkZmDK1>kRGFMDptb?TbY6>k94j#ADF9LA#l_5n6X> zH%i}t)&ttD(jP+W3GK@=OQH3GcBjlFXuYA`PV$G=2b#IeLuh@W-A$?stsk`eNu{Cn zhjuS%7_yHW1oZj3v#}YPAIzGjiQ#-45vb=h{x47uh=R z$(eIwr_S%%I`7Siv%#>~ummS6I@kH)ghr<{x7gi=wTzK3&T+l?!B3ZVGHzwEL_8xM&skwhv~o$ZXMk^xpj8yihmtR({0ji*X>j^ z+#>Cw?y~Mmx_0I7<8C@Q?v8`wzH)HfHx7>b(ZO-QI5_T4`{VQ)`{VSIgX0Vij`MbK zoUeo9f*c$d;^4S22gg0<;J8=^$0ay8u8f1@o_BCu6$i&vcW_)i2gfyVa9mRd$F;CI zPSW?&55&Jgat-ytYbO2lV{PtFR_+^Cu_A6AP+ymIH+6S(U+KQl{iypz_orT?m-Gg` zx87GDqz}=D>7Ucb>J#*3^v~<7=&S4N=^N;q>RVu*vHHn|t&AD&YnV%dq;vgQBY8?* z8oJKVNWHbyHBvv^r6(lZI2MMmkD&PtaYQ_cYR3x_g1{;$)?fKB2px(_NflG}2AFdt17rks3pz zs}+qK$6dF=q&26Xwxl26VnZXnNq66-ySPHoNbTruN4krrb&bTdyBO=MUCLNLLVv;l z!a%|x!eGJ>!aIbagkgl?gb{?1gi(aigfWD%gmHxNgb9R+gh_~P9pQVz4}>2HKN0>% z_?hqv;a9?Mgx?8&5dI|mMR*8kiy@&JhFcm9!G)kD6dx9<`FA}N|suOAuY7%M@Dif*_Dv)1ALM1?ZQu`s(p4OgWt{Q?1K}#q= za3x3tH-e6!Cm0Cs1P_8I!HeKcFcM4zAA&EzkKj)TAOsSE2%J!m5KIUm6e1KRgc6Dn z!U*An2trZ9bA(7j6d{@rLx?5B5#kBO2nmGZgc5|3gi?e=LTN%7LK2}Yp&a3PLV3aq zgbIX;gi3_Ugerupgck|52-OJH2{i~c3AG7z2z3ed2=xj7A-qIrKzNzZkkE+Gn9zjq z3ZW^X8KF7hRYD6wOTue}*9ol%ZxG%jyhUhDc$?6M(3a4S(4NqN(2>xI(3#MM(3Q}Q z(4EkO(38-M(3{YQ(3jAU(4R1XFpw~aFqkle@D5=pVHjaJVFY0$VH9CBVGLm`VH{yR zVFFCK3Eu;n zE_CZ>y49V~htQuegfNUSnlO&=B4ILN8X=i5m#~Pin6QGdnvh1=K&VC7O4vczOE^HF z&1jAjP7%%$E)lK}>Jw-S8rp*97U3@80pT0MkAz2*t9F}4yORu7(X&+@I^WkN)C07xT8SC9;nz5=D`Wo$b6)x} diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class index 8ed9992389ae9366d6af6cc6c0d737aff730c777..7ef614ba9b99c23cb921a834bfbe34eb7558af4d 100644 GIT binary patch literal 5826 zcmai2X?Gk)6}>f*JMK@ zTW{(g|9kDX0Peuw1a^9r>L~xRId3^%EZDA}$=H6;&x|D@1X^-SZpHB?Mvqthyyqm* zB(SZa`A8*ad$vIPb%B!DDllFPaPm^gb8~JmT`ZJb&pBHP+@fE}G*+iQyHZKwO#*#4 z#wC&FKn!4k9W`Xh&Mn&)oy_rK(aR)pi$H6{l|-w+7UdU6+quA9apXj>N?*?CmXT)q-y zE5Sl_Q5sgfe6}pm)?l#xRe|mX)1p_cEIIkCa#?cr7pfKFCAe#&O4H(^Q_hwgf1_b{ z#N!l7!K#j-R#0~lvbNL!@=G0`Gb0Tpf$jBgtp=_)I&XV!o?m>>V4j+F{hU|LJ8Dmr zmV<0?^cdTwR47*SA-xdN`6)YU{TJo@oMee(wXBy;SH~N@ z<27%GY+MP7WiE6*LZfjbPMdDIIhKu`&$-WrMi{cX;kGKKY#TP#7H?zZt+I>7vcs{A z>%pgZn*3~`D7RSqz~&6=U1*^L+fqp2ut0OblPR!kmNCo+nA6@C3ZeF?h3(iOBOJBR zskOIR=+fHTEp%(`9Ts+KZQ4SQ)=pa3rL}ij*sZl03%y!dynQU}!L4%8a~Ae#?Sh4j*4|@bzt--zaGUP^W>01WZml1|nD$)eC-9*ZK8O#q zm${(q=15D2Lz5o^G*ByJeH0%{GuFqs?*{5!H#X(>SXjkVX*A>M&;>H%m#P72_!Hcf zb*MI~L4GQQPvX-dfZFl|d^QZwMg{nM3ZKIl$WnHx^qr zyj^x>eZ$yx+6k75`Ez#JE;u|{WJ+ts_norJFdSA}Px7KFR$Tw0Zps8;u2|*OeTvx$ z-E%Unnejb#H{&lBxzCh^hd#lb4RTzEWMgU_l2er=qbf;0Rg!F~B)L>cGO3c}Q6lBB03DUWgw$IyXEmcL_dlu}S`8GHqIUZ#@3HI`eI z(ZcVkTe<<>g1559d;>>VM!{22aElS#X#^jOf?JK?E+hD^D7eiC?lyvtN5Snz@J=K6 zL=?Qu2<|b0PesAojo@8I@ZC}H4kLKC5qwV++-U^&8o{$saF-F>X9S;#g1e32ek1r? z6x?G3@4>y?#L?|}J__D#0PCatZtm`V#vt?2AiXyXk}(FkFB+uphC%ilgFFxo(tpDs zw;3n&!Dx`Zh9H8un(4eh6b0`yf(MLD^KcZLF@g^o!3$CFej|9$2+l{r2aMn$BX}_i z9x#G$H-eX<;6WpJ*a&_k3LY|oM~q-E3LZ9sM~z@V3LY_n4;jIwD0tKe9y5Z=QSc!n zc-#mMqTn$jc)|!?iGnAL;5&>5<5CnnX#}t0F-=oVZ(s{GG>r_d;UnvK@+zL8_L((& zaUEaQrgePf8oqV~cWTEs*YWL;<2zR{73Vk>=eR4*aXij(BF=Ft&T)5~W7ifJ{F=70JA(1Izn;sV;>qa9B(^?iXE>#IzC|HUVj|Da1Wqg(W%M;yj(F^^uc zfj3)k$Ly0#qmiR9w znzm!IX_&psT)9)9l3p+q8{Cl`kmr zUpxN9vKdL1f979;h`&(2Ce|fe6ElM znOQK+XTdChFTPUamCiQ;S@3=qe0gEP`%>_|g#}4bc6F~xgZL9vYD_}}-&^QlW+(U> WLycFJ;5!PdOpgUWiN#+zQv4q>!Psg5 literal 5553 zcmai2Yj<2#8GiOO?J(menWSx!rcDCvv?fiPkOV4{SfObP!L&uvMnGgZnK@|=Idjf< z=1iqtiZ{d?cLW6#@CM#XrKy0I>Nox3TK)t-xt2dcm&@nuote&@?9(P|&in57-Os!4 z&%XTafA9Sfz|HuJz&5v98{@A~bXQ7#*>b#m-tv4eKc0pVXfG~1Rok5$J5=*ZuARmP zfz2)1N2^84wFElX1xjO+z(hU3kw+`8Q*^=^zg%%#`$Q#le6N~sP0qMhwVK9t0s~ja zrIBSt3}At+b!5dVu2`q-{2||W^J!c!&=GT`(IK!=`30_93T!*-2IiZqXPj~U;>whO zq`lyuv4g-V+3c1^h6qf5yHzR$1;;Ctip6rFOhBn@=|o_EI_k4hH7r!a#oAJVhBI!d z5D4U243>9Rptr@ex3d zr;BK^w$}ksD{YT`5*aE2TN>@Gg^oM6V7X3-tsOR)r{^56=+;WMnoX6JunH}GalBC0-Cs`z&B=*Y??hqE+ZDyHhJL`YYeZ-@uI0?>oY4?4ry6M0 z9qiJAZ?rniRuwbK+ia|l$y&`jq9XJ>n$RU=xIDZ<*^g#T=g^go(-TXg3!5`Y;bwuh zkV{Qq`y6AKS0sBg*AzlcJ&7&Y8b$c9M7P$aBzm;=5s6-{eN0z8k4X$_?U+D!Qw3V)#E8*ho)r=|;Kr!ZAD7srwRwrW)=o+6*4if| z_UP`pU*dyW`=rE>);=XMthIu~UaeUYBU&p;?9-Ypv0rPaB}TR8NQ`N1MdBu{l_kct z=1WZI26N?Znsz)VJQ%fn{m4uWFEB^muZ1?J@nD@b61XmnGXm*jCk~&QJCYZ;v9XF0 z@^c^`#n}u#gU_;*`7m&bp}>Krj!pm?c#*L_k1u2y>m29UP^0M9tZa`&6<^Gv4PRk63(28h;S6j*wNX{_@eIC-uQdVG^d{iz%>ZpwfNy5-4Sb74V^u2dS&1hxnWg2a zsCkV74qKr$)kwu_+Hk0BQHW=yh2lc$tl;TaLO;rDB)Zv{wbX8d2+J74Qccd>^lo^y{E23x&8hxJ5N^O&$gP zQ3gN6k4Y8l$TW?g3TznC1N2rFZ{lYH`B-ON;g>6c8TU#2BFnhH%(7nWpRV=wO5-<8 z+vAB^sK@(l)}&F_HLI%UZ!@@nce4C2-e$wXAi>W&r#&mI1!TUq8AX5{+#~Gcweq4J zoU|5QI=be39v>F0z=_gp#@sPGT=q-%SbSOPv7+@FAvnM8K{5#=*D6!Rs$HARO z@HQj(P#nD32<|h2568h!9zyyLviqKBY4;demD-^V+8Ltf*0f9AtQLi z2rk9J!$$BvBX}te9x;OV8^OzQ@IE7W)Chhg4&HABj~T&k96V|S-(&=PaqyTCJZ=P6 z;^1*3c)~~)K^#131XmGive@tzHc~_Czk4s>bE|ml6246Bi3|AlDxTJ+RXleYFI>be z+VRpVzSrb<mSWI%1k{nA( zj^!lBBS{W7$>AkADs*sOub;lb+l}+zIX(aYS^h`&^>$371E-LKhfX}fq^oax5Ar{at&R{^ih(WQ6o#OA3O-X%)?k65p|H@r7hC9kqf?1 c(805j;QIwNZZmu^jgmZI3O0nFKeK-LKb6dyDF6Tf diff --git a/target/scala-2.12/classes/lsu/lsu_clkdomain.class b/target/scala-2.12/classes/lsu/lsu_clkdomain.class index 1bd78fe27ac6c05b32f193e367e660fc21c9d9ba..49063cb44a333045b7aafc4b96521dd292829b73 100644 GIT binary patch literal 95527 zcmeHQ2V5IR)}LLpk`N0>G<%I3Hf|Ufz!g_f4A>N#VvL(CKwxZ|DeiW9;`H8o@6Cy^ zou133_ul&@xs*#Tmv(o#eEHs+*_Bpe5PNKLmvTRwH?vRk{_~$VZ)aD#Go#1;?~Z#2 zA=7L_1mbG$?4AODp1S6Z^=&QQrdAsf1Tw5{eN(5eIcrK&YnQL1)!RI!*w^T-+g8$6 z-`(u9kqCh(T9Iz*k@+KOE6 zsgbeLJPY!2120!>fLE8FSCu8eYq+1+_5iOMyPwy{0Ix&*ydon5ymI`!q9XjfHo5$~ z@&mjkNtBnP$=$kU&bork)del9XVz9^SGt77f~BT3eU{*MNW!qR1iK_o@XdC)8f1G! z)$|Q6Zb-CmTR7MBti84*2sqstp-jc|>P^14@Nv{q!#9hW1F&5TGX z!t$MKto4I(gmHyZ&8Ea4oUxq+Q-)+W=EkHCa$9HBt{U65rDfTywx*=K!q~+#YYP_d zEEp5*5~VRat49kH;-&o24T6|v72NS|(V5*)GPbLF((0_XrtOZ5lvT4*QX(@dCq{_X zb&(k<6Nb<7)g( zB4f8jjivEs^=3q`p0UoeGJRaxn(4kJ%OizoNt~HG)|E0TT9l;uI}2iRExyqX8i(Q- zSNhDB!E;(R?#|j$x+b$>!6>;PX1HW8Srm`w&$@_=lzCwqby?JKkO^ z&Mv3t;R<0e#N*cDnmJtypq`SIS)B`V(i>w|POV+j06f-cJfd3~m+u@qX>Im8sIPI- zknD9!W>^ZRcvv}Mty<3Qz;ZKUhi7gs**&p3X4stV3#?s(oVk`Qn!ko+3|-MSICFF3 z?&N~jRZ|<*jG8S>rR^yioFXF6h!vxS`L4*8uE8$1P285|S~j&rkT#YV7fg;2?>lsx zV}f_0GIK*wPKqnT?Us@wBPX~cMA0tIc9pKqY}pu>K1j@QTO*=eE^&4C<^?&c3)%+H zfpDJZqK@~;I(-)COM2^9%mn?K-ul7Wpl@ONT~W&-5Z{qLt8K-sx{X72&X`m}1FSIy z2EdW|Y5>gk&YZG#YTd@t<}J<2%;qK8Fc5kpX$PcK3(?pC%{9upRp^jp82HK}X&`1< zy=(wkw0&&bip-X380az73+fmR!Lm1J)Ii5M&;q9JRl&~Ox=J1jO~Yk5(oHH7ATX%G5$b_uP%cVw{Dl}pFxfw z|Ku+px}sI}&!)wyf82KTPeaL?ENEwfzy7i6iIb9>U7wr1b9w8~tcD2I9>CwI_Rr3w zDeg$>U+7Qj-^oK}t*a9q0_|^TPw^Vo&#fzFi=&Yz`eE_T88C1(tl1r9AHz-rwSLzA zCE0*0MCo`1^sz-kY)~Am%4&<4$NDkA%M$OVCvx(j#=+U>uj-Q3f>X2e(Gx1G%`I(W zakF^)a_X0)u0dXAH?DETOlCLKCDIddMP`i9{+r>!ekw4M1^bm-2z=|Sh+WI;y%(t)Z12TnCUq5m{qa2~?vdOVdE#1RgJ{&+=R zDU??h@XZR;Z*|djlq^oK4#Ll;?QZlXr9&JI(fWs;PsKyB8^C|ye>ji9uW(nFsonJD zZ*6aGs%z@XZEI<7YW9`2cQv)OcBTd&<~DmfJ8dKyZuP?3*+?whByx-elBij>d+RoO z8-1xcZEek|HWDY0*pQEGBmwRj>E{9&8S-IjLq}UnQEOLaTX7TI(t4Y1WRO6dp<02A z+2Gymozm=WZG@ZO&21ZfQ%ZbY>)YxJy{+}lzRuL*wziGk?KT28&%?tPwUMC$v9j`b zr_FANQ#&@pz4rS04rs&(fkgTbp+SKn^$iU^C@>0cq&qg#gCGRF*Y50u0%ItGdI&e= zy>91IQ@yQit*IF{G9H}S>TPLiZOmJ7ks zzK#ZO9p0OZO>N*lzgr?{vzAN|C>4^?)zH~Xf6pH>7Z<`xIS zt*mwfd}Eq3FwJ~`wpV%^J=JX;^#T!!Ao4mkt1;9EYa%%AM%Bdeg zxo92c@fm3s<-LExyk4CM>RnIL-|DJkt~Wc~;2hS@d%|R?8T~ZMMRfT71c_lh;k-Mt665@}>nmac)zoLTrW-3PqUhP#blcUSx z=zvKt+vyzL432IlgqxmUeyKaBI3E~tw7`+01(qBw@Z@NLDMt%jIa*-L(E{I~wwAan zmW28fb)o)5U8p}%7wS*ch58e9q5ec&s6SB${9^KQb4xrq?$RZmoTADKxJobu&BwBQ zO=Z5Px+o9NE~cS z!iig%UtV6dw9-?apI20#p9^P1bWyH5w=mz6iB1A;PW4#lvlzV!2dN+hp2aSG3Dt9Y zpbAg58#u*l#q!;{AKxqHMgNE&~UBeP>YVxIt8dKb>}Xjis0CXCTR+6Ayls&22dlJlAa&X z94MgqjUBNT(#FGQ%ubDRT3Q$742t=J8T%ui7F8pj)S9jNiF9nyGq z6_r9fhm7N)IvjUGbvW*X>asXG9Ct#?;kXm3!*M56hvT?j7Z}HTYjGU!t;KP?w-$JE zw7`_3#c{kh0*>RowK$IJwK#U-I3B7C^(U4K^(X2={fW9zf1)nbpQsDt^&Ev~8nF1F%|s!SN=;6?y)fw<8Q)VR?OY23u%5yog~4kE(+Z?M8Y%A>jh zZiv{0f*w`sMqXEGnTN$YrZ|8Ci={=mp3K~u++sM0#)BL;u*F+DxG$r}6pelaL$Rm2 ztUM1!4Qi*I8nhf69%#ABvPyR`4&2al2;o20ybx2K4>x?3aK@{*Ns&lfJ%^&yJadIZ zJz}1yEGo$__Y~(B0DeAPhT`!31N)Ab$`bJLr183YYx+HQ&IFxCdu74O!_92OR{S27 zFkW9sy-9bHKf%{g^;JiAYgbc?Z%Rd1M|T~(vEQ z@~VpSQ{lx_XjS^MP|LUEyGZg4`8&|VZ(;3r@=v%Rw0k?eEk5}2iXP~s{j^Hgo2-9j z@-OlOGWtIl1+q}zJF44zL+afb5;C$N3K0%L5G-)P^tE=v z8!vZVov*XAskYfCkQsXX5Yw=zR>9^V-w1XHNpF3-e(t*gYBV!Y7e>I_Aul}(%{?J zD}eNe%%T@4t>att7jf(+nTGk`3| z0D3yUxxTXt9tGjk8VHNc&GnuRyD&Ed2?}aRXw#c3^tm$##M`>fF2L0|h!B+0U?9tZ zf!-e+1k=#m-MQXZ@1byuLg1jZ1_xOV9E3+u{cx-qK$c^Gp`$+60%xEn;93|20VOmD z$Z{YcY=R8nSTleu#{fdb|17Od|Io}XEDyngq8b)tIam-#gH-RF$69UgJ{TU1cZ{l12Y3rhFOjQ4np<5I!{+KJmGBXsPlQ&dz-uL zLT3n2Ao?=7(U%DU6;yK|*6<@v%^|Ut8HhE^att79f@%l^R3DA9D|T3$qGaXW;q5S zQIZvi63lW8LZT!q5G9!HZ7>b`-r=q5YT69%ADTDXg=>PHfS;?L#4HB@Vj&iLoxM?@ zZx8IkjUgz3*qO$SooNsU`X0w)ty*q~OoKR}*9UkXLp#te>;o}0jT=MLASg5n^aYJwxHkkM5J6a&Lm?!BrUfDhvm65m6IQ4CPRTAj z98zZ>rlxUYYFbE4;j!jwZcI&sz|rbd+xc`zoq;IB!o6uvhtSb?FCMFPVwPh7QKG)< z(!UwA3onNN1p;e2H?XFM1Qs4^HFE=NI>btF&1#$94yid1UTDH;0t8J^n*;GQof}Wn zAw=lwNj8467maq|qY#)tOrZg%-jJA@9*8N-atts`w5zu66{1~$FT+7C2!z&jZfH#p z2`xO0q)w(+_yYO8|)qx13Hob0pXM{u;9;;Pjws)&%K)C1|hsT-$HyWtKtu%95C|6hh(jqPSY`x*1+!7`sy`E+H#Pgbt?*1{e9;7W z+7DAliLCnop14Gh*WTUEfg-XvOdK9X>>^BEuynyBVW_zn0xWnAF??x{?ABmlp2T?n z41g+_LjX_GwCM&oSpy0?{Dq;yae)f`<#=YYI7S?cRK~%rMsP`(cH!-8Zfk914On{{7PG`@SQCVU zqZXbkH2OS^K5%`)_@b48D*O{EBD%al-|k&s>*<20R?uMqBdB+nuHN3}vN%VaijB-EOL ztrB3XJ?~UG$YPne6sazQlc%-|`U~b(toL@V4;T4rD2eTLaEj@Tpeh4r05zAz%ozwO$Pjnmaw<*%nRxnV#n3dl!Y4wlF9t>kRswo}jK!6&P${u7TFT1g_c+@R|?u*0zQQSO5Vh zxL*lnH#6`p;Kq*49Wb%Xr!pKlzNpuj!5)Rv$jXG1a(+KW=K6g^htl99I?)GTWwJMp zcABLx94*+*&=Yz-&=V5^J<$&{Sv*8M6uo~K^+0o{>Vb%kPPn*3^HmKr|0ve{qhX}z z*xcgn+z1otTD)C#&~Mpc<0!Z>A>9vS8e&0$-3+eBBOFd)ijuw(XjN{YRenesLVX-j zPli+{izkXFVS7)88tU3w8=4y7?F~Gt_cTJkZVa>|x4Eru;~YP7U{y>&$LT45dCq4c zOyDen?~x!oIw8>FU>+Y_XgfRV@R6nmXKI16#n;rhrLL=^+0)+EOwS2$en82tCvdlc zrJ5lQoFOd&r*(0h_OrK57S9#WLoc5XUiSNhRg14Iwc7@rSLsRRtE+?OX?5@|6Z}$- zr#wV;^B0GwShRRypzFfL1n0AmtAIcb|B0Xi=QO8QzYjQ{;rTA&Ibg-M zqZ@3U@IblVSMPTSYc}-nGEV<;Aj4G`;s9B^PP`sF?*@7j2Hfw#TV@ zN!Vx!DIYc@u-l=@L42Ue@Cs3#!WkHP3^0?$JH@-Om3PBEPbg}=uff~h+?5Jnqawyn zfVnh7`YZtqn!0KBwZ7GD-$aX`6ODJYEIF#9_c zlxb7p6?PuUN6mDnK4?PnoC(VXvMN~j6W)Cz`mkQKw0X;n z5q@o5E|WsmX`joah~@q&lf^9eH<>J9xvynX%yQq#q=e=EE|XH0`=?CGSngjkS;}(% zCzE9~7eQoF&T^tmDp<}UlS-De%A|_r>@r!-at@hPvz#oG6)YDclNy$D%48+W#mQt9 z%O%KUHOnQ*WDUy=mdRR{8zK`A%MF#uI+hzQ6EDk+lu0eijgmD9f#t$zd$FP9}%5T&+xwU^$qgawN+& z$mA%NTQ8HNS#E<&j$yfGne1V?R+${ja_urXj^#RJay-j*$>ao<+bok4S#GOLPGY(3 zGC7&$cFN=wmfJ0pQ(5j%nViOQhs)%2mOD}=XRzGSGC7mw_Q>QcmOD-+XS3W1GC7Ck zPLj#FEO&}b&SSaLWO6>sogtG8Sne#DT*z|g$mAlHJ5MGTv)lzTxrF5|lF6kkcZp0c zW4X&@ayiRgA(Jau?kbsF$#U1oOGW%3fsIb`xO%gHi%h2>&o@+!+YW%3%!#mVG#mP?Sy8!VS3 zlQ&szuuR@!xgj!no8^Ye@7E>q^*Ff?nMEDpXv?3XR1}4X;pO zu?Q*hN{;7X()&jJ1a;#@GmIC_G+s2zcv00= zMw&IAV`GI?FB>bY`nkW3 z#!2{7jrATDH5k8G)L{H#QG@Y|MGeL;7Bv{ZSkz$rVo}wvym5=IInx%TF{h5?#W_Bu z#^ndB2=B5SvV5(df`J86tYDwy{EOXKIo@?ww+47-=y@}34uj=42yTUW$W?OwR@}>?|Q|G;SwlThIjjtkIYGvGBtUE-EWmkY9Dyv|pP`BxFLlDwGHm(=bY`YWg1I`9TAJs#^9 z`p~8yl5~Y4Bgq>&HBjBc#s1r_t!HExgA@rQ*1)K_u zXFYXPm`-QcTUKlWCzwVF%4xyC_m5U8xFNjpovzOdTtWF=3~>6W?z4~@4D<})hch5CPJt@> z^hd}gtWWlV*z1#6e^)vGQd`zVeO48SOYR`yUzp2axIL(r34c}6E#qZS+;K5sj%sL71D*(4t{(K0}L!x%mt&8s_FRv}l-{&(NY_zC7uR_WR|jci}K!o_ZG! z^W~{`Vb#Cf!AlnljB}VTPrZ@De0l0!ILw!)-i5<_dD0ig?*n1JJcSlD7{7>WFn+P9 z!T80Z2ICit8jN2oYA}AWXc)itS_RI=h2flg5m>T~i^Ev~-jIfCy4gBuR)#mEX=TC> zX<8|~WYP-+Z`{X1IP9~9=d2v>J};!4?hclB3Bc0oGIa*YyEf56fz|7*AaB_1wWysH z<#{i#)}58%-AM#3foH{d&-%2L@!^5|3+BUf(q9hysw{A+>x*?@l|AiG-mt`3jL(?y zIzM=gJ}bw&68o3#vm(46*RAO9+Y^C>{(W-_UjNU^W$I=az;r6M<#G5e&}5QECc@iw z3oL7i)0wLicx94KCgI00jRI$_YV#N91TUFPCR6Z3crP`T<>0+k2Ft;FsZ5rG_flCb z2k)h(u^haYn$B|YUTOx*!F#EhEC=tUX0aT+mzvFT@LnpL<>0;49F~LkQgd1EHGHif zphst1%#p=M#m8XAf(0fa=-XBflcqZAVU9w&y-0KzC}+E%!B+;>LD1%U^)F-{+bd_Xn_i5o!6{0`JG>SnkCp{0(QnsheGETMXJP zU+c{^H8uY>((;YvJJ===W*+z}&`N~vCI}Xa+6dY5FAHosFxUc{ z4vf`!_#?2htsB-@HnldiO{t*S;-*%gO(J#@39DcAEBn7M}7OF2wW4e3a(B!>xaU@78Fvf!}7W0gkaVDf}LQK;zC0(6V5>zA5voI zM_F)EvX2G}WMUw;wXF$?VAIGtFK&lf>Ft0WBl5S_`RMMLHfacKbrEQBC|lZbLmk8- z4FfNy;%Su{G=rQouW7j~jgUsdBqk{Z=HbHKz!dt{&L)ip+HCd}RlrmoEIB4hN|nY! z&hkFY#FECt<{g?N{65axhT~ox+xW4f3wGU1wMl6Zo&G2ZSCocIdZaW_nglbu+F?bz zy4j>GO|cwwfPN|roRA};l!-IDc;`9w#54z)Ai-J*v8XJ_3$!l-rylECX{Iy_A!pl3 zx0#eWMUf<4S`6WgVHhvLvWT^T zsQS6ioKgo6E3=cyx|0Vf*`#tinQA96Xxky$=?)`oI$Ge=Q1@4nmP^$RDOXw{lXr3N z7g1UXBVwQ!^Q29Jr3qHH`HVnjbNWNS&x-1OZilRuJPsj2g5?X8nYX(WI(QI_T7fG4 z7bF;#YNa}dlq}W5(69AXcUM#Ml;z&$rh1zMYxSkgn3bjV;CQJ~YLbOS)(%{F&nE6K z?eM`IN$B4e?B5_A?Yp)}sa1kWkB+7mwt+70pfi@!NIgq`h;>Mv4zP#8*TA&`$3~m9 z8BQ{-Il&{2P1=f!B-%WwwO*KxsEeWCN$CF5z1P*q;ONV4d8F;q4u@1B?UaQf%xk;t zBvTefu!Fhm1${a5XX-s{holKX7N$lVhIFDDfOTbysy>ypYSvm*1_iX80)jQsnc3C=~ zk-tC|GFep@*~tu9n9dF^m4#U>ce$O+l!ZC$;3`>I$a2@nLO#n~Cku;N?gl%VB?~3& z;AUAUXSrMLWVS3+v4h)nXB#%dCiTd|O7__SS@5vj9kNi%a(BsskLB)x>p^N=8!UpO zck(dZTZ@99W`uz2$$irO4r#yi2U*y_>ii=NeGpIGt=^7p7*)9bZfT(6pDK_Zk{)(Q z@zNu*(8lV0Ocpv=?g?4g!g5d9NwzF(X9v&9!Y-D3UKS2zxff;O2$p+U7WS~*tFmxB z%e^iOr?A|cb^>Q^28+9QWZ`tCe$P(k%EDRf-~(AWpXEN1g^O73V_CSAVwpd-eYkq;F-*CzelfJpFsD zRr-h1@c^>3aUGO6?%Fisj~DiMh7FUq z*{lS56gK$K=>&4Leza0ep}_jkEwc4Hjj7j7-N+kcNH-?yXINJa0^5;UMQen^Dp)PC zR;xq@QQlVu*7`-=t*`|{TkBMC>0hk2I3if>0+|+wO?7EYXldRk3;+&?^jB*Xj%E=2 zH_(o;#>i3v?FFk72)W{jXtm42t?a`DSsKJXOo9&w#gPd7@OJj$5LtX(d;|K=Iur(> zI$S^${H2VMldZ$8Be2v+IJMz4^LFCEDqBb4=}=)EEei)3-WY+*?bR|Z?1IO3dK9>s zQY(TMj)Sjf*734%4}(mTg$GzJT^1fB_61~oJ^JU>JmRktlXW6GYvhY6B<;lW_EVl^0kuPnx}T%9bsSk5Pl2`twLU$mKRlPnHmI_O<%v#+(WYrSl35$}VW zVk<1wA$BX?7vOCu+(a}q!NoaIf2L`-p37xxhqV*#G_755nQL!rFZaPlg01Rpwm_Ea zjnq2_|Jd&zg2Ubr2W@qz-F#R#Tel!p;3dG#-Xz_*-0xQ(SfC

h?B+od9?JG!Ll& z%SdP*Qem2!hg5*ueyWF5n4#t&6=0n^)k7-GQuB}suz3O1Ln>xW1^3d*AR}f#mFx&I zVg^*ojvymuK(*`$GGYc)%#I)up{Jxo8zz}X=Bjkd6 zjIbl*f?I{KBjkenfUqOvf_r(eBjkd6cCaJlf}3ryBjkcRY0x9gh`3`0J3=nF*9AL5 zF1V2eJ3=nFz>Xau7u;}y9U&LoSb`lP7u+g>9U&Lo2Z9|zCO)+ue!Y#|CHUCAK&n7y z>W35c8m!$*`080P-gp7ep4i2-L*4R}70nA&VZ$F3Ih_OlQ{PMk-D&}Aw z*=BRttTubB)do+zT+Q^xNJm|^McFKI#A1`-Qtbc5I{5ODOV^Y})5j(y-u6no+rlq` z@Yt@jyQLO3AZ41kVt7W>yd0M1;<2i9vW73!HY@FfOH>i;&d@=>KjXfo4)zpq5&Yr` zEY5>1QgJicUR`Xq&j z!~%a-c(QmCiNhz`aPt_4kFaS9PpfGP&#Gw(PpWAOq&PpN4N&!}k%PpD}M&!=e$ zPp4@L&!%Y#Po`-K&!uS!Po-%J&!lMz%khX{i-EjI766Zh#0~izTyIBHSRzMLSlqidns%ybmzs8~>1H+EqNZEbbeo!PSJNG8x>HSesp)PtJw#0p zRa01vMcV~yv1ke_v1khGuxJXauxJWvuxNUWn(k54W7YIHH9cNUPf*hn)$}AaJy}gp zQPWe^^fWa+T}{tW(=*ldEHyn_P0vx&bJg@bH9cQVFHqA9)$}4Yy;w~zQBzn=McV~y zsb~r-sb~u8sAvkSsAvjnsAzh%nqH%(*Q)7tYI?nz-k_#8s_9K?db66|qNca1>0ULx zO-*lC(|u~%qo(`S^njWkRMR`u^iDOsOHJ=q(|gqPUNyZ>O<^?@Z5OPCqA9F|qA9F{ zqA9F`qA9F_qUpnG`iPo7s-};r>Emkpgql97rcbHq(`x#Rnm((h&#CG2YWjkjzNn@z zsp-pV`ih#qs-~~0>Fa9xhMK;qrf;d~+iLm_rovtli4R7JXp4o`?SK&(zrv^ALHNOtLqtlyWiRETGhqiv(4rVJ#e76p-->L-;EjubouH%e+iF%?WI%TH=rI8yL1-YBU7oqYvCo|@q& zH8UJ3crRd-)POt{Olr2DRCYL0@P5K5sd)p5slp&n&G(a95RMeQDltkb$2_TAKdHQM zq~NWMQBnowNfr7@6@?=OFOZCq8c<9Hci$2}sp4>?;6;{EQe^}2R8de&E%lRH7LF9W zQ!`3xK%NRFRpBR98IBaZ+cQe4dLW)!9OS7Leo{5zNWn`*qoh`wC$+{;YHc`D@P5-M zsR6}Qu&37fNqNJOf>*6ZNew8bf=Si+N!5oV1#f+gl4>;Hee3X>k(VDg7iQUe;Mf=M0gCv{vnQZNt2 zD5(?8d+H=VsguKzf+;aZNeyU32=>&eep07}BLx$3epXVYx*=h_aE71Mnc+ym#2}-j z&KXGel?9PH*H7xaaHL?4l2K9v3iM!77x+nC7>*Q7moiFfK-c47QWyJ4T@sEI%-k|c zYCwS=OzJW}smsHWf=OgXNe$>8FPPMoeo|M3BL&majFP%`Ac0;U6zJFaNnIa~6imr7 zO6sP8kg5zKb+ez;E#XMPRIs0wR8xmW_X>4~8QJ^8<~N zdSoD;stNMcqkdA4g(C$M6pfM^kf(x4J>e(yWH?eVSJEh{X9nV_l|i0*)=%oWaHL?e zrcqJ@@>DRX7yP7N3`Yv)jT$BO%0N7|D#%l>`boVOjucE>HA-qgo(d-QhM&}%;Yh(8 zTBD@i8HlG=2YKpUKdJY^k%CFQMoA6GQ^BPEsNWtW8qofApsbErH`$>Hh zjugx@H%jX71M!q6$W#CDllo^kQZVJ+D5(Lxa0n*#FF&at!jXb$_C`q!sQZFR{iu-= zNf=Th%=kA*N{kpt_pQ@+pJ?%ulERUKB?ksc2?O#}Fe#g#lsz0NSp8s-lo&M-PkDnp zCHqN5ha&|GF$|It2K3Y=m{hEvls0{eH&Y4Mgcv0?pzaGM73U`vAI?*-JjE!f0ewTR z4eGu`KdGc}q+orFQBni)R4^(3L^CnWL^DAgA||V(vSErGPLi{xB_9w+-T*mKH?_`A zTb#s7h$-SI_#DcLLt$>8piZcR11Ay0(PC;Z$cYEU@i=|Y5TrOE6f#Ym*b5R^PPx%2 zWN(&J#S9fPALa|vC536p=?BCae403^5M~bgTW(`5r@BlaEK(mtis%C|lloz%IIDLJ zLl21ajn*(5S<@P_#RY*HWAp&@qD8n%hsVe&CfU0w>)ge{QT z(~^fyIv^g-uOf|=@edewai@AZ+eKU`fJ3ca+zpjQsByi6HYqv+3Ob>PQ`}7}KBAAN z9mB7;chipa_eW6Ej`TNek9e#aQ-P*UOoyhO!mpxt(~eWCu#3l|_h{2jAXA`eIMo`O zbR1N6Jp7$Nn{=vpnp$BOvB5t=V$z^O#iB@x^?-N*zuH1p((ln2@pSP*8p|=_8RA8> z+8FUntQHZ)vml546eFGuIf>@Zft;0+Qmo?XKf70H#B!yOmGEP}}y3xA6mLKOeMr0BJ3=`&(vbEu-y# z0MfMsPWJ=i!Coih#PneY#CwcZh$kKngK%1^u4JdBb~4_fRSYMgc0xkM_loyH$KoiU zzj*zTf43BL@dD!q`JR7-&)JaYVIwmRpQreUA$-U@&XxFf@ficgfh#dt$nnVS;&aA4 z^1S$hNTXcnR0|8)L@BT;uPI z8JBR4|6$CylxzIGG2=3>@ejs~mvW7NG-kYvXB+`LhwvggbPy`%8b=s2uHYI=#*8bu z#x`TdRb1mpW5&z5#!<$MtGUL}#*9~Rjbn`&*Kmzp#*9~TjpL0Oui_df8Z%zaH6CQl zcn#NBF=o7$Yn*J%*uym*X3TgU*LZ|6V=vb@#h7s|*Lbus<2tVK7-PouT;p-ZjD1|= z3C4^YxW*HW88>o`CmAzd&o!Q6%(#hboMFs(1J^jqnDIug@pNOx&0OP|#*AS{qFy)5 zw@1u2X57j(o@30ojcYv5m~lJTc!4qFOR(X1s@M+-A)9Sg!FVW5&mEjXRAQAI~-JHfDSR*LaID;}f~Y+l(2X#5LYw z%=l!k@h)S=r*MrAF=l)!*Z44F#;0+Ok1%F@I@kCpW5#E2jgK*Ad?wfUSYyU#agC2R zW_&i+_(WsI=WvZrHfDS-*Z5Rp#^-U3Pd8?KKG*n6W5yS7jn6h_d?DBPTw}%;agEP6 zW_&T%_(EgGmvD_QHfDS&*Z5Ln#+Px8FE?g0mJ=b`jG2_+ewl`?$uB8Z++U8b5B# zct6+pNn^$bxW-Q#Gd{>Qe%6@r9bDt*jTzs`HGa{U@m*ZwmyH?U%{6}2nDISasG2;ih#vdCqeu!)Q zsWIb+xyFAnX8Z`(_zPpkk8+K_G-mu5*Z3=A#*cH2zcFU~1lRaGW5!Q%jsIcH_$jXO z_r{E$<{JNC%=j6u@sGxgpXC`_1Y^d}ag8I489&c8mW&y{z%{lRGk%e49BIt>C9ZLl zG2@rH#?i)%U*Q_Z8Z&;CYwR*+{2JFd-k9<0T;oJz#&2+q2N^SdlWVLPGk%L}oNUba zZLaY!W5(}rjYk+Vwv6P@WBOv?^O!6te8?|NK#t-=eq{o3G#~OC6OgHV$nQ)*j^RW8 z!vy45KIHc%Ajk0`e=q?#o)7t>3CIat$O!mhCEnbrUQXYwJ3nSh+dha6!7ayB0_#ROzFA9Az_$T@t-F(x4A@*&5W zfSkvNoL~ZSJ|A+T3CIO}$Vnz37xE#en1FQiAu~)s=I|l2OhD%HA*Y*w%;Q7OGy$2< zhn#H!auFYLjtR&DKIA+TkcE861tuVi_>gWBkc;_{xh5c&@FDX}Ko;{M3rs+k@F9y# zK$h|$mzaPo<3pC1fLzLlEHeSQj1RfY1Y|iMvcd#p1s}4?1Y{*2vf2b>6(6$31mto) z?SMeczCLmYyAsbCVuHi#AnSfl&humla z(!+;rF#)-b57}k{(#wb3WCF6557}t~vW^egZ342M54ptzq>m4|%>-lvA99BY$VNWo zE)$UJ`H+X0fNbJJ9%ce^10V7T6ObGEkVlz-Z018AV*;{;4|%K!$W}h&@g^YK_>d=> zfNbYOo@@eg6Cd(a6ObKz$kR@S_Bi2*YP1EOh8`Ghm=e}-oS^nnSi{J4;g6!@+LlHlnKb2`H;~jAaCJA z#+rb|kb_J>?&Cu$CLnwGkjW+>_wyl#nSeaNha53* zNRnnb*n9gl%bf4}_(fyJ*<9n7 zjTz738oz4HcrMrYbpysuxA>?<+b=t?pLvgkZj#-5GwPsI(&>it`R!h(WRK-t12vO4 z@n!K9)!0WYbT9jnX*I=vVB3Gkrrk-#)}-yXe6UY^Y2pJ{6YInW;4z{AB?pnA{w=|y zNyNX%AR7_$Y?hDu;yY5^96U~ZyU!|NgK(^JBv$$7ed1d`S(P95StV>Cj#ZAvDnH&Q ze(=*&=~OJASUy#E0bfq+bWip4y_PT2dMsb(n+*b^CW+%sBDX3VdX0BlPdN~o3z#~bWVZR^+?_KNn1dz#Ty)M z>ydULTDGLX=TPe*ilhUyD%L&#-C+RZr9%Vldf4BtY@1@EbsVnPbamJjdr0G?BX!)} z&a5z1QSOmSB*i^iiPYgb6gaEUX(7&VI~RokjF*lHaDP6^7>lYnT?-aDGy3+zg%sJPxG3_)ic5zar^Inv zu*g~5H{h;#>5@PTp4M6bZiN=aEAh0R%anLsJqb!e_!boO--1LXks@EABFOA)3=Y&mTd61tEqAS==*kUIh6Kv#x~I@NGmJMW zV6u`-0k2n*b$~;ap?v_B^anUh8AbtbREFsQhbzPT0NfY`FkZST;H~Ea-Ws8dpmp4$ zjL_9FQW+VjgElTjNulNTDk-{hqm)sBayoAng$o6Uz1sp@UZn7&mC+P_pE6nppQ@z# z;rG*WW0Wzp+(BiGuH0Bsi3nWw{?ugqtd5bFBqD{*%84ftq~ zhuZrt$f9b2vVhk7hO$6c^Fn1Izh)OXwQt0rs$JydzDu&Y-HMym{g&d^)t#f{`0Li6 zA|)Fq!SzN$swS1|g#tMf>yGcybZjhS2}m$G_a$GpGx`Q(-M2)6??~{a;4@{34zO4$?gKEKqo`|2loAT~xl*D7ELBST z09@5KL;rwZ=1hcAfdQX}14ISf29+sgw7PGUGF^2`m8Gn@@9vYnOS{7wNl1@XzE9e( zT|&y8Ljs7_Xb3R4_h*R9lx2u$ja8QE5X+VFAVm1l#bCtBUWjnx&JZh<3W}JhROk>Z zmC7JQB^0qL6cL(RrBqR5hl0Sf4uiiGXj78zq^Wk!>C@BJRO-uWrJ90| zRjPI1E0h%s9Iw?q)(M&mtM&Ax2Pi^~QbQ3YDm6NUmC8ykJw-=vMgR0xDXS>>BxRKj ze6_NgOK$~--WmnIY(v*hQP$`X)+%dx^sE^=dNuvi^C%t)o~3wn;OmriTzWMedS1m# z5vD6%9YU>A%cVC{M{i~S^y-v43O-w@(}CA3^;~)@IrMyrk0Q)bd^&^%rGZOto{rus zXW!2f)YxlO;Hd|6%>t!S2fkie&!xADL$66`q6luKNr$jO*}$cjtE0ENe|j60jTAgz z*{B0=R+_o=R&(gJC@mDBKxxq-v?{G!dPO>VYn%)EJ9FEVHVVE(Y14tXEA3o*YdG{a zDVr!liLyzD(4lm2>6Pi|tqt=CLG9d5rIUg$Q#y6vT}l_1-dYa5Zl#+dR4Cm#gw4uk z9z7T*wk2xuZByVm8pP*HWt$FgyRuya3>q6f zoF?p0c2I=X$_^dEPGu*r3D&hbdh7a+&t1wc3cgO+r32rs?B>#2$DwzKatKAJRSwZ1 z9I713qX!;7OgW5}uU8J!l|NiLT&pq2!`?7oJyj1Mp&UU08AB<3oEfkNXga;YrVA*(jC?ltS3YIn)Orb=Tfpww8h(!AWgH) zvCW5chwTI#d~SQf_NMI}DcL^TzSv#@>2db+?H57%y8Scz7gBO$dgQ#wg^->Sd1>So zklq>jL?rNc#5zVgMnhWX=yGgDb6k>$IXZ2X)YT@C4raUaHgEG5Th#^=T_g0w6C zsQ5jQJ{$jD{0CBULVQAM0`ylxZbD@Ov?t+=gew!Sf%K(>j}ktSk`uEM^AZan?M^&8 z@mNT&OzcU7eoXu}$(H1hl9Og76(tozdS=p9N!Lor?00$>ImxjSVrOuRG_cK zX!($eJS4$jMf%GA9h;B}myx7@qe6Y9|E^s~#jD8Rf3sqJIxYX3rXgGpn>{E{-^5e)zE4G zp@!-k`=6{cq`|AmjQ?PR^^N{d*Q-BaN0C|o;a2Ng{@veC9Hn>GFNsr#!OK2w;0oS_r<{MKk7k+zy{CUoob}$$Awe{J(b|J?_FHHRFWzc@ z1AV0RlNNXNzmYa+?ZWu`EwzakhYi1>KGXV5i^ui9v9@XL!?^q{wv88`8-9a*sP&^3 zr_I07Hfrs}c>OK6kr%hEzu`XB`c;eH_TPA0wf15h{~p@Pi|3BtK_6@Vti^TL@1)II zyD`3hPi^MK`R3nIpKJZj;(Z+1`a5g8)_#op-(%Y?y63B~(yx$g{~ZQ^J_vrV?dJ`I zJAbFKpv8lB6R`Vt><7&kI6(g1{lGg%4*i|Sh87>%4aDL9^9Rv&amt|5ap9JXzq%39xDUaDiDq|iXRk4YrI`($5!dXFToPQ%LU8j;&aZ}0a zxTndQ_*SwuA)0s+o+0ZJ+lV(Qlhh_X3!BNr5hAUI9|ZWCSgqTwJKzT_-X%k=JIN#> z2t$CwF3?#78yRlh4Y~+nBj^qRomHqJDb_bWst@Kz9u2Vj|{%ZV%|9BMyS@SkO5mE(hIlpo_J{gYJ0H z#YOx8x)VU>vOta26G4|?@qz9n(8XI`1l`G?OM+h$NwJ;+x}@I2+ApO^Zwe-A$m&io61JH-m0QV+d!A?hz8y5pquT07`5&L-CTzkbUmP(<9Hl&`$0F~ zu@7_yKsPTcA9M#nw=il7=LrO|hS?h(+H#Gqdu1>MpZ^vh$QD~mY|bdQ6sJZ2~8o&eplm_LE;Nzhfs zJO#R^Kvxlq^*#-{<*``rGoY)AJppvjf^J3ZX3#wcy6V`cK=(Z8R>mFx-3y?raZUu? zi=bQWOa$Fapj+i^0o}`>TkEU_-7BD5>eOwhdpI$xX}bnk+0z3XqFdk=JtaWF1f-v`}> zxM`sK6X=@at_Ix)plgmh6?7khZe!fXp!*1Pt#QwT?$4lWiC+Y|k3rWSKNWPJfUYeb z#yi;UYzOIx?*QFrpxYGx0qFh$x~}-ALH9Z6Iumf*_yTmB6L8%4E9kltFb=*1-PQz* zgTH}pOTsmv`wDd16HWu&*Pz>$@CoR?0o~4o7eMzd=yoI)fbKic?M}=9-QPjCEAd#+ z{R4D|CU%1EpP)M=5yzbGL3el}jyeAV-C;=%(ER|qBNM*{-T#5^h@@iB{Rq0FlV*?< zIEN>Zqmr(LaZ~`^o}|-3CxY%6{DBqrdp%BvEy89|exV7v4qG%K_#-t0 zwgO84nFAM$7~&)@xVXfVM7Y32lEIKFkS3F1WH{tTLYWi*fRm4;LOK@Gaga`+I4)Zv zq#}ugL57eh&^zESsuT9=8U%_`*u3mBP<&vug4qYsKc(-be@Q<`Kf>SlOlOVb=mzU` zHV282{%MV~4z>*@#J&xhb1VK*kAT0QBS`S8Hte@%NTGNiAw?(_qgaBX7)1$+QWRw< zmZDgOq8vp9ib@n!D3+tBMzI1#4T_a0R-sspVhxJ5C_E_Eq41)pMNx;M9)%A@1Bylz z>rphJ*nnaqie?loC|Xgpp=d|32}K8rP83}zx>0OKF;6TZWDDlDqS%IFJBl4BcB0sY zVmFFIP#lWlFcgQQI0D6yD2_sLG>T(T>_KrXijzm_ z;tUjLqBsl1*(lCIaW0DUP@IqA0u&dbxCq6?C@w*9DT>QbT#n)j6j!3S3dPkZu0e4v zitA8ZkKzUtH=?)+#my*gL2)aJy(n%&aXX5AD0)!rM{xkfK@@kOxD&-)DDFma4~lzH z+=t?R6n{YR0E$1Nco4-yC>}=f2#QBhJciez`8bvCKF(}5O z7>8m!iU}yvP)tOTj$#su$tb3vn2I6;MJ9?Y6w^>lM==A%Ocb+F%tn!oVh)PADCVJ< zk75Ceg(%!8a!}-=$U~8jViAf06on{?P%K8V1Vu555)`E<%1|stu?$5yiV75!D5_8_ zM^TMp1&SIJD^aXMu^Po16l+m_M*5A#qB8eq3A)eAH@L>1b>#0;13%T{2@w$KcY$SS0xGlA|}C~ zh$Q$^j|6{#kl+tp68t$qg1@6k@Mjze{v4zDsQ4HN_^Ckp`=9Vl=RG1!M==Y<925&t zvH_$D=p}#px){ zLUBHdi%?vO;tCWaP+X1T1{AlVxE;ko6!)Nb0L4Qn9!K#Mic}QOqj(9$>nPqr@ji+V zQGANxa}-~p_!h-D6yKxxKMGk6dSN`JLZnS+#c~*B5o766Y+p}kcc?m ci_eQM2>3&bg7_eo8!e_18|>r{w<3i6KZ&S>2><{9 literal 92291 zcmd^I2V5IR)}LKzB_S3N0&K5KY#iJ$HU?aA6~#6-U>h(PY%B{97@KB_dz{{T@4ffL ziA#DFUXZf8P3*^$qQEcQ3~>bI1%H}tgl z?ZhGwMJrO~-_XGyPPX{#^uclo>RL=uR-rMWH>{@ICLAW0!LOzf)nwfF?GUop*O zcKRDz{Po?L<)|)iZff&&_jLMa3Ph^g((UgOoUt}R>hTL=O1hAhw=C5yNTQOzAvH6e<&yBAYPIBTX3TNlcV!xn*(rR&kXe#3qW9V-lqv zizmw?i75peQhkF2k7blE&LW9*0<~{U92TS4g=K8jlEbn@6jD+x zO^K;7MRuW1a9YOM>+(EPv3}2BDaNu=OjT0zg~cOhByNZoQpKb!yO{5pA;j2=5=upJxR@ZXf&i~1OMq9FJHTr} zkk@QU=X90WhE`1wDcUq~zECwPX2$4Z(NY~NWQ`WA!@Cv_E^eAw zGp(_x%5ws>&E4 zP7-J5k4{W&jTde9?CsN9he(d1)k%21G+MHh1#K%Qb+ish9-BENr*XWLWzQFeuT6^a zY+4{#^1X8!0Cy_IO|20U^4)1;+E+|zpX(kvZNjQaZHdEtn-A=63E4>7eQZR~{oCa>`i zkk=**%~_M5TkNP4bG3Svrn2O!B{h=(ck;scnn_L7l|vm92W#bKYUSdpOEWeXSI_JQ zoXy3l38GV)vMkTzv82Ss%8()zMu zh$D+AODU+C- zvZ#L>>JLbw?S*l2OZ@;GC$|g`tkb&J?-(+sX~ncP3$jkagwM|KW695U9^2_ z+^VTCKG$~Acsd|9)q>**#7${!&YA`Dw^p`cJR{GAjAw@6qBe|*$GIWTTPI~Qs7*RuLko>*MgPW#;X)+8ZdPyI*jErp@|xj1+GfP^9;nYMFqZX@+K_6NgF;p&Gaio;m{2Km~y z(DMR#&8OoNoEM`6M@U>v96VhduGvjh+bKGI?D`^i7uTZyGnyuP0(M>KZ?H?X%wW9z z2dt-lUYsj$V0Ovf8LOCGe36hq{h$n9<5&G)nXTEe_+6X}ez8%%E^Hr~+c-B~4%koh z#D>Nli|eYAp^4%+HQv07GB%-~1Nh4+es}YnG-F{Ut_IJq5Y?h zdvIQ{{_;rUs2<0aj3L<@OEB(PKMeFxzDZT>wUgH2xTMBAg85)8I z{X*M0dcx{l7{_#YtAqixzhRu!$2;{ui<>6@0M>pMkLvjm8aMV)o*49FV@Yie_&2#5 z&kN`;=8wV2D<;%TYVd3AhI`X&?Z&fkb4N>aeRFqyduvB?i+@Q+cXNANS6b*{ev7ZG z%TD6pb~C!2og~1GD#utLDVk-6uYSF+$)A?j-rkaCCvJfxg#BbE$#CCFe;3GzupiSJ zJKI}}+PW*+i<{xL+Sg(y0|nv=*9v6RI^RZLW{a<_32wkQwy*bRmiW8Zwl^&FwKcT( zyV8o=+t>GW*vVjMRrI2EGE^WoRvz#6*-d#`=SH~SU)#_LjTkPFn7|=4C|IPSvC$6& zM#9Z}=SF%Ef`Iq?U0qOM6h%-E;lUu{ks>Y4*Vf*amSrbn!I^Eo*5Z|W;Pn#l;NyVDC0?!<*WM-+}0Nm&AZ1mOR^MTmh4(PZd_ME8dvY8_U z5?A1<@OVoKOT5KJrG@BY_B>b7)6wFe3_e~FqL@`24Amud>)?rRX8$yE0NPREYw}jL zcQyz_D1xZ%+^EK1D}6$RGr2ez$m&mE2IbVi=ao}`f^uy zf`T&dLSPU)DqaHh(BI0+Jk{QU!le}p!8xc|un-D+$`^XG1R`sS@}e4Wo&yhAHa4#! zPY1IJsi&f-5`G6& zxp!$<1v+oOXL)f2#2<|{Pkw%3c{%mXB#sWe8c{Brqs!swfJuZcj7kx@sT|!j2sb^y z!ctFOaUn3|Xn`X~3oJQW;K|VfQ;rt6acahrx^RD@F5I7} z3->4L!u^T5aDSo>_{A6G=a+c%Jf(}hc|{fFaD`zCnonT)>WV^dRZ#(+T}(s!K-DmS z!u))#NGvsCT>~LTkLK$w7zR5>Se*=`bU7meBp60n;ew(ir5dGjPeEBAPfukAM0u#> z;|fYV-n`}WwZXv2j?2A8aKg&k0Y*I5LCcnV;glB0!1^I5!Jg@aUx0?*<^eF@cbdZ2P|l?ON_X~haXx*;!6 z5Vg!>8XonlI`So}##G1LtFKz$1x~dnbX%~2T9>)iA45A$RWe*`qpWI*OTFbaLATIe z1ft-n1hoXJpn2G@s%A-PF|<}|S9yMEfwvg^A1uHIG>u7lg{J~WD%Nz(3P)nK<(k`2 z6>PZHai~Q{Xq^I7mU{9RQ$=X(Lz6TGwh*e<4uhyMOi9lVXbu$6{Kk%03u)uwH)f|s zIW4V=at6hG!HfeDPm8J%&+;_lokjDPLwu|8tR1NFtR2#LPAn>gcn%xK!*w|BgzIqJ z3D@OtbU5yWm&0)AXmK2mM8I)8Qj6ob zUW;QVj^p9FaDQUCaDSpM+@Gim_b2MY{fWA8f1(cg6)*7=cq^88mzNiMmliKC2QK#F z^5xku%E65Q6AZ=PswHIwFltac?bM*<*ziEh zRV=CS6yv}RJ%|ddYcr3wAFJcR?Rb4IMpNOiHf3d!3i?(C_DuQa}vd|yjTIXunU$tQ3XtZQ%Y?&|LJbqM4nJ%cDuvHFJqfQ3gx zy(Yx4VoQfV`j2}0s;NeBrc6F1pE=3j$>#|+@&!Dl`#L&Wwg@B*8r@gx!1y2JD>r;K z`&uB@#^$yLnS4t=K(y}!G9=6#zhjm4v{ito;PLo-i1?Bv1MlfRpFxIpIVdq;J9B&6P*VId<6l3;TRRslZmx%_Qi@WswkU+?egYOZVX3uLMu zKg={LYK-7?l79)Y5R$%z27xTl1F?qcTK=CP#|iQ1odmc=0AH?cZ^-x6uk}OJj|}gV zh~S13#LjG>_~72_$MG)A^I$qCs0!t47Y6W7Ul^L@hE|`qp}xM=+v(rn5R_2PP(Y;v zxyaKWhH)7lWxeei{hgi74St8PU#LA4Q0*ZXX%9nw3=O{Z-QEU=uz#pA{Hhv5F47pT z4SM&&p;{Vpk)`3P5vZ!wA&d_-hF?`<$VD2%6GXlXc9OG7TQ^o-Eb zaHy7sToU#oJCU>j7p}T-`k;bihZ-=Dd zN`rwc2L?KQPzX$8OHbEYe}k98;X4XFD=4kOL6!ptQ4wMQ$C?3TIR+Rp>Kiq12700@ z3<64M5Rm0SK(vI^3CEfNH;}R+S_Z0h;#;{!f2X&@-=;R%8;XX7RW!^-R-YXfWq7O^ zaHA|cEXwd$GvG#9HiQy=`H624am?|zIfQj#G=q_c#W^$~iUx%>+TYsIy@hpjdl+0W z{Ia>>mkrURcRC(xjo=1aHpEMaK`@l?Cl2ATP|6O55@tCD5FH^k1Oq3V8#vivfrH0d z8@Pd!0|5{iNg+}X4XqG=R_!qx$uK7@RPb0c;D$;L#shX5J41E-b!=GG!5E@85yM3e z1clx?c&t^;jh7tg_7H<$MBq=H#$g1o7uqfB9l{x5=E3;L;l@V}1VI8el|j(=-wxs2 zFqB}>V0jLSu%O8a1`TF824O*y6AT*6att6c=zs;^D(LqEhj2w0$zT}eaKmUah7sJ& zwt3;rH{3W}8)}a~t6hWHND7l7Rx}FqTZKcoDGVYQSXh_?0r8^m-O0iD!7RrBf`rwn zeqM11d&3}t5jB|`QIo?W3XipRawBRo1d3Lt+Rl5!>I_B|7Us}~C<$q2Fn}g=186ct ziTc?`|B=Wc{4ES97+7e+sW~jLCIpVXiedU z)|9Z&!egz;+|ZhW(V|tY`(Wn~J`Sro7-7^VVql#T7GZd-Rn3jCDO!YueiL*E{|Ku& z7-49_abH-3O$kOAW;q5BF7$kYn$@A}Utx6yV`~aGwx))~79Oju#cX7qQz2CJ!HCD2 z0XK@KhD8w`YX;mXnhFsSQbRCU@TXY#(47r$Oj`WDHh8NrwrCu@uZGE+MArQP?+oI` zYF{5`LJ?Vv73Ems5MfG#wHv1CLfeWVUP5Q_!eeJlj|Ky?1jYuwe=mnG=$j%Ox|Nn$cmNrAh-(2_9ez}MB%-qyq#2KWAUb{yWGMY1?p9D*P) zQNh~j?`RRo@UcaqXhFJ^26)K_FG#R3z>N*Ejcz83sp3ei37%-3b?{`|bEKU`tVGVG`+VLxYzNZ*QI@*xu3r zSIr1rnk*JE6MP=VpW!uEr%E$nyv#Lg0K9W!T_5b;rSRm(9)%Pz|z?TS1)M3s)6QrvF3wU;yX9C`nuM`G_qD- zcRidQxl!XNv@v1b4`Ui)L4w^3uE!%BPGORbz7l9vey~*mNE$-D98ph(RVRzv#O>JL z1E7Zb_O{06CiwmUFPpthn*_2x*pB>`_WJcR1IWR3DM1~lrvl|UzlAY@vj|>wfb8r7 zm%3pV8(e6+I_vS3nHT38fwI-#+_b5_yR*gH(cVJO32=T;$*w1G^MIvVAP!t%Edr-? zbDXx%+a`-gibtWBj|MLXe8Q^5k8av+gU+k;r1IC-!@Hk)cxVK_G~g)@ksVY*5T3}+ z*OLOp8~m*J!eG}$iwVweVOIfx9P%4M1QOF3bV4c$RoJcHTMkBn-OWI~5)^a9j=a5@?`L&S!mcL7+GzM~fRA z87Sk36GW{m0N0N(fCns#mxz~Q123bDgCjZIMbU4bT6l8&SQO$c9N8B~jh3+TQ9}Z| z9hw}%2bv5Y!PH5J!J)?hGg-V^yaro&E!^{jqc->(eLXGRY4H4D89NSUgADGq1Tc_; z48|47;*H`>hyRR>R8SxlX{kuWzxWM@iOtVoJ%H+Ea#R<6U!ybWG%}LkV!Mk4U)+^mK!XS z^(;43CM_(tpG;a=ZiGzQSZ<_D+F345CLJs{S|%G0a zAd`bwZoW(oX1RqjIfUgF$>dO$E0)P&ELSR%!&z>rOpai=GMOC7auqT;isc~Ij%K+P zGC79jYGiUO%dL{haV)o5Cdaef8kwBHa&wg>auUlm%H(90TPu@OSZcJYJ3%Iwv)oBCxq{_Rk;#=T zcbZJDV!1P9ay84HC6jAd?i`t1%W~(*qb&D|Odeyo*JScI%e^6!Cs^(+nLNpI@5tmS zmU~YoPqWbT9`%os&vfRfqd5-1&E|ce3?lYOZz;a*6opE7xs<^Cm;*I4dHnY_+&Kg;9|mixC%-lRDzk;z*uC(7h)mb1#_9hS4nmXp=%7M-9JymHai4{T)wyGqcDTW^Jmm#{*k@lwMp6kP7W3XbZfU~qW_ zE4P1?ayV6wl^WhlsbC2%dt8UnXA`wTQJaW`!kb9%1j2m*1p^B`826~ff(;nYYa*b9 zFAIqdN+%8DY7s-lv?ljPk)q++5(8+sLOyzl) zm4j6-tjw5bWz@wl(MpD{iD4zjaxm$Aqkf9D@uFGAi)I@ynq$1E>MA46nqnMvs_~-J zSkd%8{Hjh3|4$05zBPudde>NC)xX9Hs~$F1SoN{7!m5{z6;}P+S4ZPC@=3;e4~rU% zUo2`cezB;*_{E|I;}?q>j9)BjFn+P9>Q~;l#a2yeORAVtNATht-%{fQdRByYSq@u( z)kneL5+7EuS8{>nTC5!Jx~p5o#R~C8)$qk#eeesehUtS}V3AB8{Me!ZR;X7G<0pZ> ziUe*_dhLYZGBbw7yWFxBW$NlPR*ZMO(iWZ-6|geA+b69IE=*vhxSbSS!o~_suNmqht!%pBjTPf{zFI7>4vv-N#hkvRcIVJnIqlYgH*o3kSijJhHhqw!YwQ?F z-q5Lm>XzR1-F9t79xEAzD?K&oay3?zcc-W_phelKV!#RE=R7aqRA4;osiUHFI=kMo zV&gc$q(bS|2C}le^F}SpnxgePFWA+BbR{8!i_(>JY`_JEeO8Pmaor&+$UA@3ig5{E zpY;Y-DfS5$ysM4kLEW0hKC8wRjJnu~61`Y5G<2f$H5S$)EXwJqu9svi`Q`9B7J9wo zce7Sb3kJS_v{IoB;f?Qfg<0?l%I{);(?@l$h16i6X9z!>0f})6R@tjR!Y*OGvJb{y zuf+Pg$^{mSvM%bis$g7l2Z_MaQU=5ALA6ZutCDUZDud#Vi{a~2jTen_^Qm8viEj;} z+5|T;9wI@P;&8mCRQ9vNF6OO)C?9NYhH;C6ittc;h}6 z!eO5+oo3~D_jzIEba$}4O8}Nu7g{q&-nEGq3a-Cq1$o16#IkHwl;^$RYHe1AcPA0D zh?^DTz3bD~c1H&aEcuSkNq;%)t+L>yt~b`fb>g%?dBYNCIXPp->-^AF=Bym=N*q{x z&WiAQT(>5@cTWVDs`t(*bOk#rm#v#&0Mn`1ro+)U0Fy~N84q9AtyjT>E1kJIfmbFO zWCH#S($RPHJ#<)yVMMpgYQzgEC=7EX0jZ7mzu?L@Lg&)%fWZ4IV=a?rRK66 zOfg8*k*D)9X3F9oaWBkgus$2w@-moG)!6_u7&5Ha;Lk6>{DfJ}ZOz?tLZ^aM%GQ^x zFFVO->nkuZA-FubVr^&pCY)1ex4z~iBdo7D$^KX*o^IgQ*wdEQ=HG;qDsYB!#FX=( zi|Hg6n9rQX=BK+f*O&R5N^#RSyY*cl9_SHGjqBg7@5fl*v%>eWIo9j32_NFzICV2> zZTmgD^<%xcrl#h9kFkDY{S>y)gLw&o3bYd8JMDpmV*T6+7GJg zNd{TJ7RYFgM<71C+Iu?d{mpHS?V05?Tio0RzWdfeVqhJoe&xEl#J&h8OMvgccUr%* z!U~7s8e}hf8QQHs3M4hqj$TU9hW{L6{mBZO1=z5vdYG6vRTnnB7;<(yoGDv>m59^& zlLXrcv;?R06u=zM;4G%lqu%{d1g;5Mg;uAV6GY))iv`Kzw7w@AL{-Yt0BIo1ev$^k1YWp} z$fTe9?9yPM&8BTp1b_v!R#c8@K*ybKQ3X}bUQ)8))x^8xiG)sbk zc3{BU+OUIH9GqA7gETLOBuO5KbPU5JDPJI~gHg4wPO3sD5S#BH6LsemQnE`$4gzk^ za*%A87Y6}P_t#)k*@9<>y1R%}DlKtJxzbXZ{2%UoB1&a2UIvRXXWFF-I0M+$D*~Cp z=@R`eBWee5`=nB;atZ^b6)H1dPZ#v@Kp4}4RR%6sGi9kpTIrPB609F^Yu(k;-Q1E{ z>1%0juuH4qYP1ovva|+#FL@=OEDU1pfce^SY|{VIPCv}Agf51v64aS?s*ZMFQ;gIo z!Aw(Ub1U0=7Wa=C&1s~bWgyDdN$Z_p-(u)Wf+MG0YJ>AkYfk8(W0zp!roExvn^x!R z3<;yq3G9J0KH^$saBOC`OH!xQ<&+jl-Lf!@d2OSEGkrNn0?5t0+5Q6BD?CoDTg@H&>OVGqL~Akj_%w=WFedrE^&8 z&Xt9ktg7=JWV$TOVFwqgKzpdz_M8x>pvuSiSel!bX;R&_QO)!WMS$h%9VpxkqK;K$d%477k&#CuQMC zmU~(jj$yfHW#L4Yd)`6jz#TU0>X&5U6sCT~L16h-;OyPh+`X2rJAl*ib^X$V;1Bei zd^1LRLwZXVPG=~%J~wd2;7Sm?^qy>e%lbBsSpSz`lRj`+zp}taPIeSiTkO(j&?~+c+*L`_3FHX zfwke=H|uw@QZG)ru`R@qZhY0pz^NJpx4V+QkiK+EpG*IMMt?T^PY2G*o0RMDCpM0;o+~7HCmwtd7owWLPSQbj3ZD9d~ z)>FUDr4MeDev*F1Vd@uIIETfx?yE6b(H!XB0z4Uet#U@36Jm+L0>UF9`7HK0!yV&j%m4)s;5%_*%eDfO|xmhg5*OZkmTwn5^a@6{e_p zNCntpfR=$&fIDlNhg6uZ<{=f}?waZ$6|*LRdue5m5woC5b_5wQ3o2ztkP)+>T6P2( zF$*eYN01S-plWsm88HhgXGf3`v!Hr*1Q{_42(Tl_h*>~^9U&LoPl+C3M#O!S*b#EU zO_A6Ua=|T)*b#EU9f{Zxa>1R4*b#EUy@c2ia>0#)*b#EUU4YmTa>3nx*b#EU4SVPj zW<=bWhaDjo+);-eAs5^^haDjo+zW>tAs5`*h8-an+@gjZAs5_#h8-an++2noAs5^y zh8-an-1UVXVMfFaT-XtE!QENd5puzeR@f18!3|Z|5pux|QP>f3!QD>S5puyTOV|-| z!Tm_s5puyzMc5H?!5u^B5oSc(_=6oG7u?l@9U&LonS&i67umm9U&Logn}I*7u;cj9$`krog~;1a=~pP*b#EUjUU(%WRlVv;18y; z8xKFby?|%$Y5IXcy{v1uC;kRjjCZEP>m+u4?o@Z_WJL>tRoHFc2;@`_{BM1K5_0## z{%S=C@Ia7H*PO=D z*BvFkjtabi!>2cR6Ia^PS_gY(GL5?!-tDwh!dhHBR+TQ+@TJ=Rnq6>#D1z-6I_X0% zZnf!TFZSlc9~gnvc(AJ{?tfa@Ot-o8w6(Q&)AvPC&;{3Jw)ZK+1XV&g?(SLC)&gAc zX$u}@sRrniR3Z{9{Id}UETC}Xt8BO*b>lm0n!@X8n!?Lzn!>ATn!<}|n!;;on!-zI zn!+n-n!*cdn!@X7n!?Lyn!>ASn!<}{n!;;nn!-zHn!+n+n!*ccn!@X6n!>s~BG`V0 zyh!qZUp^^-d>$^mqbaPFqbV$OgVc=+!Dw2nrX^}xs-{cSbg7yyQ`0gvEmzYDHC?Wz zm1~oJ~gdV(|R?9WnHu#u&9fsu%wHou%L^k zu$+sgu$YUcu#}6Y>(#VHOT4n!>Uy+8$VxMN?RkMN?RiMN?RgMN?ReMN?Rc zMbl%|^f)y=UQJI>(-YP7BsD!*O;1tNQ`Ph|H9cKT&rs7d)$}YiJzGuBQPXqP^gJ~^ zUrjGi(+kz~A~n5OO)pW?OVt#XRnhjqqAHrgk}8_Qf-0KAaw?j_Vk(-#QYxBWtEShf z>Gf)QgPPu`rZ=hS&1$++O>a@tTh(-zn(kK9J!-mFO>a}v+tu_AHN8_!?^4sd)$|@U zy;n`|Q`7s^^Z_-6Wl^*}uqcYAuq2A6upo-2upEk}uo#M_uoQ}>kE`huYWk#_KBcBl ztLZap`mCBhr>4)V=?iN5qME*>rZ21MD{A_xn!cu{udC@BYWk*{zNMybtLZyx`mUP3 zr>5^?D%?zB@GU1ixcvgH+6E&vzAebOoqR(`@%Z%JZy$WcxqmVrzQkQO^ik=(>SSqc`B6DqyVX`Xr$m(wNX#?i&S2Sr*Z)a zN%iaOn;+t-xdBr1qLG5H9Y#s@%TuAG@&cstqme2wPHKLC#;FA%o>~wfwJ;hf_yA0cj%$=uzq&7!R8xS|+GwQUld@4#>&p!j zq&lOKg74l&N%bqHLP>Q8NcBV`1)t!JlImAXg_7D7AhkIfDVPeNlG-N^$i=$e8zXF0 zIi(8QR8HInWSEp-)KUGq@zj$VD;yAT)Q)J5I?y<&e%<4Rk~%0r>fmUkV5){uPaW2u zlP{Fi;Q>-dL?d;iaZ>#n5kg5F6(DtVG*U2u#i*x_Gw-S61EfxfM(RZ4r1~`?gnH_v z0I8Fsk%E~#Mm^OpQYE?}VXSa!fYfQxNWsJ)qomI4PxmbiA$3-O)Y;KU!R!>Hq|WOP zsbwLg&JU2fAR4I)_c5um5KS`T(gLqLI4MIH{ZaL#i^wQ#%8sZiz+;rbO*iPlb}&6(F@c z8Y!5aWt7xy{qa;)h^KB3kh&upDVQE+lvKZBDwNb+0aABIBXy5)Qup=8Q!7F|b$@`= z1JOvqRJncXsZdf61xP&{jnpH?Nj=&hPgRF_>ahT+$D@&gX@mRJQ=z1u43K&%8mXs^ zlX|v4o~jA))N=t+&qpH#Qz-YTr$R}+7$EghG*U2|(xy&sKK@UwQGpOE|IsZdfM1W0`tjnqfR zJ@rX{JXIUwslNwEeHx7vOf%l6F%?Sc^8l$YqLKR2IH|AtYHe!V9NDA z^;9US?*gR$8I2Uo{x(YLhyHlV8{(-S1EhY6MhYgP8zt4R_pqU)ehHBJcQjJJ8Yk7S zAt8j6NHkKS5QP-Z!#C=wemxb9vZ52FJmH^l$9YC@;39&Uhzn)H zAjj_&2jHwGLy+RYaL7TT5&;P;hh1+JGLq$f;&2tR5T;SlMP2DbGWLpTe404d3MNzq zT5e}8r@9e9SfoCP5zz98m)R+o3ZF~ka zZ3n-K$fj*pt8j>0(0jCLTVWA^MQhS#sB8=T+e({spm>m4VK=eEe}u%RLxqY}krdlr z@fd!!3t7p4N8`nV#baqK$BT!E$I)uz#Y3@LL=+E$9QISZcsS%FnmYn=HcCpdi3bCr zL*U<`@b57AcR2hzf)YHQAE77niA6@}3Fr?kLQkNOr--Mj@v{oJAR8xZ^Iq{>KI~*x zD$ri1c)IFlr-&7xo1Nkrki+&m#WNviRb%NiT6v;XJRRBu1jIAo-8-fPSw_lftb^z)%Nus>Ysw~7xwLhR{##J^z{$*`&L z79zw(+>o*+xzuw+z;)Fez$X~s(*XFye+c-D_$&wTc?S3r06za80=_K1qK0nphI{-j z@$Q?Up^-O*5kUZR`2%aJ#8+vMsuTPL@ip;v^)w7Z57{N&YaBXwFHhGDz@NF}_U@a8 zdY2S)jo&tAT*5Ve*O+lB*Z6&7#!I-y9~d)U$~FGTnDH{M@h8TN%ecm$8Z$2E8h>ug zxPoi^r7`2>T;s2d8CPcqP~P7h}e& zxW>O4Gp^+sTLfdqtGUJ&W5!;tv1H764cFLi%-F{@jxlCj$2E>MW?auTjx%Q5z%@=V zW(<2Ryq0S`(3o*E*H|%TypC%;#F+7VuJJHq#w}dq z;l_+xxyGr+jN7=z`x`TE=NgYPX57Iw9%IaS1J`(*G2>3I@pxm#U0mY{#*DkU#+k;9 zd$`6~#*8;|jdP3{Z{iwHF=o7(Ydp=E@fNP}3}ePyxyCb%8E@kn&o*YfoohVTnDGHz zV~;W89bDslW5x$^jSGz#AH+3YV9fYnu5pnu<3qT{i;Wo{$~7)AW_%dec!@FN!@0)G zj2R!nH7+-1d?eR+xiRCTxW-k+jF09TR~s`vhHJdinDMb(<62|J$8n9l#*B~W8vBeH zpTIS)H)eby*Vu2&_$01zlQH9yxyH@Lj8EYjuQz6VD%ZHxnDJ>`<91`lr*n-r7&AVD zYushb_)M;Gk1^x3xW=1|8K2EH-eS!79Io*;W5(xljSnzpd>+^MKx4+|bBzx+W_$tH z_)ufU7jlgcH)ebh*Z4?d#usyqk2Yp}3D@{oW5$PmHL}SL6bB#|nW_$(L z_*7%YS8|O{H)ebl*Z53h##eKV&o*X!4cGWwW5(BVjn6k`d>z;LLSx3fFG;srE;eR- z1GnZ&jTzs_HNM=K@l9OgD~%c7%r(B+nDI`o@wLW`Z{ZqWZ_M~suJMh=jCXO3Z#HJU zn`?ZFG2=a4<6Xv#_i~N*7&E?&YkZqAi zagEOUc}&&>KIGdb zAYFXOcTGSh@*&?h0qN#LeqaJJi4Xaa3CLtVN|Q}~ddnt&X@hy2_G`;PWF{YSxCzKf ze8^N2kXd}l{Y^k-^C3r>fXv}Tjxhl_nGZS61mqMxRKrZ7$)|-GV z<3svQK$i0%n@m7f@FAN`KrZJ)t~UW$$%kw;0a?X|Y&QY9f)BaD1Y|WIvdaWy4Ii?{ z1msFShO1fUM&~9&Q4% zo)3AX3CIRM)K1mt=? z7KIDZaAUpVw7n^|Gz=yol1Y{>4@^TZ9U3|zZ zO+a?@A+I(8*~5pt)&%56KIHW#AUE+LZ!`h9nGboh3CJyc$XiT6ZskMnG6A`b54pz# z88e>7HNMA~ z@pP{7ea4JuaE%`@W}M44e#n^dOs?@G#*AlijUP2;JezC$xH027T;nH=8PDY!KW)I+ zlOpYV3zUE`VF`k4UdBzMt({o{)YA8C{*e z$NK6n@xk#ATuE%>AAnav0+bv`h6Z*rk0X|!$v`_13+&d{BlrAfBi{&hPjk2UWUp1i zzUElv2(0prUE&kJS(PvJS|#j!j#ciDRld1PeEzqo(xq76vc9cuUS3HYbSwCboz@T1 zcUwQ&Y5mNy+xq2h>o<2;zpGBN{?oP8HEWOchn?1c@0P^fl60?R5AQtoca@nZGpz53 zF8JF$^iRzQ)^}Vkmm)cOsZMf6R=3QR-$!-qFD6H+F1EMo;v%an>$5ucw~(V$7vEcT zuE^@j`>c-rmE+#3BZBoMEnI58CQfo7Ap?@rS?}zTQquQI3jEs-{*6fADUI4KjooFv zIz4^2ln#M3u3Fe5P1r4EB}v)0Nz*OlUMY8%^*Z|VPHFB=DQ~w_p!NFdv zRg*=#tT*yaa>Gp)2Tg9~o6HP1DGr+4!Z(=}Zc-97*+oqtkY6kwzgt?iS1KQGxl3BU zTdKa2#FS+0mTK?0SMtLDyQMlTRSMpKQVkOVrDAifij|dHbg#5%r_`)DWR5Eb9I{(# zy;o`nwRT3p;fCE(52EEtibU}?DUuG*rr3G`oF4@+N!lE2*PcMTa_x$p*0EKw>*{bQ zjZPIGfLmlU`S9BD5;~A1RW`t z;^LCZbLB+=Op=ZclDbPHO7U-H>pG=k&-%INz{>YD{d~S{60vX5F~YvMhZLy zXF`&aMC&<8Nz&DmtR(Au`m}qcGj>a7>snCg%Ie(<=TYPoC50kiprq)K2Pgx$Ehuyq z_YT;TBwZM6!F^f_z^%}NfyzKy&&A3>T|I-8LD5?Dd2TVst$0ZGO`!I^-%zmr0av;dMN0v{gwS`9XBfb z>*`2T(t>r+#*I?oiVD|@o0U8KaD$b=<0q(bX|l z85^vFav7(Lqvduh<8#&nd7Y?C?1f=n?||neNp}Rj^=QyrnMx-0!d*(H&I^;2Nr5`-x*l2N8qs?l z_faooDOnWo0VPWZn5|?70QL6-i~4qJj*>$GA5wC3fRmNUy#PjYYm)SE&>kI85HvgWrhwj zSIO-iv#>ABnaWIx`IItKhdE1`)jMWQUzoF%*%b2`Wws7;jxvW~LWJvsrP$TkJK%jG z9_r}5AoI{%WiGAxIc2V{=6T9Ie$9#Gx#9yVYOn8VuEGcliGX zM7Wt|hzpbjh-f3q0v+N)WuYF?27d}M6meMuBHT?f#3H4LBHEN99pWNoQ3#?l9I-3{ z5pD$;;$menMU<7rI>ch7I0O+M(?dCyhavzT1`z{|14V z;&d^v+%>b;F0&1xy|YAFLcxbAOLX8%m8A?EuQgdJ)i=E= zrHX=&SE_X2E0h&ndQ}{H)k-x*n4nba5NebfF1<`0y%l}aTdAz1;91H_9r!9`6_?%$ z4!v5XmLlXRwK{~=%4#mXDLQ)9E>B;v=T+bx0CdeX#j68fqpac5tLD)2DL#rYL-FYl z>XbSzy_q_CHGR{oSL!MFY^7cY-k>ya>D6%P`4vA!n5+182#rc3m!3yQZ>4K)U*2m{ znkaa_(xd}ltE}bHTgjo_LYf-h0pb>JOJ2bW$ghu#JS-Z??^E>kw> z5IU7kF1>Ocz14lkUYF8E!IvvtI`D3#n@evshhC4;LlLT!9v#9)Wh0LsTxB*Xn`rrJ zWs|P_W@WQhqivy)JA~wot&;$`&2qR%NRO7;JZQD?FGnp%-2x-C?$t}zj76~Pg9wVG9Tmb18!mpwzxyAWnl~@DmHR2uO z-ICiPT9PaSAU)G^mE~H=ZOyRGw$6j}H0$Not04Wt`l|$XQl-=+t%LL?=|1T}$!$xv zjk1k}be65ewiMFiZ5P-shV(7lm$t7Zw>{5ZZm)!Noqd~q2c%Eg-?qO8=|3HIhf{Jp z7C2ToRziA~<7&rskp3JK7vqxLF(ompV|Qok>pM=bYf2 z>5#tY{LuM{B%qIS0~R^273@k~?llTt-|bq^)r~;tq!N zp15b?o|oM5iSZ-jM?tzOzBRrB(%a%6i+>W*pAzJR1j(JSBB42<1=4d8u1&ZB((hdk zSFGfA&2TMpfnQx`xUO_v1L-TSPh6i#?!+mH^An38-IRD#;<1pvkoZC3$CBGUz&*yD z4r!PBF!zy=?s7lu23|?-r2UgdLt2sKPg)D<%}MtsJp}1D$<}1M`QKd^pxaF zldpjE{^aMAUy|G@NhxV5(7!3mQyNp6A>EnsK+40CoBavjSznU_e-*|M5k7s{rCj(6 z*^>KL_#@Zav4!d<+ok2dlHtUvmI{`%hv?YvPEKZo47LpMriRsDx7FZDkNB#x`^(22QyfC6GN!o8j zR8RJ|#0(9{(Z3;EJ>%aRH^R+he`Df$^7{fi)a~i}fW5v2`wBm-8y1p`ebf+rWAl)Z`M=aqePjQd zb%r%~C7JqPY_Pu3|LuD9@jQ}D|1Y;%-}3)O-C^CnnB@Ks9H4i?|Em57N6h*kIYRG@ z|0RbAhs^mOIz;c3|22;=$7~?;{>P5dJLmVnCCouxB=2|NAia}*FMPrrbv!Bfoj6MG ztltx-Fo)HW`M)EF>7Dj_<7J_5@86&=@QcX8-b;pqN`J@R)I7R?jy@G+>F=DY#`jlZ|3h$dsIuYIDOLCXFB zKGyuK#YM#*gtNvQi=$P4 z&^Bo8zgFeW>-L7N;$L(l%=C#CZKPw~-gO zZGYlE)%sP7-;O_dTebFL9RC&C%8TdDzd#>r{j9}x_g|#VTDvj6|4MD<#rej+P@il4 z&fg@0DTbrRol-S2)F-5V?m1t?IvKyU)T?t zFK~eTtNS7R9GPzW6}J6-i%bI6>I0 z`Gq(H7nvix2A@$3MxUm`{i!=4VnYuO|+92Pugo#1R)ymd33i%i?yD zvUn>gkFO-ldX7{lZXh*o7g_1Pk*rE8CACSPlhw(`5pT*8vL@vV zTs}>R^;(Sji9p#7l3XP=xoAKB-OSV zbdo57ZVTuf!e^k{3Oc)31G;UXbBYC^+YY)I@ovx^06JN`3UoU_7i$5(+71L=yoG@7 zAkf8Gt_9t}pmSMH2i+l{OR&xZ-Jzg!Thl>z80ZqMSAp(u&?Q?>0o@UxOOlYwk)Ru3 zMJ`8yE=5`gx}!liNU8wcF`yeLJqWsEK{r^s0d&WKPO*&z-SMCsYIB3`1keq!Ed||) zpxe)u3%Zj)H_Uc1=uQUR2-~rsI|X#ZZC``#RM3sIy#cz@K$mKV-H>gkgD%ZJ4|HdM zZh!j@(47go(e|~VI}3E9><|;Svq3l3{sidG0o@pf6LjZ-F5Uhu=*|P(I0r0ux1A5V z3`Zg8E&$zl$915)5OfnAXMpY^&`pSOf$n0^O>+DQx=TQp8RG-prJ&1>Sq!?%K$jJ> z6Lgn@ZgR|dpt}NeIZo`4D?v9Ec8E%~T?M)+P7mm=2HkY$c+gz~x@peSL3b_aa-9c) z?mE!Ta6*jQt_R&L=kuVu0dzBCXM^rW(9MY*1G<|)H#>F@=xzqxyx5CDw-a=8eUi?l#aZj9U-7+d;P= z?s?GN0lGzTcY*Fs&=tjx0^MDpD~?Y9-QA#D9Nz)Ddq7tjUjw>(L01z0BcM&;q)LKv$7a3A%?tSDtVK=pF%GWx`pY`y1$%yJA82 zDCkxsdh<_blkvB<6tbIna3%j|JWHpsP#l0o@Cr^Cf-^x)(v$koX+vUIJacI~{Z{gRaq? z47yi9=XW0ox>rHB*1Z9AuYs<~jlO>!bnD#c`!_(>oHQDAZ-TBR$pyN%K({_=E$H3` zU0YHa=-vTcYtloYdlz&aNjHM-J8qnkYIOnL4rNU*-qeOv3LU^#VATp zl%iOIVkwGcD9TWjqo_c!97QFHDikYFRHLXtu@c296tyT;qwu0wgTjZR4n;kR1{8i2 zjVPK>tVPj`VjYV0C|XdoqG&_Wj-ms_1{9qrx=?hZ=s~d&#U>P+QEWjmPn=1}R?KZf zu^q($D0ZMY5XC_#4n}bZibGKxhT?D(N1!+o#Zf4ZMsW;^V^JK3;$#%Zqc{P@i6~A& zaSDo4QJjY2bQEWxI1|NLD9%Q44vKS8oQL9k6c?bl5XD6(E=F+)ic3*khT?J*SD?5O z#Z@S-MsW>_Yf)T>;(8P}ptupmO(as6c3?z7{wzf{)XaF6px{J9K{nTo<#8!il^PhrWb|FQa$`#j7Y@L-9I_H&DEZ;w=K5pm-1wCyJRwbciv8{*@g1H!8%J#aD><8vGF-Ydnes z6fP8rDBLKLP$Z*BK`{WuKoo;eC@2P_7=mIbieV`BLopo12o$L(MxxjsMH-4xC`O|g zgJLX-aVXMJj7O1yVgibZC^At@LXm|c8$}L^$tb3vn2KT=is>k3pvXlr6U8hPvr)`J zF&D)=6dn|LDDqJhpeRH!AH@O`3sDrIScGCRieeNcC`wT*K~aHXDT-w%%21S}SdO9+ zMHPw_D5_D^pje4w6^dFEt5JAStU=*JQHP=)MFR>yibfRpH{Y%JSK6)kch#-yP~hJ# zx8h$Lx8mOix3-~ZN6~>|1By-*T`0Oy^q|;?ViStZD7K*3ieejz?I;dFu>-|{C=Nn# zFp5J^9E##F6o;cY0tNofP%HkGPb>aiPU|r!@NZvQ@vl`{@$XAoPe5@Zijz>BjN%j& zr=mCw#px){KyfCDvrwFk;v5v`qBsx5`6wp&v z@MmP`pR^+XM?N6JbQCjD%t7HnQGlWdMJbAM6qP7eq8Nl?HHvx^jVPK?w4vxiu@S`< z6x&f8h+-IuLr@%v;usVsqBsS`=_t-YaUqIJP+X2;B#LWL+=${<6njwIiQ*m<52APk z#SwQP4(zgt^a9e2L;)6yKvrNAWX?UqQh083+jvGf`xtn1Z_f z#NkA&!y)Za@i77aT8$t+BR(sL@JD0>@f7h?B3>k3OvK}HtUC|I3M{-Da~m+XQQSnt mCUGqhuMn>!B3@3!`^5VN{98MMcng+G6y3y*kC_&@(fNOSq}loa diff --git a/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class index 79af3d91a45b0ae833fa8b50a1afb485b6b5ab3e..5b98d6dfc65ffbf1d3a020786fc6d4a27c2b8786 100644 GIT binary patch literal 13711 zcma)@34B~feaHWQU8`M>ELoOs$44w*vSZnnZ~4?=Tejp&vgCWc+Fej>Jni5JOrIe=qy&1hdX8-G5e?FT3 ze`bE)nR)Z(z5T!U#xK70%yUGvjvr#0866#K#GgMD3=aE)$!L9jAQq3+ukjEwO%4u5 zM#Isj#+I>IC>r)qDN}8xdevw!5DhTZ*v)vTf@!Ubw_i6BjRYgf*7)#9BpNjmy; zM=S}h7831d49abKAQ2yiswdtT2_*+TG!65WUB;w>(IJ2Q+HfKf358ML=?=o_w;A=$ zWCqvzG4;_Qj4@T;8jS~sG6abUfukQtgu@P`5!1pN|AauFozY}G0Y$3N>rf*@Nq>l` zF4e_YG7@d<4MZa$^s`(j-r5<71*2o3uwEbzpKRU^ZK}E93_pRR3xq-mePM3qIn?=_2K6A&dpZMMI^)Q~u~1r;$~dxA2C|ybWdGQJKM{WWwNdC} z7jVd?NOzH05DFv%Mp0!H;b73@YfTCiO+QWqr z*A>%7Be8Q?g{G_A@`>I?!&o2Alo?%BDsSp*QhBG|KQQ2&3m0)Dx~MKy) z>0FhQ8x9ZqlYw*2aHpijnvceF%LXI3KUz97U2?h8Ms>!R%n8aYab^_#q%{MviPM-} zW^|dy2W%SFRL){S`Ao6goOvAouzxr(I%Jj%4!q7;h~{bun)`yj7OVC8bbbmGAzVHX zS|#WxJ;C_7#Cc2IB~x>Ra@_37oTY%%*NORVbmkmwquAqgfdOFxQshLDb8p2DD*BB( zzZNyi(syP3d!cA1&GJ$Sy&bJ5@zcySyA!PV1CGX~WHexYP!!FkIZg}LDw=D&>l9sO zymu&?XS{bRns2;!;Q%vQ$@&M-mhqd@jjqvrSTqAbdB-;NYN_eJ*=qFcz>*Dwedcz zXpQkcs%Wk8KBlP2cz>d3o$(%1wBC51QnbN%f2wGs@jjzylkq;MXtVLYplFNnzNo0# zcz>p7tMM)=+Gf0`6m2)&pDWs7yk`~dG~SmL?K0k16tx)dc}1Mf;5RH;VQf?{5`#8t?BEbs6vP6&*0%KPWnAyzeVI zWW0Y=bl7kv8nDPEy(Q)Iwq3DG1 z{zK78A#`_;dXN~v2iUP*_KSll8V^$P2Ua6vx@yZp2 zjptD`U_7s)bH#rtG#)7F9h}Rv1AyxrulAonJ3`(@Kv}0bscOw z+Sy*uv@jL)<GKn4&qAvj>-|&@wc^>wV~W1AZ0Ukvjc(cvHsM^{p3U-;z0Y zQ>e$a)yvJi%^A;_58e*^X1I-Mm4|nMbc=RJBO@c>5ZY@+xRDx=TdZ4b_i`KW#u{^> z^nDxLUaX`PmrRBz2(`WGwRFxp+q zM|^0v+lO&svTAe3ON#(_v8k&HI#M?UlrLK9)26bfVS4wE`Li$Pq&`(4#iO(_K}C3h_18ysK2{K*D4+{ z<%kHXHPg1MXP3XPqpfGJ;!#tFOLnrZYj16JtFARw=UuGjcu%`qcfr)X19k3sIyjaz z*WWvxu*E9XGyN_v-@rHekl_5*xr-h{eJQ{RSe8Y;`*xeB(}(X{30n>yD2lg5lkGdx7e1=dDLa zEQ;x63XYMp>fTZCKMBG}Rvp_aA?w6sNhgjX*2z%QYz z!68b@$|F|F$|F3|9D*Zl5gKWWz(`w!McN`L(iS0+wg`x{ML47_f+1}Y3TcZ#NLz$K z+9C+j79o(f2!OOj_@ga?A8ir(Xp6u{TZBE@BIwZ;A&<5Qc(g^hqb-6RZ4v5di$F(P zggM$G$k7%dj7i|%`Xp6u_TZApzB52VTA&a&MShPjBqAh|IZ4s(y zi$FzNgelr0NYNG{ina()v_*KLErJtm5t?X==tEmX8rmYF&=&Co>|sLaLL*p7??tW= zIfgB7c#;xNz$xK-kyhwJ#qWc!jDSWdi5wm{XiR|5+i;Z#&lTYdHauB`uM*+wZMa&5 z=ZWwQHe4gZ^F{bZ8=fM<3+N_Ht3BJd*znX`c;aSoGiH5}*vM^mBXw77q+V>~4!e^yX{71=*l@E5w~O#M zY#o zcZ%?LZMap0yF~bVHryt{2SoT)8*UfjgChI`8{RF#heY^?HoQlK4~y_?HoRAakBIP( zY`8;&yG8iNHoQ-SdqnuBHoRYikBacmZMai}dqwycHryq`eIoq24IdEUVH~tpAq5T+wf5l_KWZz zY`9m1&x-I{Hryw|0SRWZ;bS7)FTy1@d|ZTsB3x#}Cqy_T!WA}rQiQ`ITxr9nM0h}i zeKvergwKhvvf(o#JSf7GZP+iu5w6aC?B^*qd{%^qxK@DcY&amo!#quZXV`GR2*<@^ zo@K*93C3rrIp)oc8l-Z(l_lS=8ZPqHrBx&Z@kQ#FHyo&Y#-;H z85J#;=zPA43;8Op&sT9nzKR=BvF9RpKFbG=KgEZ~xyK#D(aYR-iEb%Se_Mh2J50wX zF0#LDocqUlV4Ndv7dZZ*OLTW($vuT7_ZF7iS6Fg?VaWr9B@Y#re6X zEvZ?M=L|fW)~p!kcplZq)2h5JeIl*7LQ9`4O7-cYRF9`s`4-q`(-I6Sk>~I}pQReh zqk1AsbzL6S#Vl36ww^3XHJ+uqK2MKN7o~azs+%sdb2VZna>sSEz97F;l9p@W`B`+41yp)!RlWFd5-!4k^a#5;Ric)6<#o}7Nz<@mMV8#X2JZhDAj9Os(cIPM^F`53O`Ouu5c26T9oSNX;t1E z`qAvl4@#Iffc%&&{k{3flz1m&JJvzp#4O7%Oa3a_T$7p3|` zQL49!Q8A@e!d&Tf$t7t`?uj#!vci&zv?Om&m1#}Bo_vKRs<32o!?Sz~qP|-%@f4`{ zJj=HucZVCDAppGn64ya=7mDw`#4{ke^5w*{zUY1u=6%@KM>L6i_#nK9s<44^GPd$n z8NT3z}wttowz)|Snsrm_}V zS2jrN%kHHOWlz$^vR7$SInn0wCA6iylbXvDw6**}+E)HFZ7=^m?WkbdS+SIMRdi8H z#VEB_JWOpBU!nGjpU`g4B--OyLwh}a)Zw{-_IVzq{hk-7)AKrYRo2jf$|gEk*-M8i zFVNx257Uv#=c&8$XVl}ZqNCm>>h&I{KJSfm%=;J}_kNR3cweWJzG^zUOMBu zKz`pNbk_GN3izI*6|dkXAS*gDwhurpxsXo7!J_OD{wRh@| zu#Ang@bFO-SBsP2BcB#WbDO+ zhj$;0{h3Jc@`kaa6A9h{F?Mt!!RIZ;&RHb*+{D;-i3E?}*fr%O_~6IbI)els;267Z zk>Gp1-fO_vrVI~XBN^K;k>Dwov9S~hUXwGnE~6H2+ZpQ<30~SW;fay4-S{T`*&JKc z91q_@nea80v8@vczUVWydcwmKB4fKN61Ah%7m>Oh|#2UX;?( z(hDf17rk3bAtk1TmeLbSZ<^lpzAt(gN?YiEXS`Zz{1hqQ=Xq~u{=b>o+1b(C-O1z6 zJ@_yYt>Bk1O^FW;H{vfC35N%Q;dH#dK9oo%>X-V6nZ}1t#)hKt<&Dk5iAX%^qf(~Y zZ1v)ya3~&Ps!B{-HYcL&4CWh*B4_o4)p&=htGR?R+ z=c54A1OaB6=#qn>@Tt&=Xnk)-B361RH z#bD&-F)3#x!pnjfxA-YE(CN0&5>JLtHF<*6sKC+pr=n2@(uk>HX>e4ahju8POhJ*! z^gGnxsdO;HRF`RDI30^O?hD0Z5wtT;$X?$WON8UYk*L194xet?2yLdifh<3TvkOHc zsbDN&CIZ?TpQ+RtBapcY;JF#7qspygFcx;Yn{x~x=NNit)&x_bGr|5dkzhO-w6jje zoGdd)$$^1b+Bpn3kZuSqcn7H7J8)#tM8uP24jftLz-ezN-8bAHOhr#$6^A}?7N=#h zbQ9Sbkx)8h6jeqM4TsHftvSY7XbrlJW~@w{9y04P%D%JdXf)xRwUlkDsMa@S%B>XL z&CW3cR;x8y;`GN1nU!gjRnEZSB()ZG>DlHUhm)z4GrE%;Q6xFs7mo&=`l6{+QWs1d ziX~3uWSXYN=8v{E6vZ5HhRo=yGRLO8CUfkR2mAY-YvCe}L}%4yvfPssvNP)J(Lt>k z*z6q0oXaw1yvAl4WzKj(Bo7S=yi+rcddH?BWo~Ib7?7*TK*-!>XQBzFan7N0!|0;2>Dph%ABcy%h!qVZgj>RbtBv7G=UCb05argma~517Z2?wBTs>G~alySG2%*Z&b9M`6fHO2yA`c4-g_0TG~W9atuo#R6|FYjhZU_c-bWOzHQq-R ztux+zikgh~F-7Z*cV5v3<2|5gqwzkWXp`|CQncB4pHj5Nc%N3(Y`o7XYBApD6tx=f z3yRu|_o$+F<9$idR^xqH(Kh3KRZ)lWzOHDy@xG~Ohw;9psMC1gR@7y@?<(49yzeX8 zWxO9M+HJfaDcWPapD5aEyq_uRHr_83^%(D0ih7Or8%6t!_d7-VjrRvd2aNY8MF)-d zXGMpM_g6)SjrVs&M~wGRMMsVIw4!6i`?sQ?@&2Rexbgm{C}h0nv3g)~x)DvzeXOXD z!kDC7g0%pm?3-CpgrZJaIReJ43f$XSQ9qq<$}1I})J-u$%Zl}}L@d1#D_O&sd$(!c zJ_zcQ!|5ninwf6ImnXpWF=9+#*RIyy&bE4{%Q7KV9(|MIOSsn0H9P^Wbf;6XFmC=; zS@&fgPzZ)m*F>HaKwXoWrZ;4o&XwYP6f2$@pfa9@*vCDgj>O#W@f8#cAZM;~dYJ}V5ic}lj_jUh3bYJW z@Vo$eF&|5~+il&Tj~Aj7ZdQ9dHaHlKplgfq>z}E^&DNb;;^#(QiaFv!-Wm&TIc7qJ z%PP_6N|zV*vfa z`PGi?myfqFP0n=7eQwduGrD6fej3lMSp(rpeB7Qr5N@`Hw)uH0cQDOq=Ddz8-_hE$P4O{P7R0pHWo<1jZc)e-^|@H{!Jam^EMm$K5M-V)cMYe_{d2;J zBHZqd=IW7-`S~PY89;*TTjx%m?3K;O0|8DrlV)7jn&#ud0H1bpt6gYxHS_UMfYVM% z?bu}tL)0BRkqD)SQ|M<|i;iEav7$zI4iEH2Q#~O>{0^DK1O2{GD&`!IX4Z5?(NY%UCY_+qNb!)>og7YuqwRRwM{&3FmY^dMM{^yC zeuSUpItM@BB~*r25nWX41Wxd3osdXd1Vq{*9MTrSkhX|ni1`fs61o(6L^3(Yh-Y$+ zXVGPvLja>K!WV53yl9KiMOy?e+9GVx7D0=)2wAj6z@ja}6>Sl$Xp2xqTLdcFB23X1 zL5j8rQM5&XqAkJ`Z4sPki_k<{1SZ-dEYTK0iM9wyv_(LoEy59P5sYYyP()hh8sk!i zHoR1XSBvo7HoQ!P*NE^vHoRPf*NX7HHoQWF*NN~s8(t~GO(J~14X+a6^&&iC!>dJj zg9v}zhS!MjMiG9{hS!SlCK3Lm4X+d7%_97;4L6DK77>2LhS!U5vj~6IhBt_CiwJ+- zhBu0Es|bJ5hBt|Dn+QKyi0_8MfkTiyjz6#iSX}jc#jD0 z7vVqJ@Lmx@SNidTQ9}(d`#w!>5T>_Wd@KF(taG3yC z*zhqC?q_GOll2yZ{WcsF;gjMykF(+95{&OIRp$N4xc^Z(UT0F^_4Chh-3U*4fTzQo zb&f9|;Rcg6!V4bZMd#^MzJg@Fg46j5hVm5*qhQ%NUi}cSJ@^2xAK^`IA2vV2&FATC zf%0n#lwW5WZac@@%SO0sg!hbakJ|)JbKiNozOdw_g(WX9EV-euS6C&}BHUlyVHwWq-VjlF7SHvP6J)$gGyJe&Sllo=c%# z_7I=OQOb?05W1Z{&lM1*kv)8#{SaOJcH(jOxgS4yBQ}r`jiUfQea@jOY=#?;ZDG}v zpc;B5zQeztCeWi)N57#-9H7a(h^Fvvn#w~ojc=vt{0X|0pQIW5Jk2bbO|whdX--L; z>Pv2+xg{giQ1T?rD=nb~rI*vf(jBy@^fWCly^R`6KSfJQe?d#j{IsmBk(QVB(u%Tc zXl2>EXjR#xw7Tq1w5EIltu0?g>&g#OQ~9;DzWgrQQ2rQgEPskNRn*buigmQ5;y5)| z+(0cAcT;P{6Vz7m47K}a&{p3T+U7e!9lo1syYD{Q;k!VczNe|HavJTd#5q^?(eBC{ zX;0-nw72pCbyq%1J^oqL>u;xh{wry}|7JRXXN80Q3v|f;G#w61qa%S0bTqJyjs^NC z7#N`Afm>*tegK$cg8b2s#t8R$JYR)}k83cp<;>%2YGAI#!E9`c@MEwQ((xGJOJFC! z*1_UA0sk)H>yVa_44QrgwI%vJ+984WhcRRbH=t%Jbd~;ej6Hachwp)m4W~%(_=`Qa4u+>_#;!gjc!|o` zScwF$*%+HLk>Hgs2FgkBW|*-R6A4~zGd6D`!KWkq_Z26>ryRysQ6%^}!q`%Z1Rq(L g@M(&%2NDThjxcTxBzWJz*aL|KuPvCb$919q13q0v{{R30 diff --git a/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class b/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class index 4eee6d2a576d53004ddb9e00bcbef945b9d70cc8..e05fbb8cfcf9bd5c0f35803ff6e4155d20603594 100644 GIT binary patch literal 436531 zcmcd!34C2e)xXPo$y?^7NxGM^q@){NlDxD{o0gU&ZPT=AOPh3WNnewf^wF23eM!1t zDTs)QsEB@iE{KY#fT*krsED}Zf(zh^i2E)dvWTL7=ggTq_s-4q_WG#uqnUH&&YAh& zng5-cd+xlMNB;T1gN9)&D1X8<%JV}bHSn*ir@Onqt9v+KYM7>RX7~QwP&Qv*lN%V$ z76vl;n)Ym8ru*3X!Jd(Pw$!jqW3rQEbN0|ku8?gSIyTU~IXjdcE;XX2QJT-~tAT%| zMyY93dJC98)Sby^OyjI}F4PpVz4>hSa7_nBcjWp8GQ%T<>{8Q+?mIS|9Wp0BU1Fy8 z^q5w<$DF(}lR3wXTG6OEt)(eq#!K5Onwp{&Rq-8`xyejK=VAO95nm4ROKct=wWit4 zdqsRxMO8&S#p6>^h~MXlpY4h7@x-@z;(I;uIZyn4Pkg-0&HrLg{A^Er-V@*Ei68L9 z=RENTJ@N4|ZvF*N{A^GBuqVFF6MxtfpYy~Y^~A@^-TW`{#LxD`ANRz!dE!ra;&Yz( zhc0(7OpcpQ0WHmzCw{gk-f3WHu*q$n_!3Y0oF_i!iH{Q@pn+SO6Q20lo_OYoZ}Y^L zdE#@P_;OEtoQTEquk^&v_Qa3##J73kCwSs>p7=?g_;|#vpDCXB*`D~Rp7=IT{8^s( zoG1PqPkcP;=0D97Kid;u?TK&m#Lw`==REPVJn``oH~;fI@v}Ygb3O5Gp7{Bm_?#!c z))OBub@NYq;%9r}7kJ{^Jn@S>@i|ZYVo!WL=H}n%iJvXvr<;+6;R7emZRp?8u(x${ z&&+9Ny;WK_b^D-cj*FX1w$+>+w>PaFuBzI#cuaJ5e%rLBMagL0+=)%r*+X5+tM|`p zF$e84CzsCO)!4gZ!`doy&k}1)6Km+-RkwfLdGXAA+ZxU#>NZ_Gwf^vq37vSoBD!PQ z_GwK^644BsRTVw2w|i^*%$l85!$XZzXU^H#*qdvvsH)vtY8^Q*-c;LU^>-xNtD5#M zw@d5VXU;Pt=XW%=w{9#?+IFd#uBx)kGoq6xR+TK?w`<1mk^W7K2Xhlvt{JnoVPEUo zG47YB)(2R5} zkJ#PjGHdSi$rYPcFR@ecIs40|H1t-?j>oGr@fK@rw4$!nOtq$WAIVQ$)|*}1-a2n5 z+|L0s-E>jY)Ul~}TlJYRj4VIiT4tA6%cH4jldD=x`?7eGwY%}~in4LTb9dMF?3h1y zT2)m=Md=PUd3uXwtzI>5Q>LQfVC(#qRnw}*TGccFonzN!8fq@8?a5v`_Go@`LmqJC zrVAX0)|llxHq1TRKBcjD-H9`1_H9r1Z=1HMqGDZBWi&E9UX>}gCL?dQr_(Fe9$%E& zy(m6Dx@Bx#bf1~9YC-zNh+zaXfcwx!2lqs4 zEaINDB%eER0P!r>;b}iJnzS!VOm3-b!hw5y)5^MR+uGw3Htep=wwC3OaJ;29wo41N z%aYm9E^}rKwT^*yVI`tn>||!zxpv_Z@YunD#=x(rqmCufaieiS=h5;5wve+rqjMn_QH5;YD(kYj>h99HM`P#vse$E zq8{Kus^ay~xNq=8{gE*{Yx}!SjEzD5o8A1)W^A8q$+DyEJC|iwOlv>F>t$n7)(iC~ zXB?ToyW!#-*>832cQlplY#dopId@WOckKZ1>)0H@uZm43+fg}n&ETZ^!!7CK{Js~s z_g%<vFY-eot){?3T=R?UKmLxx8L^`(5Ogw~6zqrLubF;G{<2ALFyllHNU) z$0zXms>FJ#bL(mL?7p&{wfovC#~qzJ7216allRxuQa{*sBFXC!>#uI7r~cMg&zg7E zg1y-ZZ2y?4^?O?y+p+%kyLb-OjX5iQc)hR}*DoG@{oIMEi|QQvyn8(-?B_s#UAu2j zFXC=+akougvvJ4Lz7>MBtA8|=Ru((m1|oOpEH<8g?!}a!C*2wA=Qi}y4T=h=m^{tJRn|9Qs<^T4BLhhI z_?`;4;p4;W%EW!)gS)4;Z$08z>Eftz^Itsx4Yp#+g3@NYx!kRvs&viH^j_YO+o1zP zfjQQN(}_t2vW&^BF}9AgbLw$;G23 z26QYHlbrz+Iu;!X0*VL&tw^MSddi3J0XaWB7y7eFW=gw|id|_RfZVD=PVeeAIc60pGD1F8?NY@U7v` z5Aq%!cx;cEr%cyy5YjF2q$}^+d>kf*#3T9KZ1C`Bef7}|d+LdgSf9|bG-sx|_r1zf zpOY61Is;a;&#kwrRgEKEYvK`YctEOas+lezK!9=lt z-3i_?!$j>k4LI>j-E?N^Wa-57Q|01rGNBzC_Uh7Txq^JgifL?r8g&74W=x2DI(zgV5ev=bH&W5rlGZ09w>PwQ*?0 zqR3dNKYXrc&~r6*QkAO5rkkZ+yRGw^RK2VXCPp~%rhI4An58(8b@Gc%Zz}QV2PV=4 zOxy>SAI19f-PbB!Z!{ni{uyK1%nDCA0za$ZJX>4MCnT-QVVv9EP-UO*4*WFH7(2;? z=V;Z|1xIjVNc}@&l?~6IGa+#%2<>~!v3;AzZQHoBwr{X<+{E&W>U;OVKs>!EuC^15 zD}8N=DjO!U*e+t+n=!b3N_|uGkO>pmffZ}zKt3rGfeA6MXX-Doou=~%Z9`V{r@Z~< z?l#Bq@f#*+G>%dGK|NQvxafY@HL{BCGnaNBm~y(6XFm_0ETUXT`83wgQq2M;8Aw!yd$6y6PkOJqrgn z`sNRtW*na}IB7wjeJIn~zr7J&Fn#vUxNRlsB7naSCi=ed$QidMnlZTV4Vw7}_HQTZ z`%-OC50%Fk;dn$7WSp1*zMbZHZ9L$f$#KK@MiX&-LE!g0TOVI#x9yl%Hno9|m-!uw zhq5R5yu_M0uf4TwH?KG18O{%|z5Ma~e$8>)X7scU@OnJs$c8f;_hLN(Ung^%XDr^o zV**Y1*1_|+VGn&-a|t z@{1t9^}hVTQN#NacyUq6!T3py)=V+(uIjDcj`z22Up4Q4=TQH96rQ(<<$LOe`%avD z1RNdxT_tt&qHq!P(>u;T)UshJ%+qTJR-})YPH#%`el~wr6gHFWJ|( z;rQx)=+8FIU>SXWEfb;tnZ(--+G9ncJyx@$ad<`L@vQ^+!n8VRMrhur;Mm1q@Mc3F zsVQ%J1HJ%Fm}90iIN`pbA2=6yXo-B07w48_n)r*>MdP+Z`)`JR*3qFJ@r5ZlAw%QW zVR$d1{&0MSRi(_2mVko?#yRi2>V!QD=5fG_$$}R&?q+b_g?ui77f$XN^2Gx_=zkAp zCy4i!=5gEm`8EmI%8(r=IjzgY#^&!Y_E7Z5cTYeviV^@i(;DCN+bULUve>$k= ztz~i3NIPGXRvkT<&voa9TL$|N=JMH%2ZwWm14GrS+LF%<4V4-x_=ps2S89wgjjTX>vAVY~*bk+=@(}XXKM19 zfj;<(cX;qXwq|{Hc>iF}n#@2?K08$1J~((_uSIx{dh zP+eDQ%!jrc$n@t1`dS9z>*Uel>i$f3VX(T+G-}(O>XP3rDP_$DuK~1Hw$PjD#*d6v zZV*~a+BJ`0NBx$*q71Muyaf6pBp*_Y3DWxKn(vW3DR+<#YZuW6hC_CwG(%BB6e zpsV>oadj-(X1lvGJw1gkX`{r-w3P>P`8+S31I2i_0W}h{KrU$zQF`qqveMoMFMVJr z=q~Z<7+yd4LA}re)!*ZdIZ~jQvZ3L@Lbgk^5Y)38fj6V10HPxeM@K@+@tk5u3Xt1D z=o!0uy865Fz-wLn{+uqpaFX=c45l$rlRwnbCjAwtB)F0kHgLC~l{qQon1{US8ncF0|;ELeIt~^uWjDkw4-a~stY^U zK;>Xe>l#Sh)Ul?k4!SNUqN8mmR9*~K5|hoH&EAY1jWR~fn{f(LH+MF*cCFsnz7n8I zopKw8I$lb5wQX3rYC8uYlJST-j-Q(e9Sd^xwC{SlOTAw2yI#+)$FNvJP}aPqt$k%z z)0$==N+;ZFLPTtDTL9dZO4o*_&bF;^9ilqAF5KLSmAATSOM55u!klYOEiJ1$I(XgG zYT}?)N2N<^;_5YVfMk^ILQUKvOpPyxe+xDP>gGOcE88}&YJn#r)z;F~vSw9R8Y>B~l?&Zd zXJy_f?4*}ZwdAdBA5M!yvocwsbik@$rFy?Voz22g| zO^^^tq1oIUbegPETvQuPL{h$Zk4(h0Z|LgS=~fGGMF0vlHAbYsD7ZarR}r&uLp$89 zb6*`T8&-C;L;br6s6%s*baXa#LQh4v?pR?@EbiQ?HjHv_+-W$B#foqm1)yBm)Uu98 zsN9EJaw6~!p?F7ibA=@y7|EXxxE+w4dLxbQkl#F9BRj!zp4!85%8KfOj3wiFQo(qV zIpY&;tG58Z1)m*7@Yzv0pDWrn0H1yRxIYg29e*75JN~$OO&s<+{&d*y_~WqO@yB65 z?u~Q%@zJr^kB^SUetdK+;MBwdQcW!O^rd^_s99`6Vv(Y6XX2# ziE;k=#5jL_Vw}G|F%I#yZ){rG)w!{2OUJ6N3){DJ0G87BjxA~E%DZ7q+!@rCWBiw1bjD zrMU^v;?xf2WnAaE@k`JZcWv9ac_s83+|GG4cslAHc)HGwolWi7aYM^t7E*U=p=|Ri znDBMN6ECJoafB_Np`?(hDiT7Yn&@m>ziM+=`>IyRe-#WvmAK}Yyb;wDKpoGU<(XS^ z56{xso)~ADFr|mdtbG(;`Nz%jCK8kMax(#r8nL{1WMDYgpRMT_E{t@;%HmAEC6mv0 zfUl<1WH1Wu8yp-S8ZKlGn#Q$W1OYx1?@It=3opCg7{##j*uiY@MK63|>Hu8I%rbLK z!c3XvV@k{l@Ihq`9?TyzjcT~*Q{5dHk2S|t8iqOEG$OsZfgWbUrwz<)61>0q%yI4Y z=8=I;sHy5w6FgC+>o>04(!Q!1)`I(s@}`ASrkZEQ%`?oipe>}QK)luBYgo(#2UFR> zOd->s1-A=U$PjO~U7p6J=4R$J^IQZ7rH*mmyvW;U&Va`1%MMffo5ls+#uBYCI!kZu z`WVE_S?277Inz83#?S1)5G*=x>h8`C4duYCVH%6P`TI-*bDe9>OF(^qo5}`1hH12V zGol;y-1)zgbFEp2wFCVigSy;5*wd2f-k*hsV4A;)MsXdUmr}BU|xzq})IkIKa9JF>|$=0i+NafHXP-7&pqC47dvea)9}c7Xl;^Ie;`e2N-3% zsX-N~K^mPJ#vgBLP(^BxMyFn^rUq4{25EF^Xew{spo-KWjm37QN6YDvVOR!dlh?IK zkMnM*Q!o7>T})65L`_D(IA2D@g^VvFNath(q9!BYh|-!s2XHtlU?7Q;2Z)+HfF^2# zLM8`%nLrvR6A(3-Ag#Pr0J>uUqQ(H2p%{Sf7=WlT0CFe>pgRU2Y7BrMiUH`30f-s{ zpon4sx?=#M#sFBN7=Z2=XbF@CHl)y-%YA(4wu_lpsCi%#kq3&S3rzzN+&s`B7&Bkz z%fqD&rq<*EL>Pw-St4`yV1IvZxGOVoEM{Kg%gSX>TFag^vWK$aH^nn~t1lCmJ(ygB z$H$(u%N`Up20r$rUG|`;G4Qb`?Xm|&je(CnX_q}HY7BhrNxSSpQDXoUVIKc3Jar%R z)tk$ww3bix$S2QJhq@pSilfV{NAh?LT&Cb9jR7>e%Qoty?(pT|QUt%CX!3wIR|<9M zP_Ly!y^jv4JGZ5!Lp{<#K3CKyf67;=%MeVhLFr>iy~_|3H3mM0)VmBpQDXo!fG<bc}g)enD@lYpZcs_k}c4ZYypzY z^X#DR)T5SB3w(@1-7(NIYJrbYs5=H)MlAq_D3Dz8;3Z8B_{g)sB@c?D4Hg1J#?UN} zckjf7=hR{`k-&+fCKqIsCl_M=OTJuOMlIAbY9TVplM6lP|McbJG78gcumCl@x$r*C zf?w}?D{`SrB@{Ke07V$i!#N)Kjd0A0`Lb~Nv{1{Zg+Q7(XXAlk7{slV&)%gMrqn?2 z(QBbgFBCNfK6)*5>4l=kz(=ozF1=9H82IS5(4`lOqYV}TUA*;a z+|@IZ$z!!u`Eqbsv`EXMMZgNBNS82pNmC>cKrwK+u}I5}MaT{K3fVPy7*+w~da^NV zt}hFh8<<#ACbYOxrb~uJS~4v1kpXq5BDG{#j)9g8i=f3lHQyhz8hsdDc3@h~ zP5Icd$YlqLqYWB>0&(!l40OR4T&TrnwLrWqAfu?U2LgC&vBBjAiW&nSHyT`Spr|qM zaihWI28tR3Xl@0P%Y+6k6B>L>K;5}%EfX4`xhr|E#5-(Ui^4l?Ypbthmmz4PfeJJj zoe{MAE?-72a~ibFX#h4T_v{h{FKJ5l5v9Q;3W}o*7DMxUOF&&z5{eoFXnxAo4M3vCAYBH3mK=Eq0lNqQ=0-q{S|iP}CRz zEf@|^-Dy~i1RYw;I_|qGmqUxS99j&7P^!V@3SQEb>Ep^`mn$f0418Q!>~aOg(FRL= zTtQuM1x1YkG`#m7mbjciQDXoNtQfd$zeL;iOMGpQx&uku_Dg(ikGf-^ZTltAz|@p= zPE)?q2hwE&Cf3{)G;j*p;4FrNvG+z_9xff0Xz8#7+B|`IaQ`7>@Bv>2EfUL6t(x^5NA#Fg`*#K`;8;FoLAnR;^DEgN(xYy75^Mk}PKah3# z0clhW5Fu?q*4Y40R2ztpHX!S4fD)<=L`WNubvD2X)dnJ@jh-@fe#&5kV}r7$oI0R^ zQVvE)8$Czr{2ajuX`|;zou4BZA#L;=sq=FLBczRiu|lfERUj;O9Y|hX*L@ zZ2UZ^^Y8#=osFLdbsip|th4d+pw7bslr=W!$W`h9Bb<6eS!d(t0eW(!jh+YSp;fwQ zcnn|g7ww@2rq>k>t?%~IkjLNsd3dOT4qaUyeyX4!SHjm*1wFTJxjiu(Udq*K^H2v9 z>&o?02fe$pTs?KrpPR@I^w6jThnb>;f0gg#$cuAWNh)0LwG6g$>mtcO{c zR#Pl`d6g={2&Y(-bvAxxq32iH=$XZr#w#EF055#rR?GHK3k`H-16x!Z50%gvth4b` z3EjaGubxWi;&q#}KW5MNm+PStCf1efrxLn>Wx0APq2pJ*KM!^AqOKf2byUn}*TlM}tJi&{)a-iu|8xJqg!K<_J^8)?45}TeE=*d;f@$dpK>dNu+0$sYY96c}4 ziK~|5;RRmQl!Go?#Remsa!}UU_<4aITWO=`1-fI^ay&f1i@I|BJV0-(EJx1+^tP(y zczA#pb>;YZz@4*VW{$GX#?J%vx=L(%9-yC9#pdAwUeuKXO+9|p&xg3X!Y=r;^H2m` zw7Tqo1@x1t%n|&B6#U8s+^F^ue@-6apzl_b6MATso52XD0#Mf305?Y840ll3m-(~v za0-37y6k{9%FR$tSNe1EFb$o&x}1Pa<8{A^g1UKwKRXWz(FLr_&QC)0^UAu>lMp?- z^pZ~BTG})s*Q&<=>65%K;x@69%i5eSZCvB2Ks%S zx<*-N15K@#QmQtjh%&U;B$d{AFXzzTcmthd1a9 z*5&Bu4f=rP{p)#yUSIK>%R=_hVR%e&nThRpF<>&8lb%iJ4pwRsBODu)HHgvkE0(zM zDKIbltNwgE3_}B5K7NLwCs>xPXBhf^RlFXC;YD3JeukkxSeBz_7umhoL7%X^Gd*|E3#^voVF_N;l!K07#Rems za!}UUAWNuQqBW@K9F{hEMxZ-bwec_lFY3ziGXlNEvK&1l&_Aq}<6#6|)RhBGt=f2a zfG%R4jh_eT2A0_LJU~aUT8@VYcu`l5p9kpVmF4JpfF54891joh;^=bH=;HO-@CZ>3 zmvuHi9;9ns9&lM_3LIJfc zU7m3h9WEcw(zPznxU91QDvg&bLypLgu=&AQ#OH-b*SZwsvL-up6Ib}M!>nK}y>d4m z*K%6#T27-UIYmn~v5IjRi}*?8@iz1HIz)c5dc4hPlyx?v%JGmDJ>)o73n}R&6|t(R-WI z=up-XaDOfqxzLxL#}Uo#G*yazXFmZkt1bSlJl!1H=(6%N2OZE(cdBY|A=xbJL&EtGdqpZW`XAU};WjP@0Z2ZjeIGfWb>umhYL5H#|2V|X%pE(}qavEiw zjh{K(+e{Ui)_arFes405aIopU$!T;RD|PMhCi9CLY}}jdZBvYp<>-45bPlWKczO`M z=QxdyV$}vBWI1{spfgyt@$f+JDNdtfShex=Ab3$%2Yw!)cUWT6^8g*gYB`=B1TX5! z@$&$^!?GNZH8y@vaoXc4=CaPl&jXLAIE}K-#@`>HH`u|Z_Y9}e5vdNu+ z0KLJo96b-v`Ky-W;ep;WoJL2mcSV087P-lvi-#GQT!+@r4D=66w0dTsYgk3=VFq5* zmE&gy`iEsXdS;+IxWZk+-aA0v!^j=J;yo_pwBCiBMvt+Y75Cjm?)7H{=^RvOqq!5l zFc_DW^cJ#NT&;v_l_C%LGxCs2?`}?`cUh@MkGq*))D`Y07rK}wU_H6eqpX(WA(!6W zoJQ}mYU802UesapQwd$n5}Te%=r&f%@lZ+cQ%<9UShev`N$)*Qql1_)gvGH1CPfxjk3nZ@3BpLJhoic z+4y;Y9$BY-^xoMtI%Adl@pxzXMO`_59-v27mZRqZI%CyxJpBP))Rp7s0s3KOIUwt7 z{5(KEth51HXXEDq`eCIF$T}N84?I5EG|D;~KM&9kE6dUI0Nt)hbcEoK*70*j6e5(0 z^f+nLdM9lfeX^>JhZuNK1J>`PO=E-uR_~-uqfb^X$3qOfs4EBBUimF|Pb|9JpN)qr zdcSQNU9)P@9FI> z>ui7kYB?@D>e0Qbu|fB!V&n0h*6V$z_2?IMehUF-g2MYnbi|(vBynm5lj}+bdiZ`5 zTZlz3@n_^Ak=~zL?_a&kBV^&){-xf(dY4B?8z7Vpu&*UDQ-PFKvf%0w}BUvup@OE z_L|CPGw^Gv$gH;6J7FK#eej@pF2KG=saejxtxF*Zi+&_}d(wzSKMFR(a3(LjMz+Jt ze+@bSoL}QE1(uFDxxi-Lv(%lcXG^siiigArnHb8O>6Rzc@eQthW-NMl^d3ZVFKh*# z8Op;JI2{!>}P0G>>Zp<(}y& zceFW+ekJ-mHP`q2p5l2aL8}~4-G(egxlU$qy9?c#RWnjG_Zbm4|Ci`M zv(oTTElaglE=8o_3Umhj?%mYD6f#3_)m5;*r1YQLn6 z*Fb(q)PP+Wf{_(&U&O%eSJLf|g`T2t80WrlxI=$txEmgi#y}paH{)x5=+iJS2(X!< z>QTe)6gDIG76NzG;@*|al2hm;8m~!TajaxY$r*URxc))fiP36I_uxQpu5YA}!P5r% zj+n*)_r_ZCgWU&~N)z`CdN)qfbTYl>nhzR0RxtmCzl9-SUL|b84+HH`0d|th9_qqP z+99$(o9jE$JzU6l9URQ_hlI}soJbmA;P;jokq2Uw`|b#8aadD}|M7ccB{NHAVYS0b zjWM!Ls8|>|WsIj*`BR4LDY66IuuB2dOAkKxKy^0~SXsj#;1ZZF!b6Eyda{&!joWlV zB+*>+4GX4m#c9gQeaJPX$~w?o!w$g0hoS`U5UZhdC<|w2WP4T2&0?LSUNrUCrc@So_3HxJ;!7V$32b(_Wd#r1GHe$r zPH%9#o)E}bNn6QUyvubk3G(OKlkLrnii|g@V-Wdv$z>B)Y4W~k}c^?Ksub@J7;J3Glf1K4=!5DjEju}m??De zkg16FX39$XDLZ`7>4*)SgnJRv=^D()8~vCS^zbkb;*n}b!<5ko?AHpnSB?MRw3}hr z6t}CIZz+%SVLX?lt2%oKCY`gCvq+ZC-f9}V)VR|)9mm|y90kicvV;)fTkBS43{ayT zXT~5&Czx@Nq|2Fch@>l+Q6T9`W(<*Z6*GoOdOb5nNV=LChe^7I8AnKZGc%5obS*QE zk#rq1E+Ogd%y<<^*E8cdN$+6Br6j$J87D}(ff<*PbR#n^C+U66cr{5kG2;r7Zf3@7 zNcs>nt|aLeX1tc9+n8|`Nw+iObtHX^8Lubl4raW8q`R1LHA(j{J3 z|4Y(0neh&izQv4plJp&Byo;pAnDK6so?yleBz>P5?;+`j%(#)HA2Z{jXL?<47_ z%y>UZKV!yCB>jRJA0X*h%($7P-!S8YB>j#VA0p`w%=j=#e`3ZhBt657TS1AeooTPs;;|?yB8qBzpB#Rk$krZLZ-6WMT;~tV? z%($1N1T#KC5@W_ENh)K;eI%7L<9?DVnehNgSe|+NZQYgUy^h&Gk!%It(=`b_?K+;iW{E?(fnDHl)jx*!WB%NT!GbCNkjQ=C)3T8Y@ z(v{5k3rSZo<2jOE&y43ux|$g;kaP_*UL@(w%=jxw*D~WJlCERM-$;5pGyYD}^~`vg zq<1jmA0)kt8UG~d24?(=q#K!Okn}!gnk3!COpBzOnQ4>sA!bHMx`mlhl5S&W2}!q$ zaf@%|?v7mi*eE(uibe@OxScFf`$kGM1BV)2qRsLEDoQy0BnjPfsVLpK0qO9J14?!F zNm98fwCM2wt5e>hlPK^OF_Hfk`AooH7m!e%kVV)7lesrAM|X>moBuqm;7s{xD|pOS zsASG9p9~_;4G-lCksF@X6(SiP;T0;Glbg2bpF`PG!zUG073Q`UhR=0T_@oV#^t@Blt2k5V z-$^X$HeAl4cEcqW^&2j+sNrylMIDDrENVGiVo}ehYG^!vr#4*cVbYNN#iSwmi%CQB z7n6qMFD4DiUrZX3znE0itFGUoR=%`Z9(eWJJ)_C#|CD9GbzLV=tk>?pQf|0TdXiDBU;K_`qEErSbfsK?<_xy;0@$AA=mMf2UJY{z7 ztTvzqJ%>S_vgl6dj7Uluz+OzLQYWCTF|ot2fX7iBG)ZOa)8RO#j(7TIcG5Xy(ASTX zO1%+X|IUx*b4O5p6GL`hR-ZJHAOn9w^mK-t2$NgnN$bNmgq;+<%e|8VJJl#BPuZj< zI%!cZFSVV7Jd=~M(YByS6Fe$;PVl6xwEd$0V9)SL116u|qb$*D5HR`lCk>c<`jZAs zKK)4pCZGPK0h3RE(twvIZ_-n~JdI8q@bWY|alp&d=)|IawVjuT3xqk~FJjZPf!^5jj7?*joZPyVDK`HQ)RN}huiRCZ>Bp7AL?t3I{3OCTLTNO zJm$@`qL&$IqaH8AG74PA>MTIuD=?U`z*vYE;k(o#lHj{k14-~*YB5RhU1|wQ@Lj5r zB=|11lqC2rwTvYAE_FUh@Lg&-N$_221xfH->H?DByHpcN@Lj5zB=|1XLK1wJT1gUo zms&*%-=)@)1mC6Bkp$nR+DU@%QtL^A?@}8`g6~or zNrLZE7m@_ur8bcS-=#K_1mC4PNP_QDog~3`sVyYIcd4x;!FQ=`B*Ay7?Igi>sU0N2 zcd4Bu!FQ=$B*Ay7-6X+xsXZj!$c&3fdLJ{oNVG zH1-*|QOR*98joU*eKsC@F!m7Cl4CS7oXgj=WY7xM#*dX&GM<}#As+jD?2B+~ju|~y zrLix=A{s!%k1eKkFD`s>XmjkVu}2cIuf)E_%u+aC8Y=o50NPDPXL6Uu9);?s1FlVD ziT6xoacH;q@MaO^J!e@&vDmj`-$|H_vF{3GnUNt_hd2>f;T9=dZYhgB9(y7Y`*aLe zx-;kIutiE^Kk&pf=Z1$$V?Tn0d$dIO!a^1nUqPKciAy7uI9^{*#bZB-{gjzY>4tv> zRilAl8v6y5aRkm5-<<7jDikuuOk>$BO+sh6TK8Z+pY6t$ujwBdM(WjU1M7p?9&QbH z^Q+je6HxSTOk;t&1PjqG6(~JfbYu^C)?!gtXpW8jE&*-*dk?P;X1Wh#`rzE}7%WD2 zdF4d&8~$@V_NUl0rZF9s07(uxDS8Wo{ZOTygY9stIvlVLkKE+gvk9>H3;aT23yVD; zTc0o{#a=Lt8BQC>et&3iq|lws4fGDyba1gfHvmuEUt>lbo7K~*;*jq)T!aKq?B5fy zmtrr&PfDCDR~~i~RCt$hBOd!#9KMj3U{T$$ z;CGRyvpI>OTAFw!;&wcefV`rnk$2l^B`l_PR|l%v$?KyHs>Zcai}SQE&koLfkC!H5 z55{9q@z68P^Hkp{dmC8T7(E0B&4C@BG0tTO$Lx}I$2n9QALH)>)6BepKMCPbAwRrJRt&q5##SXJscCgrRxL#Ud@g)W^>SJ4aOixTk#@dlVpQLQgAjm_SlX1wBpsPBV&T#EO& zjG3#5t;-X!A7i;qRBjV=f6mQw9<9#h^FWmr?`nAW0w>I>czk7iH8a;zj%(lvj6Rrv zxV13Zq-^1*Ri#ja)frrWdy!jz9F?Tt>AJ}c@r`(s7n;T;Zc*wF9n_vj)m||S>W84N zHz(jx>cIMVIss20tT8rWWjgN%AT$>W8A7#BSisDURGGV(xtS!GX)mVA+zY1gb4s2K zgeLr4mdg;T*(_w{7BcQ*=5~^D%-luN0cP$csh^oyk_O>LfeJaq%!?^*2woH@4wmhj z@H<;x7=$K}l*lh?#>_=#|VYkaQI@ zM@V`-GmnyVH8WpD(lyLHK@!Z&mk`Wru@I9d%P(?Td%_(Nhs1cHUXA0`ciF-j42wak16QS)p zXTX#fRy}ToNE!&foa>nRe#+%>OzAWW3ci$ScsZlH`{Af-_3*kz*KiiAVuy$9W-8&Q zSc22kDELw?f>%Py<(KdpM$)gDc`I4}mYKJc^m}H0oFteiVyW8Mx4LaZ#yz^2gns>i{jmKY%zf>Nd1#j4LFu`BS67dB57fU3^ zlq49w6O682=Z$9d$N+zzse{%Un<%R^%mmCHlQ0~@>&-|$GYs!;8JNycRk^88->?XD z0mK!F%0&Fd#8^Isqry)4rj!^DZ%T;?qc{p3T82LdiOI~ohjTu01~WfN(wWSBfTXjL z>rQWif`xl@67xZ@aDz?KK=3^Wi<$XZ$_1ER2Gun(kSQGNs@?~`aAk?}_>3trhnWvk zqIt~xB1tuvvD49@VBubyR1Eg8a#wZRIiapLUb?v|||F=&MT;7X#ZzKS-LS*p(*o@x*~dKRlcVM~3zb z2P{hr##$2)4-Uy%kPItPz~5479(Z06!wI7%F#^@zwo$1BJ}Gn^2Q)`a97!C-9FN5e zXvO)X?kUhCT$(rzKTn1v(19%W_KTux_H=ln3-mgOL?V_rk+>|GxHNG&GoK>PTmejQ zc4fd#Heges(!`bUR>XG~D!}hM;jNY>t^#f(UYmFwGk-yLZ-^N%rv91~n3(;Jq&LS5 znAiQ0l(#bT8Is->Ga8ur7gDZg=3hyAN6df+{~x5hn^_h~?}-`kkj1va&+KqOghNU^ zZV8NCDSjvj9o_p9?+3?A;wJAqvE!$~agD#`CT@-!V-p|5mv7Ijwv$Y{bGGGrhWCRr zXKLcZnCC4p>xBoU%2T-HUvI8Z7|z!Si^Oe-k0gvU61SU1jr-{66T7USVHbvpk0k)z z$Kj>Rp@jDyOA~h{5_iR8F{3eNER7kZcVz;6oa3A(mz&Rb59do0Uj;JI>Ef^zTj4N_;xL== zeqW%M=fu|%Ur!_+NqmD@j9Tnb|C{7iv@K118(tcCHZ1X7wE0frF=kbe%@e@qec;Jc zOAwFB_Y*(B)G*1baG-K_9vJQ#E=~LxO!I@89+vnC=K5sfDdj~|efyKmFv{~5($hpY z9p8|E_qqj%pD}A3-M}yK=ivSDaQuou{2Ds9Y8Yh)2l(eL_zkqvp8TeyRxBXs9Dkem z9b))BvnCP-n0ty7%Av*hPoN8h!2(PCnOf)>W=)}l&ob*wlAgl>77l~y!nQBaqFyzM`bTtm!;)(t?oyhU~$@W|oY= zP#ybWGRmx3bP*&C4S)XydK(|(qmnmiNpJ&RdQWC^~oHn(;7b0}L!C68}Y z0)BDy6P%m|pGuPFCgS6F|+nlTqCpkNm|A%dWTLfXBNFfCofm0nMedjZGC?^zxl-gO3FcpSgKyavh7^7rP&;cKw)=`1%6kZ5Okyp|}jQ-a=A0v#uj4%Pe|TPWHhKyUz)1!^4s}{+J{$W){5&C-W>` zK{YS{H85Cd*my@1lsB__=f#XA3;Yc$IRt5kD`5&X20pwJs3U%>1+LXGvbqGUUR7yC z!OD6Mfjz;jn@GBxyq49&x&G`BOI|_G#cSX@4SM4G3R!sbC^#WYzLu_E#jFpL{p*=^ zJ4shFi{5LK*D&iYihDD&XttlcmRU60PhQ8Y&(PJkGwUIeu4fia%aiY5)>kMFK7^i6 z_jm)dzD993GV7Zpy^mSnCg~<-Jx0>a%=#WlA7U0wZIib!>q&~c&0kXs-I}_cYU-m< zQy;5@=_b_gQY!mSzmK?GVx6&xZ`u!=Dbsv1lCi@4N^>d2*G_!t1(u2(U zElCeC>klM7jGo;Kne}H*bq;D{r=03?snN7oV62?x3 zjh8({|0_eB+GiCzqNtr7OMW-`7N|OG?>{c!@li6!Y zvYEY}q$sm5B&n3y9VEq>y_KXSvv-h`V)ky5#xR?DeOAG2>h;-JW>c@v#xt9GeKwKV z2gqV_%vj6p0aB(i`w&TIG5at{=irz< zYb-eF**sWdK~fE~-$YmIn0+lt^~|Q8lEJ%U8>MTA8S9x%og-^xHhBrzGFZSt31Hs3 zf!X9TWKGN_w;yX^Ho526DrQrc#9CpJcLWwRFxJM~oWY&mMn%IHhG-96+d$VgGW(-+ zZ4-Qc#ToS^)`1;3JwQFV!|)I>wuKX%ZDsZylzMy20Molk*%dP`WcGcefD3*#VTQ#n zt4M;yE-OjuVK()Cte4r;`!QJTav@#4nAy}1vOKe?A7rrDo{sxsc z%>1i%^frZfB7w8Nq@VnG{R9gOc9KA~8yEH;W`mx|cxV z>=#bCVC<8W^gb3TqXZAI2)(DUPs1J%)Laj;$OO9j5bO{^aSyY|85H*g7CDEcFR=)9 zKkO?kGK=CKVUc+xeVs+pBz==b8c6yUi!_q-9S<VP$BYhGnFY6LSg8n$9HA>E;^74^?@%@VVW}9Ow4~xOqmxB0p=69jE+wgqMJ^|) zoJFW#OI5~qt6_MW|~_of9**u?Tf& zsdHI`I<(Yu7NI^YH8W;xS4QU3^R6dHW}Y2Pms96~7a#>a`HmQTZGulv@R7;b6N67i z@IlJh8#6L71G=X@RF4fTLOpA035$G)ZfYru+)C2<{>e~-oI<5m@X1i>f-xnjrb_U> zjbRa*7^dJ|L7mtxcCA*ERV!GnsWjqf^>Hd|o!@GSYqg%NHh|T}N+W?*_mCA#qJ5t? zYF)EVGTQ=XTPuwun%z%kJ6QZNJ{?c(f{VK=4VK)@A`jBVE*AM5Nf{RT0!iI0@@0~; zEb<6ReJuV1$|MJwTwH0SFca#hQ~fOdW4bs97Y|k%Wq6Ug?$i*AP}iLrVezLZ*%3%~ zw9*&@$)J@u$zR1H->0H3WfAJIQh@Egq!GgD_EY!s-Zk|Ai&D3r z`ZSADx1V~@lN37Q)I*f?b1XWJ8t?NgO4HNS7hy*rJ{qLH%%U_cO?{O`XRT*&F6H1sW6@P~{SPd< zhNM5S=sJ>~VbKjF!3dBPPGZ~ul%<}78iMY7J*S}bF6EC;SWYJENYzF}>IYb0yQI4RjghjVe ziWrOTBq@P!Q{eEz*%KvZnD~*h6pQYmBtV$GBvr5|4Rd8<9Zxt+ijXy|Y&?}QfkkP! zE1SfkG}e`Y8!F|L!OsR|WmBn;Gg*{I!LqYqA1QuEWmPPCOY~N#ow9RTlt#v~=`1=- z5N5JO8-MuAW{)W;JFn6h4-bFz7+svlq9;hIVTpE1RtL$_mBs{2_G-GgkR>+J#Rj;z zxYC%27q6s?;5*++7te=_%PWmZc=2^~v56&i(8U(GxU$lij2Ew_i>)mBCX(7%^jebE zvFO`KTF;`_leCdV-$~LY7QKO_4iwUjte zwi~wciIrUh4jc=8x&hy~9SBMq_O z$zh}+7CZ-xG{k}@e36D&@VqXrp>bh&3!bn;8e+lYakz$pg$Lh|hFI`O8`2O99#%sd zV!<eGof>QxcW@!93QSf6FSF-E6{|_@!=XYp>uq=3Qg!7AFdN4 z9VNRCmwpMIKW(l3+!$n#`=lF1$me4sqT!STajt^H% z37zA^^-iRtWY^)+C!uqExcEuv93QR=5jw|*OGJdu@!=v7p>uq=q(kT&AFl2YI>(3W zJA}^h;W`bWb9}f!gLIVaI$WzEbdC=fR0y5p!(|gf=lHNg7dpp>UAoXYKJ3(m&hcTl zE_99$J9eRSeAu-Mo#VqkophA!I_%zs&hcRfFLaI%yLh2(2dz0f&6?Cyom@nJttI!bmOcKJf*_^{I#I>(3IzR)>7?D&Pw@nP35bdC=@ zf1z`H*!>HgupV9*~ZbU57)1&^bOF9E8sC;qV}Ijt>V2p>upV zL2%Y1@;X~+%FD=IpE`jdg;}Yl&J}!ao;NueL4n8h{ z?%?AR=ng(Ef$rer66g*-E`jdgUK$rMX0$t)m z33Q1MCD0{4lt7pGP_p^K@4P;_1iHkB66g{iN}x-8D1k2Vp#-|bhZ5)#A4;H0d?#r+4}KmmT$lJz0$t)m33Q1MCD0{4lt7pGPy$`zL&@fc%~JV% z{7B33gG+E-;zJ2^S$`;jF7crRy2OVP=n@}Fpi6uxfiCf(1iHkBlFbi6C47ARNXzkq zOK@G{LkV<=4<*ngK9oS0_)r2};zJ2^i4P^vB|elum-tY!`B|KVkB=Yx5Kf_U{>kx! zpR5V#B|elum-tWuUE)Itbcqio&?P>UK$rMX0$t)m$>s+_ay(oD9Z(4!@$rKkg^u;d z4`~!S;^PM}3LWwBV-$ss`1o;&LPvc3_(P#1K7O>J&=DU$wovFCpBz8<;e<9ni;%AK z@uOajA6$a#PW^ESbf^Bf1iDjyTms#xKQ4jp)E}2Xcj}KzpgZ-)C7YjtM|k-AN4*?B zxCGZ7{&5L(iI0yT{QNm#&+AW)AN73v;0MWx>wt6$E&VBs4B$eRn&!cy*`duN1D&9h zmfr|Yppk)ngGW0D`MLyHmg_o!#JZr_ZLIu#j`$)Q*#lK9_y(}T}`y@-uq`3Q8 zqJgAOvBYYUJ_BoVs(aw*3Hp^pmVOISZ5j>U+YvunaDGdX?V)5k{}cQoSgkcmy3#G8 zwET0X@itBNr~Ahg%1zyb7iJ3JQ-p<4L*V6YgQM#T{O8PBP!sgqZ1_PWhpOjiJcKJ8`@5cb>PA?&unL)dGBhp^KI4`H7T9>OjgJcKBf{%N_`f22hX~&(!gq=A-6Fg} zgzpjIjUs%n2;V2d_lxi*5q>~~H;eFtBK(jD;babeKX5Jw58+e}9^NKGIFW&9& z=S29t2wxE4iz5812wxK6-$eL#5xy+Ke~9p(BK#MHc+LglGEEU$BD6&q5n)t>B^X*S z!d@e#W(=lAUjysoFe2ekIOjcJPB4u2Ip=-CoViK5wj9HRluN^iP=Z zw`TpE^X7iStlu{G4luCGzYc$shWQVpveZnKnvLb(fQS+UU>UD1|0euB2Xc-Yv*4HT z3n4aXOoJb@H$YztrI~Sav0;=y3Ubsm<3>4vS{feI`L7(*atUfh5GYt~6}G0Divjfp zrKVaWsFgvW;1hjVP_4y)x>^CXMuKV!0<|_gsPcu+;vlnSatg6a$cwIw{LqPm24D>b!Mg4z}YYI}H4MRf@(s2vj2&LB{`!h_mV z4AE6k7fDcEL7-sqN?4-rd*z_IC8(YtP_T|BEU3O$4r;#yl?wuOad=SqR}QLQf*J?{ zH5eXLQBU6u%F}mHf;togst_JjQBR)=YDj__4gxh29#m0Jp9<=*1a%|`)Y0&uihBA~ zP{$;wOM*bXDmd`* zlc3%n1PXpb5EfKXoKkD*dI{=(gFwM=8Nz}ps`*}0n(v(w)VqQ}y*oUpqMA2)0`+isP(?ML3hMI`)E9z4eK9Gmmfr8)ug$4DUVwz6{^<4?-u^>>7hX?h&Vn9t+n(zA( z)DMC{{V+VJ9~T2^iUR6M3F;?7pq>g3>gggt8CeC?&m^dy2Z4g$c!uTFX#n+03F=ot zpx_szVL=r&9qv_X>NgV9Z-YSnEg6C% z{|FE2U&VmRd27mo?aJwMtz`y)!u=XUHlG!F<)ESxR7nu1@E^9Vcrl=kD>apnpprqL zSooSMd*z_UNKoZLpx~G8VVmzXfU1A_2@T3DWrUrq6O%MK~HFZL%sk0=gvx7jv{tID2O)KWx-bXZW^ih258qY!<&Ix02IHIdH zwO4}51cBNY8dPyp7ZsFTlV=63$-`~L!!}=0L{~xe%9`p6swvn_D=essi{aFj3egWp zQ28KG{oz3kzH(4Dc0HC0sesi5SVJS%8T9-b@^5|mlgI!_hU>ts#6KB%VN5E_(q8fxll3F?hOpsooG z$}DQ}>FX3uy-9+4a}cPvga%byKc#}YR)TtK5UA_IgDR@|R8VsDq7}4y5s$$LN%Z3U z=*rKYEV+8o3R=C0=Wc`r^{!$%PZiX=W%Jz-)O_y=59+@Suv~)O8A{9+aRy8wBd1@Sq+phEpmixhBsFT9b#T7=>-VqH5}GN=P-b&6oKitODnWfK2-LU3 zgDT2p{Xa@geMf@&ZV;%)!hf>_0#a6ZY}2NdyfL@X$k6QL7;vf z9#m1Y1QpaTB&c5of%?^d71XaKsNV#E`fX@X#l5zxHT63Q>i0pQ{tzBiQNI~gLH$vJ z`cn|7KZgcYoG(EI^^64de?g$04G*d)UxEtiFA~&qL7<)w59-BYx`Z2*F5#~d)Js92 z{uUn8%dZ^NKP0Gs27&t5e;Cwzy)|Xab)I(6I!`>CGUV827q!mw0}3d)&eINB=ZVK; zh6H65<={|3#hm7|;{nZw$61C1WwT;9rGiRHP-Q`&#)JlSI%=w1f~p7tRT&zTJ?@p) zlw9X&2d(qO^G3rq-)R6fN!HZlpqiTUUj-%CdD=niJn_ucur+n|D{sDYWKC5C)zq}m zpsb>L^qZA_O0M&?gVuTCNwgtrs<@|51tr&c+Cl3)@lf25pzQOCX+9Oy9NBzxgPLz% zXi%r4rsO(LJ7}FJ9u*w2rmUh~9MqbU>pbnCb)I-?@qZDNT<2*At@FeKl>dvM(iC)}%N^QQp1l1n|3eMII3Cb$! zhpe|M{nVfYbub7N9O)Ypls#0;d`bm1EJ2L~fjS%>)X`TCO0I~tgI2`a|Hg{gqMGkE zrTOG8m3GiBm3H`DD(%aQsVNndToG#rt%$Y5uZXo@`^rIGB{}uFAWpqLJg2TM2GmEC z=6jfJGP;Zu?-Vy}r+R&ivVm2@`ZdYnbuJg2m)_L0h#yZcUo<0?n zT<2*At@E_Quk$Rfrar3Fl-#A#4%(&C4!=ufal?!XO72o=2kla6hu@{LI6wNwm70>f zRN6tiRNCQpsXQH^XyFFdFRiUD<(0!prkwS!i~+TmBkn#F8$Xxy!Uk}G2EpcS!p_!Y5sQ7d9q zP;y1A9ke3W4!+$*Cf%u9z^tSgeQ7Y{gevo zn-bKcL7=`B9#l~^b+1xW-IV|k z4}(DcC^V?z`Y9FEk0q!lgFyWxG^pab1Qpa%64XzFKs_BER8h_MfYN+&KTkVoKTkXS zex7zwvji2?FJ(>rDyXJ@{U5HWPb)Pg_w%%a_VcvE@8?+@r&LhCmo@c=pqlz)_?jxJ zM}JVMsXs|je+~lmOn6X5_2??7|C6Ae4FdI-@Suu%`W{kh>NyGO`5;g)ga=jB)I|mL zq6GEVAW$!b2UXPA_pnk^f0Lm89t7&;@Suv~lnP3&h_!=O#Mi^lh4ge>L zEFAg-SA} z&*`+8KBr55`eM@5UQSbPQ>bJ+p>%nnVls4ID32+W*G?#(yihS|Y9FVm6jP{FJE79# zg^DRo@j{vJh;`b%Bi1SZj#y`bSeC>4IZYKbHC4z?Q-!59nsvnC`Z*tSuz!a*XoluRWh0O`)3G3DrVcC}&K;^B||GmZngx?1XA9 zFH}sylNZW-^QqJB&8JTJH=o9qkPmU1GT(gaw0rZZQ~u4Tu_a_)DD%yyPP;dsI_2Md z8e5t<%xS8tX;a z;ik{5^K{zPc{=6mJY!2UyijJHr_-*^(pY!yb)L@buJh!DGV45@ zc6FZ4?5^|tfYa3VruU7s^S)8i-WS{SeaH!A)_FSZ>O7tDb)K=+x*XwzGV45@c6FZ4 z?5^|Vg)-|ropyDePWd{|*fjMqr>RM%O-;75sVVX{6;n~yQBJ6vOrfUQ2{lb#sF)tl zJkAL<-4tquolrC7g^KAh@)Mj;vrM68+X*#CTBz7=Y=6!PHP;kso}ExPOA8g-(;&Q1 zW<{*it|HbcUlAKyJvuLx`Honp-8*8P^6!X^ZTi08rq6swtkdotu}=AS#K!iB3NMuT zj##JNJ7S$#ct`9Fv8+6Kp_Z72{y#fIzgybSW1GIOxaqsc6zX0(q3)9wDz=BCd7;eO zVW(Z~uv5NvSnCqY^zlNOwZl%k+F@rFYKLRGi*=HlKC^b%X;(Y!l&>9*?O7~dD6@9h zX;(Y!l&>9*ZTfhj%-Uh6UG1<_zINETIu@JygPXoJruRK*=Y3CQv-j~rtu=*u+D@o- z(n4vmd^sAO;xuKxP1kAnHeILu+jO;9zK4uXb3$z}HT9gGrZ!4z${EwgPtR~dZ8C-0 zY$wzfd7(05G4!*XP}@wQw%ZA{LtdztViD?ZPN;w>)J{90UX~Urwq2KhIH6uKh1z8& z)T{DB#Z)`Y3-y{Q)NVVW_DBmA+bijKq4t_Wy>2JeK6#;HdL z7tO_K%B&rB+SLv_UG1-cu6EcZUppLA`J2WImETySy9(H>(Om_l*XWK|KIy5Ya+)&VJM6N1 z@31Qi?;Vb%9z8-R^S#3^yY~*eSN_=dpO7pVL%X)6mP=8G3nnLyx5dK;wm~UTU|v!%nE4(n7`bDsqh%s+TELZ#$u`k`^j9O%>!c)yEX7 zuboi+q=kwt_whpYH-#EtC)7Y`pGKIR%PN>1s zLb-;lHiepFC)8YNp&WDK7iyj<)XjE6&6gG`rn&^J7^kTP zrck%o33Y2W3ssyG>NZoT+wFu}n9V|!;Doxv6l#&3PTx@vo{$zQwxvrs&ZbtILanhA>Pcy#TrsT@%5y?JWeT;{S}4u+v};}H;}T7f1OAVY zyK3?6uIJXnnZy4!`8U$wzrkDig@Zj@8(q&s8~o;a28~3T{}7zJH28-fX|5Mso1!4A zZFgl55;@2Qk&xS5+oK>s%D@I`$S5gyx?T=Jwna(se}s~&7O%eDwOcG^nP3-l2hc$Du*bDGnnQ{0t~X^lyiRmWIqY-273T0daM(vVyzM$Dy>wh9GOf=*ak7Rkyo76(gnuI|SA4KLDK_u4ACv z&s?8J$ptp{wHPH@t}kH9Ou4?G%KSzQ`F$LaKZqfJjsx-+*RN4iUJcCllo&JGY=0vo zr$+TVYqr0EQTkZs9L@lTvy{U*p<3KX%piL5C<7zr04&nnnpkMJ zON<$<7HSYgEp7)ZG?)f75Dsp4GD`Bl30-<1C;T5mV%6d$YHWAs6SJrq>|+KD-R%jv zv+nl7840zz+Xu{&f_ClJ>5x*BpraqU_~A#w*l#hkf?~c=LvyDa^T7=bnvJ0qa)XW| zhE}aQ46US?MfA{$gjneAqC|UiXxLo}hDM?y45j2bP+C=B zh@IGl-P_$&#cVqSdzu;L>Jf*oS!kLSnRf01& z6$-oEWkH~F@V7kttpI;3!rw|%z#3u;ts@pJ%0k^Wi9SLW3jZL}Sl3-IWIxw{EJPY_ zaLn7?O~tVFgT2i0dfg2|YW7kFM9p4zLue!8^|~9unKNWd^(pgoCy@Aw0MN}39f%-T zh{x7kEQHk9TDV(^4XCXcG}?fSk?H2h^x()017gMjV`%4YALWeIs+ZjE?jp;OI38Ws zfVUYIOWe|M#tsH!a6-c&fpJ%NH<(xw1(@XJ?j>F=amfpady6&SS1hxX=KHz(3*@;* zj2Iyg8YalZTik;rh{Kr}T`$nc7WZH|jSO)Q4T;|xW`oR!zv>qE@O`ir+vXlcPKhu{ z{O` zPZJW~Ek`_ENPMpx@eCpHQaR$8LgEMHh-V3jm&p;&77{O)Bc3B9ULi+3S4g~4j(DDs zc$FOS%|hbG<%s7CiC4=JFAx$xDMx&Zka(>e@vTDQb#lbF35lPTBfecoyg`n5p^$i^ z9Pu4O;uqwI7YT_s%MsrxB;G1VyjV!QO^*03A@L45;w3`jm*j~5CnVk}M|`)C_!T+g zdxXTV$`RiyB;GAYe4mhbuN?7GA@M#r;`@cfZ^#ipAS8ZMj`%?#@!N95%Y?*-< z62Buyyj)29o*ePRLgEkPh*t=SKawMUL`eLx9Pvsa@i95#M}@?n$Pup+5`QX3{FspV zb2;M2g~VUV5kDa$J}F1MT1fnj9Pt_<@pp2>PYQ{DkRyIdNc@u=@meAAFLK0B3yFV| zBVH#Y{zH!V86ojsa>UOHiBHQBuNM-Zl_TCDB>qQ^_&Fi*IXU8uLgMps#LtU}lQlWw z7lgz~a>Sd2#4b7F%|ha2IpQrsVvij0Rw1!ZjyOX|oGM4WO-P(ij(EF}I9-l-hmg2{ z9Px`n;zDx7FA0f@$PovG#8{4ar;xa~9P!IS;*xU2uLy}t%MtGq5|@=DepN_ZUXJ)R zA#p`H;@v{x%jAgn2#Kr65$_ceSCb=tT}WI*j(DGtxRxC8ej#xkIpQ~j#P#He4+x1H z$PvFOByJ=}{Facou^jQ+LgJ=!#0Q1M&E$v=35lD_5g!&3x0EA(M@ZaSj`&?6aa%d! z_k_gl<%r)G5_gm%{y<3FS&sNaA#qnZ;*W&H-Q|dn2#I^j5q~Ts?kz`rR7l)Mj`*06 zxSt&HaUt;lIpR-*#8=A^pAZsXD@XjPkoY<|;?IP{L*$4*7ZMMXBmP22JVK86OCj+{ zIpVK`#G~biPYQ|0$`OApBpxS6{Ed)!f*kR;LgI;X#NP>tC(99kFC@N6j`#;5@iaN& zABDs-z&{#i&oM~?UxA@Mvp;$MZt^W}(t6B6GdNBp~x_%=D>KZL{!<%s_j z5-*Y?{!2)_SdRFVka&q4@o6FP-Ezcdgv9sC5uX(jFO?(yTS)wX9PvLw;$?Eg{|bqh z%MqUw60eXW{!d7}QjYk%ka(38v4(`ikINBjLgLkO#10|xlXApKLgKY@#7-gcIyqvO zkoZ|SVz-cZgA{S{bK*LtIkDFT~iy`lg19FELa%mipFNz@_hy(H^G32s1 zAOm8^<#9mn6hp3v1M+1t-Ely^D~8+~2jqKV$bE4@ zzAuJ+BM!(9#E@^s0r{aA^6fYvKN3S8iUab981kJsAU_sEz844NQ8DBPaX=muLw*zo z`{Kg5v9 zaX|hlhV;Y%`Ii{d7YF1iF=T2Skf+6v`Qm^)BZf?m1M;jGvOpY=e~Tdt#R2(`7_vwl zkpGGyaU786#E`|~fc#GkSuzgD^J2)-u|w)e3|Te~NKFh`J`PBS7_wpUP`7DFzL1G0%2@_{%Yn~EWq#Q}MR7;8>QVh8=4#?(W$W?Jbwh%);9tUJgG34qvAX|wcpNs>twHR`39FT3qkn7@rY%7L* zHV(*kV#p1#L!#Px`_Suf!|y-dqIZ;dWiY(mx31nvKy2~K=E#>7*VnrWh`Y;iZYU(~ zDM#E$NZebF_;Mj}A35U2LgIdM#7%_61LTOC3W=|lBfdgNe61XDGa>PHa>Q2(iHFD$ zHy08QlOt{+BpxA0+)_w9QjWNlka)Blacd#*SUKW0LgI09#BGJd6Xb~735h4l5w{l- z)Ax8szkoY340R9^-z3MmqmX!-9C0Th@eDcQ&O+i@a>QMP#B=0`y9$Zt$q{!G63>?- z?k*(0MUJ?KkoYz^;+{g{g>uBbgv5*FhV_F z#7pIf`wNL5kRu)-Bwi**JWxoyT#oo^A@K@1;%kJ&E9Ho<6%wzKBOW9qeq4_DIwA3D zIpV=W;wR;ZhX{$+$`KD060egW_P2ER)1Qf2^=9bnrHEm@y+J^{QGyudcaLyiA0i$B zU(q4onkiFtK$q8opXbO|^(9n&K-F#f=B@7G)poB%?rOVVc>$LAPE-VyFhALmijw|C zMUs)DO|rh#Dz}yieY_*Tdz>Xp_<#ptS&6XB*y_IFg0Y-p$r3*CL0DEHEVpfSPrfiL z{aD|w?+AXSL+gdS^bOO`Ekl26yMC}*(oX$whW`Fq7G<*bE1Z*lqU_^$mfq1>My#$utOh2(O>lY z{n(Rks2c4=I_=g4R2|l{r+`q;oIc?HWq`C7N#RjhLIMbBSol-XjDZ#IA}n?EFC`2h#W;Wc}j2cRH&NasZ<@?-^HF05=Dbdjk zPiNrN)tb}KcAVH5NJq!4yY}QXnT{4)cfAT6lgSIU7NLmSa1J)qUp znrp30L!C+-dJ{?lR|O*td$if$PWF^Y_LNQb)KAurk`v zAHS1Y{*_?9o51q>f#oNmRbcu3!SWqiEAa6F(B{&rqq3fX&;}oJa})`B13B{)gKu>O zzp&L|-@2su3 z8EeAORn|A4YZiQCaSr#5#hh;pHGN~4=^MjM-xwk98`m4Y;hG3}BMsc>lVIKmtvy0f zdX%*#hSwfu5FKM}DBl9Dt+5>=ZZujY>#kh(gMoi&-8C}% zt?+lV?@Y6w8;rapDg#-sDe8i{!*L%vf{wxQjONi&AS({jrfAdP_@~3=(2eYO80VPtPp~xqKWMM5k2v-hwpj0bB7Sy&AD>Ge^Sgb5o(CGO;nENuYvY1Ar zXDX~LrjzK2y2Hw128o`iF{~_R(&%{%+GaspGTIAmv!Ttcr9j&pX!D>`&^8y^bZr{6 z&4V_dHU!#khBmK5hqn39ma6>@Z400+g#=b}rSgf@O63;0Xnb3iqVd8kN8?B2qcL>N zN;F=S)o8ppj%WnZ>B);-Cd$`ckoeJUL-u%-+yEj?=h;3;smlw zXD!-$)|Ac23Nv&W@vKGSvIhRm8hCxgK<~Hi8o5+Fuf9mVR7I% zuXVOr0_w~oScEfW~TXqiAMX_;HIW|;siYnjkB z3zm6W(lVQBowTmJWp<6zGEbY9*%d4^gIZ=2u*_{C%lz52%%80+bGu=g&Q7QR?1Ll6 zImR;C=|3ZFmuF&)m}eyH(u_%_ewrNda{9 zyR{&ZNdbCi!BNjhIcgGm96gUV!)`)pt+rNA4>*cCsyM2fj{6F7pGTW*99MYdJVRn{ zAz74*V88y?EbJ@zL>N|y?F?jOvuR|NTZ*|THGmdjsVP>BhX1avJ%y}lv@Cop&bf7sKYXUr*l|b`` zWS{|1*+2tbr31~ISq?OSE*EIH^nO-8&_LH10}W702b#CD9B2StHqd~MvJhyXcNPN8 zS;;`N8g80xg+NnQtE)ADKvT?7%~6vNG+T2;pgC&>nynCM4$?sL1O%ExG|)7FpmLZ7 zn$i$d-l2h}CbYdv15HtAdoL7d&YOYeyj7riKeJka59MnG{`R+pTx8sC57t)1T`TZ6 z)dg%zLxq9c^AU{E0oTYSJV#)R8dN|CYXv?wN7WCaHR)D^RzP@;2}&IP6^IQ{nyJkA zm&y>ViLDXTDPM7M+=|}`0l#yZ@;jFqzfVnm78M?!%g@?>{tg#@*8byW?F)0(zOV9YMO@ZM{MwSAE}#mtll*tuGqxJzD5#?$Q9Z=?H+BZnJY5LqV%HXiauFbluB%}fF2G)R3mFqk|KTq2TMXE zMf?g5=Fmur_zl`z8c7kqLz`1u3T=NtTe3C>+Wv$#vKDPWf}Kf@&Ma$Zgh{-hvt4A}~qOff2?O9f`O=92#{<2tgqBnnhy5 z5W=mSHMf7XwJC8i#O$PnW8_qFewM?#334I$pLMhNki zGeU^Byb(gY6^sz#t!RW0Zzbsv;=Rnw?4{r~2xNBcFC()X8Pr?F+Wgu5DJ|K~ZF@pK z=WbkR$?51p>*?m)9J=0O+0{!*NsWRPf;!)_tB3PJ=(@tXEAN9fv+RR_zS$a`_rcm( z_CY|mS*@@;Q7|nwmy*N=7| zv^AivGX~lk23<${%gDakUl!Td+sJgCG9lMF9pyTWEnUakl(kwtbJyWhq%Q9~&{fuX zpsTF&K-ZPl=FK}#vn)Fgpl`KC=bfi{mYoOCKd?dPCnjsofhkL_M$T_}u?czj6n&`}f)f*O*b##WMaG#AnQj)b?fU?E)8 z-wX_g-$>yX!bK@}>m3Pi7n7fOhrruSdWS&60&(Kq*8xxxLPPsH-tI8FbYI8Y17??8 zV~F;3ygf4;Rd4xG74vtDQgYm*D#nfKDsxnQ>_*ks994vE^cUDhae>&pZ4}SUHU?Pn zyIR1nM5g>o*z!Y~{(R_ZGiQr+sIa3ELN{MtHE7)1yo0K4_YQ@>BjNAps++vyGrSYG z>K&`XMb%_@4y_hEhvuD{;hkB)J8Oq`eiGWH@87C-p+9raj(Km1o_X(WyS%q$c<+En z8!q7vK0fBXQ}pfX5e;Q$3=<=vUJ65v%o^0BNT@&-9_f`Z(u6D_O^rm_6-JsEg#=0EH5*k;^H=1> z+8qX)oK>*tkzjkmU^jsvuR9;hSB~zo7s7>Tu-cCcF~O=ZE=+@!_rNaifei0K+O-HS zLc1QuMVPKdanWGccXxT;&G3FeyJC!K*N-q}x)#I5*sjNRc|XbUeiptI@z3^O2|5rz zVCnsmA{WQSDe_5NoIx&uOGG1o%OUr(K@RP#0RPI&9Q;Tbmc%6~!=G_UhG8jOidE2W zv}V#+{)wfwEN$< zJkz}bu3&J3T@UZSFxmeFpF{Hg=P#YasAR5xq@_wc&ETSg>s!;hFWFx)#x<84*ZHa$ zK8*@n5mzL_`jT)(M%YTYQdn4q-8{c_-GR?d0WZUsQ9vDE#sF5vl`R0RFL8Ztj&lRc zf%<%ua}`{La!$on7|vBO{0IvgPks2q0rs{5G}JcFUl@8BnHM@M7dpt8!_B2$Cn%2 zm|1Cz8`Hj3aAT%#6Wqk;t3&-GsHQ1yO8ZsAO__dI;432fHN(wlzZ$q1)9*@rCG7{6 z$E$FGzq7UJu^SL*f&W^|o*vle3Te7IZch2v!p#}}EpQ7{PEOMc{L`#aIYloJD7qzX zNtxBbEg5F5a4Uluqv+PSHSJpuw`Tgb!EKDbAw{>vZE3#-xGmGK9c~xVuRU&0`!&Mt znSLE`2ih-6(YM$gBhdfuDC1h+YEPQ`gX(JjJ_d7cgNjnzvj3*)2|2a5z((F?n(Q# z#66jQy>KtuFG|t3N-O$SIYsxzy($0JxHrT9DtwhGC#UFJr4@Z^q@q2QHu*YGZ|{Tq zP@bJ|ABJaN+?Vq7b=l?XQuRe&Z-g>@eZwmT^70ra8hIf{c$&~nh)@dXhx<|3{A@{Jz1#{0EO`vkRMaw9sGA+8>$)6XA9E zIx5Lfd>tdnU_3Z13DwXLJcRZej)yS)hT@^LUzCL|^mnmj9Zp*mc^Do>k*~+Y806u2 zcvymN6_jz~(#IwSB1%6}9d!SKHxUr+f5SN^`ShSiS5 zBWdq(cqG$%6dpx;8&*3p%4!!yE(pnTG*r9+?}mBNH!s+ z4A~9%27^pL?qrtc>F6#SK|So9Pv}|8+Td)a@b4!tu005hrPsavrgxRy~IvI;bAY~ zhrL!`NoQ^%o=AtV1W#m!FbPkhL*OotC*#Sq>)m)V({&1-670%e9^Zs-qFwLBH!)qO z;;C%csLSJYbg#d;rG7%!$J6jM3cVChW1y$w>Cw>4^)Z3&Zv$;w$Gy~uj0=_E74i%` zgR*=8&tO>2#4}kP1+S22;aRlnGCYgvIvdZXUD+$-babD9)f_yB(l5tz82Y(*F3T!> zi98R_qup2Fc}(}4@y!Oi&?T~OW$+T&x01W;O-D;@jK{-V_mZB(y9~tyui)n6`IP-C zJfC5|054#q^^K)=b_>3Rc6}V*!gReA-)cx|ZXG~zyMWbg_%=$v8sEmy-;Qq&(?{(e zr=th_CBj07e0?EaNRghz3mK$4@Ex{D4+@YL;YAc_EndVR-HGqCMOr36T8tM{q;+^P zgLD_Z%NFS&0n!q@gd#nQmoP~G!~e5IS{{i+42Df&aDSE!wJYrQu_BY$3KpEK>wKGd z@NGQ!BUu4|BoZ8w*xmSUs)HT)Zbk?9;CsS42rjIAF9p|1_u_jg!A^WHLvSCyj}my< z$hH(OrCndaOPQ|s<#~ z58;Qx{n)u$>7Qj8`1p&rl{On@zCF~9m*eG>_v?5$!~0?UFy$S!T3iWn*M>Q_R9}Ht zQ0V=51q1yEek44sh~?r+cJ4#oy%MjaCf}XhzZ==Hgg10fkZpYg*5!Tw}L!P+<@1VfH;T;U{i}=M% zfah6C9I1uzmOUf1kdE%L?#kKC8k&?>YW)BvpKqVaJm0>F-=rgR;y0O*y@lVRBNO>G@Xs{g zzK!3esBZi=gL)7jWKjiv4cM9H+e7#e#n$m52Kz8R9EHvMHDG6&Z{NZ1P;4)Lhrxaq zziZAj=hpz4X}*0Azegcc@Oup8`}lnmQskLyGtajl;14Kp8vcL*{t$nd39xLwT`Qe$ z*Jhq?Kf)hTVg2|cM%W|xNG8HsyL>3$evCh+!1?jV4DeBWG!tOie7n{!n{U_3=i9ZJ z=i6iW7#&wZe2f{_aeSPPOXQcpKhu2s3I2ql7RH}2s3-6V7FFPvfSqZ+{S<#nv5VqQ z8SKySXHnR^UjlZf`Sx@CImIr9KWDJNz+afN%=sli+Pu1i%ePNul5bOrhx6@>aK8N# ze@O)@iN9n7`U-y)J=o9ab%Jtg}mt!7ZI{@HZ5(EdGW;{1$&} zg*d=+U6E3bz35rzw=PNZ^em84KCF>hVU2u;zoX(*#NRREe2>4k(#Q|^2TE`m{(&L* z5&tNb|C!7+@)Q1vB38jaF^E6opREvOHS$a*8mSuA$Q(M`zu;e}B-QaRj3mF}Ukypf zZdOW7_*NgTo?bwApeekH<0;Kn`dnbX!u!w)_=97eRz7B_gS9x zmxFHM(xc#x4;cz<;QoRCpu*M1e=x%RiT?}>NBjMS|DydG;=h=Fr|>D-FBIHp7~(_4 zdVfz#8Tl>I^)`FaDr!ArsxS2^Y}a^Xot_61o`$f(a;{O zp(rBXNitFJvj#tFl&}Li<47IQ;)o(mfiJ{R(j@pfiIR3DXB?>$TAb0OJy>mT^v|)h zFQ1R^Ioz_Rw|Yn%7qE0uae9$6P8>J1xT!ewYf}7u{*CGAX-jyrBSAkQ1qWBCay;cK zPB^;<2UGe*bhTc#L=Jg~rA*#0IZ%H)%bt;#)?3cO`#WF|$)Kuas>T82j8mfyExM^j zZW#hfe8pOfJq1z*hCSjI(<6YN2QWR9-!)iXkk`K|>%G4r5ifDU%$OGJ@}IT{p-_&P_I#?@WH#3+I=hTcv{BsdP*u z$r(4MG-yd<$3z`5AGGG96r;%*N8yJSzd=E6&UwLoQ^vC6+H6~*8q#z+;G|O?o2nAfQi4uZ%G_Nkb2C!rlV}n0ypr%^NlJJNIpYXRK}#ufjybLFprtZm z1q4_6U_YgSzBI+Yjhu1VWuT>u6}EM;ny$)Ytb6A;E1@q__AHxqc0u-^;Q8DR-x)$ zPR=;>R)rRD9pjN~!2n*S4=;*UWro&j08@>!SV7J>7S*ApdL}HoS&y8%5B_qdI$|y( z1GE~#LUOJsFTj~IEy@GE)d0b3&_S&vXWXD_LQ757oF5CDb1nF-7NvWFoN;uup`~_M z8LUn2k}zjj8j8&M)y&LUWSOtptSmjmoJBtHs@?Y%IdgtB)2SjKMdg=yugREm9Z+E% zs@^r^j8ku2XsH`kufUvN^V>Y3Nbj7(%+~{$dX&XeGLILU|hld}GRbBRS((H-VNWth}2-@-~GZno^=ImG)_~WV@_Ln=alG0Ok<@Y+*e`^Xu`i)?9i z;CMxj?G1k?o9xJrExd!eKhwZMf-hBkM-ZSR748jk#tGL6S~`V=W6ke?U8rsm)^lfo z=uF|?Bxf9a7ij4ch8Nh-0h_xF(Kgf-@VZi7Z<8~QS2t+s#_@{O^8uT?^I{w74w&63 zzeD7V16#3ZME z(#dI`FnIQcA@ruhdykxP!@CMvuA;*WUGRMv4xWABw?35a2sz{E`a(?k?oBjN>&BS_X2wB8}sq z-HU^T!SiasyqfYmLC!dS*FeiP9KT4zKa{25c`e{wOL=`p&NyC!pk)xpD{^dyVhElG zGc|lOc#!@&FkTXuW!O$`|EF5cohb4pO5P%p$;lCnh9Q;sd85)Kc*wEq39uDM^ z)G)vsMtOZr&NyDfp=CJ7D^kyg?Y<5rw4o7zIfC;0mYi|?u7{TEIew8g^iGz7=SaXC zNqK!w&NyD9pk)-tD{^e_#1K3WXKF)C@O)c3c)lGGJmCUoGCY!&9LnWnZ+$c!=a1x! z8|N5k8AHd(-q7`(Bu}!15ynEVu@vEFa>gOt04+B}A*B39pM|5BrEqncEW82rzU|!x zhW|L=F^=;8gPd{v$3x5bX#S^y{Ndi&pO*YTuv^%31t{S9N zI?jK{88^;J&@w4%oRKy)8G22o2CLt&HO~yQQgKrdweU*BD{&K`jVg;nz9Z>gSV4{rJNASwh|| z4{4zTub&e#`k4hfm__xIO3pa_%!ZcPR{A+1t)CNe`Z*!UbD>F`1A@$je#eL9nUnVSM)q7G#y-R`NQYuVka>faBKeXI$3d5=QWG3pZ5?1d6Z04_+ena_VUXprAm2rT)Cz;F5Q6xPckeRe`92b*b{J)) z7{z$)E`#zzBubqy$|^C6K$agPQR;?K9+yV>DH5e#7-h8>MKGeDBT?#yQJxf|2uAcv zBuaxY%35iZUn5Z(hEdi*_J`NkCc~GnQlYvSJ_6R>Uk27#Nx^CWdMULz4~2R16`_Cl zud!jtZZ`d4_jC*QmVrO$r_IDW(#+!Z4}VE+@d}@$Cpz{wC;AQFgZR_todup|X59EQ z3hfDs#=olVFFCNkBEjeqij+Mpr%ERG;g)ksei&LFHk9lsWWG@aJ{XtUnt!3nDVrPS z#s}lfVVz7vTS+A$cVSj? zk~|76k6K95lb7U7RwOwilw=ifTSX=5P0lz;9)p(0EF|gAOL8_VlAMi{#Iq*!0y~(b z$ARhNRG@+6j1%YyXnBGP6nd3i>Y%7s+5OFe(-$y8EDF925ztoy!fJ|6Hi%bq=xd;5 zjWOKdYifYvNoakNQjk60Cpn6zpyjD3inY+XmQs+N+qE3U)6nuXrHFdX%s>8KmNA9j zQ2NJa0TF%?EXckNxUHj-kUiaXoFvac%QL3zTxr)oewzmZxi^*m6Q%5@!ZZ0SaCnw- zC%e4Qa@^NL%X-S)n8_QWX7b-C@XcZH4FIr#f|HHO4IKD$(DEDyJ}Cd(I#lw#ECL9N|gUtQG6BG>ad}l zI$J7fZU*?xlr_2d-psMy0xeq%dG&~-sX1a!np**PE9FV9#yOVPNbsX67qoRMJE5VwIM zw^1dNU50I(lD9+4c0`C&%_>XnEOSYewo< zp!F3>K`zW*;V5=N%PvYGcv@J4?}*xLVA8i-fSk#Eb66qn1&tYgeY{RFA4IjI!t#<(T9m^ae??TJF zVV->A@Ypv$dpdP4<{)Rae|W^(bv%Ob&!a8u_Z{UY-C=v04 zZ#bfFq2*haNRXucHaAwyM_|7LpzkOXV(#B@OumPf?fY~9QKdU@}m{@NSh!N(&$e>{}aU~X8#k1{WG-uY=v$8<%;k#R4}~qAcApQ z)p&;L7r_06@+6M)3&-(w1PITs-ce~vJ367Efkcqg@Qs`Ae!^h z!R04s+~886CB+yVS!zap&P6L^_q@D$!vVT6-^Vfb7#yhppGsL5BxfA!G-yc+P9IGY zX+^>&l@ET)N9iy*#tKNO)vmB|@LR1{i@Qlii%a9Z`KJ*lGf zG5UyCU^vKZV~SdnoN=hdprx2d6f%v)DN${5#u1f(mJ*yO^`k_=k)pucKa5RaM@axE zNx>VEGY-5Iw3On&n?!*Z^OuDgH5N}0p5a9#CU|K8C{4kyAZHvnF`Y6Tc#A0T;!)s3 z!r)~ApezM%Mb0?za?nza18)}vULpz{o_95FxH!rKLV1ebft+#Z6`-X8MWlW!3oUg`p}3q-N?!4$c*U>PMu$>HJ%F!AS@$Pr9P9efQr}<= z5z?%MFc<&jBI65Pax?(C1{8B3IpZ)%sA*`6Sw0ezys^lr!Z0~kU|$15?wOLeAVu}6 z80F~X30GJ>!iCjo6RT2GuVsQ>m+>kDjt>ZWRpxtb@{Z3)yVm&_$`2Mhxj@==JJNXh+3y9dIO>9~MuYSKnuYE}OW?Q%eSnU@@h{D-dGvtROzWoggyVQ^ zp0)sv8QMPW035&3&S?MW0Y@!I3rA}>4t7j*Owj|$smZ01%fj*UZ$*xy^G29QS%Y^n9!byv@Bm zyuIPL*1H|5k^|oNykB|0f#bivRG(iD_$v4s_%4UzRNq41op3zl`^@*H9!P1H(k%t# zP8pptGi44O?@f6k z{uO$lKyrbi1&YJ5L4kG!I_iOfO$&A@2>c38DtJr5+x0-9x`kR7Y6r*Bg=Q9laTTs! zxLM&AaO_ujRN=93Tu^vv;RoUPN#UOh|E33u^e8f<$Z$B$Dsop5;9KOSB5xHr1jp}- z{8QvVJy3LX(V0a7r|A7fpDg+`9N#Z`vgo&Z0GGnGa9uc#!ZYw}IBvqb@#}E>w^(X1 z(0g%IJiT~9IMylNs(4#CUQ>Kr@f+c|t@!@pZ^H4@;=dOELl2a=y2K47CcrVH#J&<> zHzocpnOYL)OV%sdreu3PP|97ZNU36Qe6!T?QlIL9(iKWKC=K&j`km5Wls>5k%2X}W zw9J)ypscfOp|VBcII!&4vg6^HQFdQhz$=%(T={a9;5ee()N(W6_;R^J<=)i;<;Rwv zU49-M_muyjJlJ!EM=ETn@H`xUub5QPtp_T0t9V_-p>TYr;!71@)&rHAR_am-^jzuw zN>5e-JzUoEvR;?=eamR13M zSNWjI*HymL166BQZC(}ZyXvv3KUV!k4^*pPt!=dqdZ2nr^^(=gz;S5x$_n$2qhPR*S)57q>~srhrw^R*m$pjL}o zJ!@SB#{;#F)dD$cSE${fHt4JNtF_;){Q(@mtNnNFb9$i8Cv|?V1M^?kRkv_mu(P^< z)pOSaf38=iUY&aN;n=g@(0U`_ct^dJ^&W%c?s`Y+f&bO-RexmtF?yiE@&?Z}ctH;| z^fWBh5d67eyM|Xc9Ha*t6>C(h5!gkeE{%pX0=sJTe51XM_UnPmn_S-g@?LO!^70oi z->C;0k7zuzG1yh(!;Mci{#Fk(oz?W7rr;M%w={jD>05f>3jY-quc!>iTd!Dt#UpUs zdBwX|fc(wsG;7xk?7rFPW^w#8>T7A_D;zMgEd_uPb9Ot#Zzx6UWZfX5SYv9|aR+~0$ z!0y_NY%{wJ*hgEfZQ-_{pSI)LE@*oj96xFMd)vSCK)a6Z2DKXk$FJM{)9yb#(7tW^ zf$gt_;|uLyZ~ulK=uoyp!w!w%xTM1q9iG$!9dGD3zvHcNT+?w!$ABK_bY-XBo%+J@ znNF{CdQA^>F4nnLXV6>c+dHr5{HPx2QohUOU7EsiL6>D+9){!3U6ZeNp$j^gxeNJ?iyns0Vtwdlv6m3XUCm zUfXjp9QXJ9q$lXB*Q8zxd)=uAdcV{A>)v3OSN+z<-3R8s&x3uQ?F07Q=WO4!zUg|P z@9lk8^aXkP{?*UhFI5lp>)&r&zZ>CrvftnRz>fM~)qiyV8{oLD|6BbJ>45=l1`HT* z4IDQQ*gpXLd|=^$)dqt74qQEO`@omDZhcAKSGs9mQ4*DHYaYU05&EU9v#B(FS zZm<7uWPy=|^}xt_BRh=j497(yA07F)9vFp26&eNhGpg>W_MS zgB+u;9X)CEO>o>W`nAz}^}v`$W4erixG?6`F&~ck7>=jL`o^Z|fw6zO<`S|C?gM1T; zO{g^i>|sKe2}34;A5D0B!WR=x>VX>_Hx{`O;^B>rZtQX+@V)Vo8(+9_3mnf(Oq~ew zO}uL2=!q~N6ZcR2WFpM-#B-DKPb#DbCe@wPeiDpl(y~eGCvDUNlYNuROon_lxy9r@ zll#N*rpb3s27OIFI{BB$zw3c1-KGqiay=ZkO?hhy$a&NCH_f_fE*#&z>6@EiJX32< zZ9TOe93Pna%+w8fVA`Z<3#Z))$DgJC55x<@7Vt|Iq_8 z?whf8#xro-H{PxZjek~8bhYyihzGe^!G1ILXs_sra<2WF+rDm$wJ97oTZJ8M21 z_su#!3;NHlHoL`a@blT%&YmoXoX(7=ok8`iW$ZAN~L=P`5(v5F0|xB1E=FvDG68 zSz^&|tQf~5#AuGZ0yGxU>h~zIQY?U2FuOkXVy4-kvm7$(7bUho6JQoxrmy`l@=I`I zIm-5r8dgC`Ml7?e(AW&qxds)^0(V1#_S7%Rp`ux&HR#Wyw_od*NX zL{v2!q-RHvUHI%Mu0z$cX%xmtg8oz<)yzgd1~1}c+06$6Y@uXSg<5bKR6CnT#g6P^ z@NyZWJ4y!zURoN}y$EAu$9b{%x%sgMgHlIS{~`^S9rDHGDI9hW)bJt>n;rVa=F1Ep z7Hz&R)R9M^%P;cq4HX#SvB@RiZ^%Hx=B47#hSSpMic6#gRuh*}24^@Vkrh&Dbmb*f z2&@!~ z)mWm*6%{=@B$(n@ggF;V5Sh{jzKRGo%OjR@T>f#o!0B~d%k<>VuI zj|A5$tJ$0&YgEMVl>-#aDmkafE6NO4C*u4%0fT`J5;_y}bp+8lj!`>S$mNoB(zba;p3~yzSfE;A?x&kj37Iei?dR96n?0tmTHWxX8>Ae}ex8 zV_s=AG#AVfJ5RY~JZ^rjg12*fqT#t@y4WepO=C(9PaC(mn1-&;MKfp2UT}M@A{v#; z#%7F;#t6kd=g+M3>VH zKaJ4b1Xrn{)}RSgLO16Cc@3F~DdZG+BObt-iWcM$MH|XCV#KXENNz)RVkkLHZu7yn zM(Fk&rgB5=L6d2T?#O}iM~>mn96E-`aom-I#}PS}|K;$p@Zb`q(MVjbjr|V`=nI zE}TYoDs$`jlEY7BhP*O(Ph~QCIG4^SJFB^QjJ%_UZk6>ykL2QMWv4c`kCSm+uC}BZ zdNh~MurbTQ3-+4mu{@nl_-oM9Iev233CbO#iI}7w z=$TwGN$f=Bo)Nhij|w`~J7|5bnJ;$Ea@VLLoUAK)E>}$&J8`*hWD%3s8$F-vCXb!K z+&Q|aN!*kxCy|}V+&jXE$?Sx-*_=;4W(Ln)DC~Hn$ zBZ5pGPcD9x~jBQT!c=&&-L2;*T5NNFe1fP_Z$t}-Hl5wV7(G$b-4fiZPL z?<-@nFecWZl$NXvN?=qy(1*&XY>bLEETt(s!y>;$U%0J7N0fbKVqdI{DQ#tDV`4k& zfsQIWldv<^)|AF%Y>o7>sFOLaOit3|ShG`FlQlciFFK`mMJJTqWx?)P+f$m$itUN* zuQ&Qk*@Ws9WNlGtFcw=B+v6SRD`k%{+9PX|N{g}DB%x#L zv?`;ol|{y3k*rlJO~zxDgx0t_A9|N(NA#^S&Nz*eHBhC^cny>_($EVKZ%5xNBfS7d z${MQD=!Gy;g2CtdAC;wEAWLPfRcZA?Su4SbS_Ay7jP?Q=Eo-<+vlr5ES>p|@4d$a? zmGNF+<24L8__E%f=y#>zY|yZwW%7DprQr)5CJa3IOkyG9o5S~`Kb0kClO?m(th8)c zUv?ag)mtb5mPV(PQD>u3vxcoSogD_vVn!EQ{D96V`_5+jW^G()o3+R6I*$tCe=8%u z2u98ty3%-d8FwUZbWr~W{j2Q#BH24@^GfTHwway(X73D?L;op@zlavkTD{VIc3Qaz z+gRR&sthV?u*U_T8xOu%BC})GKg5%r6q!kz$iHjJdf+_rDeco2nq2IeGL}8y1R+1D zsX3G%NJfDRJzIt^s2RA>nw7;fp5F@kgXt19S4bK~b_V*aW6KUak^32Lp=RNd&Jsxr z@CtC#HA3F8K%-8hyhBn0*)fNPhp3sz4((=T5o zrUZ2+)}hoaMH+G<{o56CDzY0L^s7NyVdYl|>{qOBshLV7UlPgU=KU+^Vw<(1%Ec1g z#aK5}vn4X^L~9ee+90i%a&hWh8{(E(; zl$yC5G;_x6k=7WPQRKxJ1r&ZZ>$H7)XFIj z%z++AY>RlIv4W|fW-*7(qA`_^GmM`R~!JQv)+0cW4eYNV! zYjcU$vYxAERoXLh!0hWH?+sRU?AK~42hIUgm=$vjZ!1;PYOC4JWwXnMX*Ii9@r#_& zm%l8kt2{UtdNAw7YKC))XJ%PW(ZUO!sp_lMS6-bgskwwF~6m4oM? zsf@);!lysH|O~W<8J0 zx-s*lv_Q>zP7BoW$jb!zE0w$FA$K=i-lz|2p=Lji&Awp)Bc#v!~EE=5jK=n=H)fW=(N zaDgQ9N@keWLq!!8Rk*0aMiylqxgi={=rMRAuW&*yqcv74y;Mw5F(oRduyI9M$c6UF z+=7>89a|z7FYjtssX(IwjWEy{!Nw>p?yD?CS&F5l7}i4S29%}bmQ<2m<46jnC{l5> zMC-32i;66^k%f&e%389^D{~cYco7^?3O!Io8x?IbjW%q=Q5KV{B11M>zhsH^H7W#U z<0RxZV>RwkDyzwDGn<{`VG1FlOScE90Hgwt)EdYzQ6mT`%P};fj{Bu7h$*Dt0#W3> zhWR5> z<0?<#UfFfpa21$TV2UX)vB61MQKZwUf6E6e=8BFBP?1-3!?f#Fv{KP3=4i!6EM-Y5 zLdi!ck*+c;xgVusm5Nny#VR&lDQgn>plZun49h|(|1oWh3ScUL#T~%dAf_xz1+1(E zEGvDvNNn=XN%pjX{ZZJ+YM|YqqLqqP7bIG-5ldN>l?ST!tVc3;C~A>iu2A5btc_QJ zO9ieA7P#2pr7TMYsjLSnTb+di7!$)*YB#DFrefFyjbUsYQ`Tkclgjd9vpiueJEl!i zu}oQ1Y!;P?-i%T%Wnn6wUEpjcmqm4MHtWCwYY>IEJ7mH$@ z)=QhIVjZg`b)Ic;&xm)*;#9o52=NX)nZBMBZkR%)npQxYts<_aeWIaqzYqWD-_1nb>o<@)~uc_};K~e?DOCBWIK&dQJ1;dLI3^UU+f31C& zij*o+CPbuUqouOS%)D6re(|EIvAi^|!T+a%rV5$~6ExYtsVq|k#)}siWpr%>PxIRR z9u+)Q@Jy)S$p%nmoia|X`dr)~%U`qKry{6|pa~a2*(j(8zE)&Z7fI4Yx(Tdeq6UiPw{DGGlz@tMWwTD!gRbe)v!z>$Wm9=KY?N#4P6PBGc&_cuwzMZYV_OJ@F zD##{$kTn8rXSy_)qdlUmR#|P1u-Zt=eNT zvfvzN!G;x|M?Pi2Idb;M4CeK}^exH0w)7gVr?y5#Vr3IK)+dZNE-Nd}>rpKykDo?l zRE0+5irP~uBCCj;VZD(cEYSEE+ ziwm^RYbmhngmf@ScmqI~(Gat*g+Uc%j{xllVhCMG9}u1YbrK+HP;H?N^arMfzMG>5XV_ ze9`fMvUz3mdBEl)?fxxg_sZ_`h~4wHUs*e-Y+u=a9VWvVEcr^*1qfaDlFH zBW9Quz3aPaQQ6hnVHN!&^rO5Xal9dWJb=vr%KnwTCyu>G&PDdwzfSf&Nfv-|v=hl5 zKm38Wz#Kl0Mr!Y>l%P^V!Y&H4&n4KjpnM=Q6sdnFPMXMGA8^vt0C|B{Dr>rW17pGl zL*#V5|X zJ8~{Ei`@3V8)y!?-iEE0iP{kr+Er-J<)PgO@5XWlzTFIOIFNI4dxyH%zsgFj`pM01 zBI+qVn~EHf@uGEQ^O2fS|4tkqxv)0REN_mhP%oM2lsjB}cet=-PiByWdt;e%LfO8u z{XDR=XCs%f+)=jAYDJx2{Mc@%^9vvM2{&`uGe!GMg?<(K^H}I7F2F8-zED1(d>{|{ zfZ+$^W|r~+yELqRyR^%q>{$jGv&t-ozEY_`rGh+~3XF6R+!d;!`9dD{1;Zc6 z@<{oDku25m(k_KW`p@3wk--s`N%iStSe3PJRccVFA&;j9;ugkD1mzD#kWk0GnjXl^ z!}}ZR8(E^i_PxpyDody=K{a4x3gr_jLnK~?Fy`dqTu2z)*lf{V`%z^Jl`T}ZFg0Lg z4CNO_yimu)%N!RsV-O3VStI!V2h?5rS!E5CHO!cqXc6M#dk4{gkvWuaB$_=adBPd& z()b3OJw|H3s_db%hsqvN8Xy_OCL<2_VQNDAr?LqO&JmVNTN=)Bjv(HEeQrSmkAC-Nw ztbyd;C~`B1{zk1vUKs+-Jz?5r4m+L;W|4VHD@>((SVV(ls{#sJt%DXn@b{HyW-Lz5aycS7NoB$J$&GiUDc@4QrF`pxY9Q=i)f|51U&_BOsCBWqC*Jd%m%EG+ z8<%6szYLA2V`5x_vGb5~{VR!FnwdAj@~bSSvY5(Z*`fg>lPMo#Bd0n~tZbHZGa0cY zXDFM6?u_+u6jTXKCA4e_=NBSyU# zP31O~+p<*y;Vu5kj-txnl)q)GwZ)VFbAFC9MsKVsmA@GpQOE3A7sjL4+~aTXnwvt& zzP7XotD~cs%5o~psVsL_G^G$ zZT4`KQdv)BJ(cw?ss@bAr+iOkyzGznvFbSYtvHD$Xt`x*uce2hjLLp0`(4!7pA~P+ zogPRuU}Qk$e_62y)%W6N%iQaKd=@OHvY^U>Dhpl`4H%hF`Jl>f7dN|QMc=tM6A~@3 z*|4Xhg35*}8(xxJAmfp_+Y^ZfjEt!KP{tlqpG%W9bH5+btca@6s=ptaoX$o{Zjee`X|Fpw9!6?0A$WMtFT@MMq_oBUO&Pq#44_Kl6rP5)Bx6 zQu(8uJ*eLzm zz@$xhotm!;-HDy-sIJncN}CChG(@hNw_KEHz(}3SFGcpC+7ct3<~_gU?_btbSyN?A zl{FJe14ialzNxZgVq{6tJmkI1Nwgrkzgb)5P?bXyDub~8nm7HFXu!y$%0F3qQ0Ix2 zQ}eEWG8?(bLGFc;ukH4A)K%$JrBjto6H)_4N>x6p(r99(QFcD^Zb~IuVA5*%{%AM# z#i@i0sI1xM<@22HvgkZ2?WnKTu4?U?uz@BQIGODbNB|`()Xwxuvc03B@Tf_aLnY6-GL$hbqe-q>NO!eDlU+05co&-D+6~7K z(M|4Dq`S+YsqTJo9D}C0*T8Wbn(qD$j_1*=S;4@?tH}ief9#BgOtkD@&Y0kCyxittzz(>819f z)#a{3Ybu^bt1H3SD>Xn*RXK##))^0uuSA;~6q0T>`gI$WE!(Dr$ zce>R=hr3;a-tP7|(z`u{-tYD;dcXT9^g(wx_Q;Pu?6DMm&|@c@e}nWMf1@KkuYkV+ z^l>jZ_PQP&?ez`%xOX}_(z_|rdv`;}dM||I1L*iwqmh2qc=X9tYvH&Ro#?X&>3#n9 z|4QuxII6Pm`}lP>yPMsV-ID+zA@trt3lNarLhrpp=s_T%lMsr6NLLU0CPREi))5CrMP_xt0WcjlcuF!ONF_}#OgBv+R6KV>I-n~SZ^8c(ZR=2DyT#?z*O zx!iV+@wENUTxs{vc-jYj&bI8$3z~}%$<%adE9F5cHC|5bD=yDU3-yA?JlzzQE6Z)F(L$;vn^(aJRJiWM

xVC5gZ z+bS^nrd4oExbfJBjfp7eh%=px+t=G0Y#gS($?EH4VvS{{8jmj#bD9*B-PacjFsHG% zF}K-aa{2mUF3XGc$2{g9HUJB>nqo;E!I;llgAKt# zt*6*f%(kvz!!W<21~wcEcf?>LurSA9ECtKx=!B(W83MVs_(oz8fx%cBmMO3SHVTUj zEQ^iCG6xRB#$Z_jyJBOpsK8^`J6P7hP1w6ww5K{Y4$JN-ijBvzdAJ_=-otWwT4NKi z9G<1v`&e$zY-}Qy%X1E!gyr?@!X{&RyzgUEu>9VE*ilo_l?Zx*eT)?kE{Dy*N(JY} zKEX-`Pr^RMN(T?d=3=qIe_->lvcZS2&#*Efn&szMxe(2AJ{A{}hAqI}3h9M?ft3$g zh<%Aw44IC7g;fYSgnf-w4q1mS#43e0#1>&yL*uY-uqvS&v2U^Jp1kL$TFZ zeSc$Y4c5qC9{T}n=-08a7Hi_yv9S(o?4OUV$C~*kVjHlgb`ESK*24B;o3Q5gOl&jO z%1*1Th$+J?2mc3>UCYGOZO?Zc*HJF!k-Bd}dq z$M7g@H`XOQ0NaCg4zGso#S+4cVEeGH;d8P5SoiSp*a56thG^^{)-!_(JB0PfP!~Il z_0GUi>pOz=%BcNv6idvg{c;TJlkq+5IQDkNBF-1a=DRmr2LQ&sb6> z9UH%310t$or?G(%g|RbOaztP3EH*fzC3X%Q6fqn76&o5c2Kx;g62bl1_d7N`;v9A! z8@qeg^9Jk+mX`Si_9r$b^EK=$Hab$T z`5N|4q+auNY-}X&S9~|Hagj5yzp!^BuVFW__acvDx3KY1rLe!T_oK36x3LLPBe6T! zq^O?QKiI@5?lZo-*p#T1*gb4=7M-jAV$-5@uHMI{MrXtxU>{_8fjz{gN7uq0VKbtO zV~?>9qm!^F*hkUru&3C}=mXd@Y_6<|tOJb4Z?I3Zwl%r@ z7WPS2uEl-_HZLpJVt)WOHyf|d@5DaO%IovHu+Os9#@yI~Y$dTkY<~7EmE987t<0r=M`mnA?)+FJwko%cMPc9P=KY~R z3$`YAH!K=ko%=YJ6dL7FHHJS|~3ThaD-zdFd~Q9WTUr$+a@p94mAkdkZ^R z=mb^)J5iYXrN1Kfb7Ah6{z}-X!nd)?*y+M&uqxOuMe1Nxv9m==Vb!oRMRQ`+v0sY@ zVKuOGMTcTFvEPe!!D?Z@71fO5u?s~tquSW{m||ER>{3i5Ru{V%vkj|tI(`#;oT`Q*7+!(uBjQ0ZmCfJQ)ych5{#jY23V9l_b#cpEFvA>G9 zz*=B`7q5)9#BLSWK5d2FDXx9m8oOOW$9)^@ZV4UtZLxn!oWj~+|CZQ>wa4z2c#d_z z9+bF>b;RzM zmd3hcFJiM}J+SAoJxqwdr#G0BzOl*Y?}hyry8!ErIbz3|BK|%m*0N&HVTqVCb{p0g z3n-n4y^Xm`H^Ta1t}@?a{V`9OS=az9uxu_Y2@5Lg!jds>*-qF%ETn98Y!DV4R|*@9 z`Qjq5Ay{Z!cWfwT$JN1xVg9(i*l;X7ZYeeb3oF+QOTjXhD~qLK8Om+JMq&}=zQEG3 zOy$$CQCMX8uGnZSbNOr77%WTqgVu8OCyNm$;B8?eb(o=SDGDOmnWG1ycrU!}3wG^}8y z9@uoOK;;YA2Uy|CJFyS3LRD&DGq9pn3Scv_B2^=?kFa7@o?)}Fn5s>%*;t9HrLm8( z;?-7QbFfm?W@4XUC98*HpJJt}J;3H-vDL3)^RTkj4`82RWol%_KF7+{FxY%7uExjM z0_?3Csn{1-`5M|+Ut$$&XkUGWRj64T`x>iUvk0~jt5kC|wg{_Qvm5peR;5-H_AOSu z=5uT@R;|`}YzbDgRv+v;tVXT-*itOM)){OWRx7?Mwj8SyUkh7-)sBCGt;FiZU&6k} z>elXvt->1Au7<6~>et?ft-%`AUWWaEHLOz}TZ=WRlMP#kHLkM|TaPuXGZEW>HLW`i z+laNO+Z)@2HLtrF+l;lUy8zpQwXD|)+lsZR_ZGGdYh7;#wjFC%ZxQw**0#RRg&kOj z`Z^bW!rIsW9NUR?s{anQ3+vdR0=66L(jW)62kYG6Q*1Am&|nm{59`{{jqS&}H@JZv zz`8XYiXFsyHf)C-!g@5kgdN6uH{6XK!Fn~?j~&Gl8!g9uzpR-Vn1U^O|oFWU;~w&FSaQ=Y*ja3F)0)^hY*14j_rGF8 zo9ej#4I9#I681Ybyjg$jJT|QPb?gF`()kUh5XvEo^-2 z=h)xa`>iixx3LLr-p1}=liD=F{=p`;IfC8ArnFg&-NPog?STD@O>0{fyN^w6djNZY zeb9CV_7I!it{C5S~>?t<0lLvc-&F**;dydWOG!lD(&FRzy z`w#oL^F50yG#+=!anKJ7jt0qx-`ZDu(@40-)txLc^A$%+l77BmGjqjV+*=+ z{@Q`q`~(~GU|)9q7xQ9YB=pCEu&)!EVZqo}3EXGw5NuHb_Zd4BTbOVh^I_j6ti}A; zH{IATwv8?6#(uHGu*KcZV&T}*Zdkv9&$8m)Y5{A9_V#*|GIKpI|w#b-n6i zIkAnsietI34ZXg=a$}o&jmPp}n|gD7w)0|JdvSfX^I=&u(z<2{Q|HG*ol5kv5MHw{mNjKuv7gw?(NFh>3$sdb`|WG{uWjhJKOIHRt-DT zU&mE-?AQJ}u4-WC`fDCFvETb^9<{LF25_CUHW^HE9jj1iO(m2WyI5Pu4t| zVKyHh>f`_}X z!I*E@b!-S0I$Y=FP|O~#^KuyGAN~n8919jm4r;60vu%tSODKcd_V{J=i!bd&+m%cr06L zUhF+AXQ~IAfaORXi@lHKPVIqB#B!zT_fEp{rt0@j#`28hJ)AuS%RiF$aQ0Lz-$X{z@%#4F=NWPR=8Fg zV@G+Pd7m4HeSpt*D)F~shd$>iTx7ld)p~m&-@upn0Ej;hTgF%}{c)KOfnI)-XQLM2 ze_n4Edy%p1BieR&HX7TT#n^UK@W9uu{B63G&7?P5dBkg1UXp(0$#1su($}uMH2unR z-)!a8uU&b0`jzLs(aND!Uc2(j^eaDjvz6mtyYi~^D?fa*l^ecx>rP~-7F4KhKFpnxD}kemM;GAlT^ad4CWXKejnOGyza@si$X@ zUzPL9edRLWqFb^R6sd3Kl4AuG&=!{nD=1D|oYIyzjBgH#*Hg|zE2yrXHc*->t(3OJ zt5tL(w!H1N#f{VQ_S6a0KQ-&)eloTaZ8L6Zxqmkvy|D&$I2Y#6XjE7t};*Q<~nQ4XWIH)nXfERzEHkY zzEZwc7AlLBZhJ zxvxA>9x9KB>x>5aSWllQPnBoNbLEBdAF+&LDGnt-aVjpwO-xVXI^jBLTX-M3|+{2AK#hq#bmn$ojmCEgWlgcUOXXO{=v~or{tDIAQRen=`SI#RJl#9wG1$|L2m@g?$0(NKPy!UE;!@m7 zpyE-yN{|w)geakkPw^|Z5~hSJ8I+7lCM812tVAkNN){zr$*N>ivMV{1oJuYww~|N6 ztK?JiD+QE-N+G4NQbZ}L#3;p-;z|jnq*6+WRZ1&ml(I^kQcfwayroo7Dk_zf%1RZb zs!~mp_)>aSb>(u zSnY{pg|bRnr)*TVDBG1?%3kGwa+p|Mv~^55sr;gxRen=0D1Rt_D%X{p#Ok4~+sa+# zzVbx*j|4ary{dp9#jk`DD^XjSl`Kj&CAX4KDX7FKC6rjDEU^Y?tGrTCsiM?WYAf}W zhDsBqxzdVQ1GUvw>7aC05){4qfZj@9rN5G_3?dPsg`#;lH4mre;nX~wnuoKuqIozq4@>*msi8OrE5no& zB~2NlXfn>1uWF*UrVvY$aZXoeC@-ICP|mrE2IbU@oQssj%2Hyz{FW7Zx<=WcY*l_# zb}D<6{fcJf)Qqf`i)v8L)5@JeP*&((qg_ho^n&((qh^l@ZD) zWvntznV?KkrYah@r2)8RDzlYOlzGa0~f+R_vpnt~&r zQcx+Plu%-ovPyZSGO?OztD2&7#!**kpuAk~8m;?i=EQyME`)nLGE+&Ghzq0e`=ybI#mZ{`buP z&dfb`-prT&efK?vVJw|gZW@yYM#pR6udAoKd$6l}Y@pOIO=C{?;r`LWKtpZ+&{&~3 zlpm;VEA-{NPi`6R86PN=8n$W7bd$6fj*j;i3%SvgL*4C#(ZX1%kuZ(Yf&N3a@K{og6ESnlqP_n3=(D z)2csYR<7G#eZHBnPOht*n>WpbGcQ$LZKWy`2TXHeE@4;XD(6<0QhdG?;xiVHU!ALL z%3mbX&q-CL=9TdHz9xvj*cZRd7r)3C-{Om3?2F&wi(lf4FZkkXeevVI_&Q&FqSV7v z?~9-6i_iMv=lS9reDTYC@k@R2Ex!0=zW5!!_~pL%f-k<&7eDTcU*U^SBt85qeeqL$ z@vD6C^L+8EeeuhD@t64GTYT}C`r>!^;@9}%3%>ZZzW8xp{AFHzCPDlGJ{*#~0-j{1 z`r<8L`gy*1+ZVsg7w`DuTYT{eU;GYVe2Fi<;ENZ0u0}lLzWAgseS&z-@uz(8Q+@Gi zU;I2@e3>tPnJ=FC;#++28DIPkUwpYQzTk_m@Wqe&;wSmy6Sm8rL-|Ty{8V3jl`npt zFMhHwewiZ{Re5yr?4-SY$v|cGIVJXva+WtIts3cSADc4QY_uve>!uGKXc+99 zSD7E$Zzl64vm2M}S=u`_k)Pg_TREDT**{*sclF@ri{Sd~l-<8`pfbNdVGpjEQrWzt zp?GBel--NBue$0$`IMc52O7q!Q@Is&>&&aBCbrMWn~hht%&*MVoo6|F=1-ZPv(CHn z!2FuS$@S)^uBpzXQf98yYA#uLXvv<&D-WDIdwlEc)xAgCYdV(f$&PkaRdqJ!a!z$+ zs99S{0V5Y09 z_pO+gNQ_t8O}R<-=K6efbqbh2UTr$(jc%UR*0*GDWA6blTt2sKB$u0+tIem=rHOgP zt)|m_WL3?EC9@J`_P*4~rutmMN?clHI*nsTPM>pO-|ohP8?yU%!Trpv&Q#B+-;i+Z zBPTDcZkjvTRldKpsW~^tUR#-|oNHQjiF|J8!0Lk=HeEG;cz1Si*WB%u+c)MW@c|{z ztn=z;?;f79baeByQ_Ht(sq5LZWL@>#>PqYURB3BvE;qxj&o|ayQP&?kPkP`!QR*w`Q|Kcrmqif518q4hxYg6Lt>Y3Herae7f$%)mp zf5Y&M2C&C6ySXX1z`i)$I^VIZ_JuPm>o>2kQafs9lPDH0e60ui+jF3kK;bNtNqkY z#5179vnn+;ap{z!&LJ~xr&0^dVxa=(ZJh zYRBe6`MJ<8$J3%+TBhXI)fZYfotn0Fe_dfi`M~i-v*G%)&wRaY4qPuSlliN?{6{;= zPp!`Y-eifeUw3-@+?fr9!s*R@Lo-%hx#9E?Y~S^6`xX=Zm6=%$qicbm?7*^~wVTim zHLe|2+Bt5A(dH{o^$wofaB$C>Q}ZjJ{3%}fri~B&CUzWnaH92e^Yoz^XqN+1J-d_+ z_U$~id~ko`P)9rEpY7$Z=IvWjvti4Dx&rXy61ys>1uZ*t?&F3;W9V)=@j5ox40c=9(LZTThp5$jn-GumC)- z-z9inJ;$ovQnO(3xl0cgrm@2nvl|XJuWCzz9rt^9j@DP4n?1Hg6t6) z-TVi=>-{4C#f^h|>JA;~Mcnls?$((bx9wTow|3Sg8#86|=He^-o&_yl5O*yBzCV>eaX1t8dGmOUE}) z1AgpVc5olsWuIr4^D23VKiXPSGh=YZvMY%{%e`_gt%mz)JXnx;CZpYkJUo?6gL|?= zYw3FLhVoM-OZL@`A`g~$JeVw=r$hZEr*=Ym$-^FxN834%vNgvUw-2vp%wG@oV|%Jj ztzSHI^`W)pr!L%ffY-C9)~jba?+^B_IM$L~bbQg?Y|q+hQx*)*CO#a!!n^N_x3u*Q z&1&pjJ8gF3;O>Ue!kQ^3&_0|uU1v$Re+5r>)R*p@EsGilXEfmbx%a=P&cjvSH*L1K zukPdYd|f@mYxmUHW_a%Tea&q<-gcm_Z_C{6)%7_KN3~b}`l0>M&(2y}+GIC5o_(sb zwR^J%c{}cI=-EKe@xd*#)>SvvQPGutnVm{Q}m)S$C|(k;8#;V z;gvIi7w~-BP0Yii<;76t!pc2!J-g_5f&I08zT00z|EKbT*Hh)yQ{RNVXkD{tL1ur$ z!Oi8fk1v|FYP4$;@AvHmzH$y7Xy_3oI(3$d-k1Py?YDvONn83+-{kYw;P6M3}HXafa zw-fGj4ER>M<23Zc(|q_ga-JdY;d#gQID5Lr5lDB^mu^yD`>6|S_Y#l9b5mWv*~gzP zH7B+nXdphKJz-pG%1`s|yTxbEnM;S)BJW{bOf-7qW_8P|@vcpa=((8%{TDrV)koXB zdS)v1z_^vlc;k7lY_Rq8IWxBIUplz?H1C&t3!6^Sc$1prrGvNbGo%yG&p96MoC)pN zcyM3I>=iIS;Qbl4$B5S+IX<3lrSWFNngN&}uBP$AZd&E>?Ia)ns9#)F*u0APdcrH` zDCa#*$VXeD|K|2_=N+l>DW-$Gx`6l38`^tzHJeSB!Tfg5`s(U*DwUZE^CKTG&)HCc zysQx8Woq73(?VV?nw!gCP?<_Cn^)gaYA4R?sLoZ-vr3mO+6D7pds_O^dHJTs-hHRL z8*5?SlW1`B4^GWnI3Gn`m)VKcc2jkJx_$oq>gviJx!S}WntyeaL%UaBDn~q zMBAK7cwT(t+3xHJwD-;>W}45-pdM_m<%6?VjjmnpOa}Ymb2XoytI0E}RXsV+EcNHx zxg@9R<*g>e@kW$8zt-gQB)7ceyj%&+n}mL3I?dn3ePI0wv|r%9T4=sT{W!-zzoONw z^wlHqvr3w`)$sYqhBYwG?QX2LFZITKnrBR&VZw9NvUBP2w!N1WsDD^hZNu~D&PUw& zLE8aya$ozDUEB87^$k}|nLg=?hTa3GC&PWD)OMP&>R?}My4r^MCbo+h_u&0vRzogv z)U4eH^MOrr9G{VQVBX5@O#KD6(>(s((O3}uDQ~|;`^_nQ{D%3N@BVj!ohR>_-?L$e zk89@~-#TX%pFh;*(gPjY9va7p7cgG}yyk>>=v5?TZi+Tom=Lt8Y!IS<9M~5&u<5oVP3Lg zl=o)`%vmsBSzZh8hkK?`y_EKE=3+*aD2(R*gMD$nb-JN1(`wERz0X-ot(EmP#|I898{QU}*WvpNytnk_5;OUI z!aR!J8{BzRNwq#6aNCF*=4JGL!rK$?H>ZzxCg=J4H}$uLDx7yWSk;`zZa>m6ytYbB zH@s;=x?|f8P`cbY8sFN){2ci2j;r0H?TdD8*R?N+Gh^_;s)MbkkKlN0EvEkVL;>*~s2}SC9xd7r?=4n(JN4VE7Ysvr;0Rg) z@Bat)!Mt>d$@JyzTUKrFpniRL)2aE3&s%w@Z|kY`gV5jXS;O+W^6)u?`bggpSmQ9fzph=*=kM)yUXu^}F5Bmy znpW0o&d}7ilfQ?pFEbsQ2L|pN__cdswY}Ia7kIIIp*7RBAB^vdrdC>WTwRQR7nGdL z@%O7M;QfTp|H?|{nJLju^u7Unf_^HMC7#f@nTL53^5Q~eezX#J#GiX>p+3Ia-r}2= z?WsEoejWb4VZ-~RZ~iOea|v@oy}-LFWqvpZ=FKqPxxA(O;P1cqUhbPG!u#ihbZEE6 zKstQib>P!X*8Mcoa$;nlzq@~|d3bQ7f1t2!WUPO9XtYLEn+Nivqoqa$zQ}~W)i zW3nc*X-suho1M$22N2pAu~v^RCPv z%hwL%hx*`?*sUGmVr~!GK{&~C_mUg)Ym)=pZZRW)ePpli^DZp)2M56%_TnqQp(z`{tVDsg<@~M z8^73D{lm~&GGEg;8VBObNBZI8VzH;ICqI_&8iWX$28jSED*5NfBEQ}}Tr74KHF1!U z`O-lWzY15&@H-rlGxx8Tr+j{44G7_#vgrVpnf(Sk5zEMXWsUWW-eH?#lP{6uWqB zm`90~X)8De{1jd60bBPV{oRk#$BPtGJ~}pBEOd$H0HdlAXiy~uuo^ixF%nWv<$O9` zgwjT!8|>=o8U!Qj;}7@i;;~Wm@tA(PrhG8LcKsDF16(N+dEjnAD|buagoKDmbaPxC z0Xx85Ob$d57lN@wo?vz&JsOmk2w4L$kPTuo>vEmBt}QKFy4qT|w%`*?U++7|M+OQ@ z;R)WYL|oG5^@7_DT?ya!SI3K414So0^L<^rhKs-kvlSRoJSMufLB1RT?!V3JBgG}i z2I)kfs!Jy>LAs3SOWjnJzS4Ln3u(%lHg_fs=k|21Yq`90 zBNzu`Hf)5%xsHup_0Uhb5go02!FWkjNi1vXZ1NZEYE&?4{(>`@x~Vg_p=Qvek)bUcbt99$TmfakHNX8@TIewl^=va}bX9L%>UF!9Q!1V@xJ&Dy4g7T&vt!?YN zavPg~D4lSxX%Vrzbt!OHDqUN1ovl0JIz)AJUEbb_##^7;(bfrFF6UaVxw)mIgWIM~ z69-nEkS?o$@ zQ)_1jOkOC0%M~Q=>1^rR)w&L!E{fr8AY!P1mgZ(RNg2;XO#>9;+IoKuDubR8s*}pt zx`TiK2r8q!Wkc(>tuCaF+`4ut^GBHq;y48O%(^YPuBIL9-OeCQdPi3)Jh04Fknvat zPutN2k2DMk>vJ8Q@Zff~w72iLytAvlWnF7~OEWwXnbzi9^Tw90EE);0O%l4#X661U z?4*s^i*`~ZZ7PJ#htrm!zk~@-G;+hG=$qI0Oj&r^JX5QavyHV zjlesE>Rr_uN?wxGDKi- zfZu}8t|IvCs+`Z2ty_W5fqpy~hy6}44*Q*8T!SVK`<-Aq?0153*zW}6upjrwdHwjr zSnS6q#$rD{F&1!YVgacp7W?suC15{3F&6uAe=PQ$*pCO}g7(C8L3?6c(4H6**X3D(6i(YRJ*8 zO<1C7Oi?3bFOSh3Fd?FWf@__=p0{%Awl3m3hS-4uipyJ@yRyxDn%h9hpwg5Bv^cec zc^TJvY5Wp&#a+9$wXcI-gXeP}4W5p=2cE8TTW78fJ8oz>EJEt870TONV8Yi4PrR5W zr4Y7whRTFYrbr8oEYaDzrKP>Atz`q0-vYx>6|R;g*Fy~jVB^ILd~<8=&RMXpj98dEJ~Y-pSg7q7D~@-=n%w+AbADi;0~|A@W*Llv zhlYp8M#qZz5z}~yA3=zN#Qzci#lq{YKSs&ed2*x>e$fwKWOV_qW@g4LPn*oFs3dv9Lk+B4@tWAzorsQpdjGJj))jr#8VzbU!a ztV8Pn>lxVc@NiFazWZtsB%gKW`my^9SRS&@@S;?_rRECV(+oZJ z1TNqUc+!Nz{MaF(fCbXTUIBV{@OSuKS;VNz)KVasC-1xR zWTF(zFrgF}K{7pq;P)#Gc8$TCJlxDCwE##V3IJ(h0WjQTxbvugs0%!AUB#pcQz<_J zNFfRUX<`8|Hu+P7DpG?qF*P)dKQ*W#wYJ4ps;NO0sX>}N!4~x}WM}*o1U)I}1)?Sc zuujPUx|;z+O$OkDVl6Otcc2VN;+6rTrVL<%QYq+e1`stFpy8Dapt~7B)MP+X`0W6? zn*l^k1|)$$1L$rB5H%T~ZIuk5yBR>#WPs+KrgwoR&EtW>Agx;%h?>Hn^)u-7%c=1ATf)^Eql6m_(F;;zS%-;K&rbDe4TmhX)7y$GY-ECzIw4 zfucNOVJ1ycKo#(`VxPiqiWl<2Kp`HpvRYTU)t zv$6qZq3&kTGAkQk7V2&WEwi#f5$1Ez!V~$1fZaSkVPcK(kxxGQXFc+uI59&5lE;?; zbpaAZO$KOmk8RY?zcWyVN0A0CMesX_QmID=yrii#K!*m84k&6eARYYA1laOJfl57w zG-w&p5MT)Eu4%LkX$UX`bvJ{SAq_wS_>v@@>h%17DuC0Y4W`%JD=X{0BcZpGiX_}6j-1@ z@(6;LG$sQURPfJ3^v#o+@0Wp6Jk~7LvSukz!(R%K^>=|%JSt)OiCC5)l~gQ1f;q9y~hx01o5!g4JYmP6~N;EjE#3%>3Zk`}yC zEBQShU`kDe(7H+nui=+#8-979;Zb*QN!#$t0}YS5n?c*~%L5IMx|>1U@XMiftN5e9 z=WV$7fcF$u(E1)BFpI_*(CQNl8cbTjTkwdoTuYSY0ivMp-h!4WjRB~riwr1EgxUyf z2teiinguVw{`SOlZb4cCH3BUr76kW6 zMVL}!s{o5ucq~FulOe#O6&{OF)MN;-Xobfj6g3%u82XocxWB-g@CuJlC{8SFCGyGF zWT*>+qNvFLj8HOoWLc>t%Svc@C4)x_yriiiK#G+fDNxj82#{i>M+y`*8K9{ZNFEDT zYFV%{zyj1=D`{DT4=mI1P^3}|?@UWkx+K-T4fw)g7oNm_RW%YX#3 z43KqY03FnNAwuQ>S(gVGkuD7NP;UwK-V-bX638+@)|CMyQR{^WnFnND9^i@WxuDw5 z1&hGzZc~A*D*~9KRtpg_56HSaKpr&@M94gPW}zpz-1TbH>?~=0Jx~rNa?3$kQ+GYE zMX4JjWF9@0>Vs6m2$@GurFx{2Y*L``_k#8MsDz1iSc6om_fZLDT^?YEdVfCZ)a$8J zAEXXO$otb%ryl5_*5l&}UesYjt|)B?cKL0v93Ow`_57(1@&_X%UOj)%-|Mvp%p?9B zs23BsWuUCVkB(mDo-sn^(Q^m=x@sODckrUF9-xDo$Hx_P0qgPvxq_ZwiA~QH^!2Ls z__%@>b@c?fg8pAwkDe>&@m1^baRo2x>OrpfhYfV}%6jy?K-aFC$Hxo2sHq3NyGkC6 zaO*)?mnX;rbnnVMdLHmK@BaJ22$@IE1N7;t*nB*|i#lvU9-vcK)}!YEdUVx#d_2I5 zx_Y3gr)n46C+!P^#rY_L&R|_}zykVxLH;=YoPaOgPuh!uCHaVhUSM5GLE@nER~kT1 z9Q6E7ycz!1Z%?qNk5iaW0|EWM%FR$okXW%YCUb$ItSbq~G*$N-g<{fP6D-b0Li8Ey ziUXdh5PT#=Pq8i!@Qhw4>0=nwygpcpkCy03)|C=8BRY`f&Fg82E@Ks~kCu2*R}auj z&Ew-9x|DT!fJodS-&-sc&>Mih0J}3-kdJ!kU)B{Aq#k;ZgoxS1D(LK9z8kG?W@+~;{jgO)f40ax`JgrdLE$1SFOj#1H7oI2c5o39*l77 zL0Ok4$OCi)%RG7>ps!c0$HxP_sH+E>+WV+*yfBos!Ed0J;$sGShjpbu<7S{0;~|-gSGqEgjsa82ib(4 zV_CbNP3RLA3kmq#nb-Z=VBJ23VFq2@L586lS=Oy*7A|M&LzVJwZmGKUmhIX9T)?m3lD3&4aS09(4Aqd3=n( zi@H3})M_3d576nW%M;`Q`g$ccJrB^ktJdS=0bbPA6XXH+01H0}%DOy39-wPiV$<^g zow+JD9}n=NuAU$d(7`L~(enV^wrV{-9^geyJ?Obr@?eBp56Zec*wk`03Vv9_k4(HL z|4FbkA4AaRt1AsiFiDmsOM>tDDlQ*y&>5^N38^7VazFTST<~3AEzQR$^c3q#1JZc7 zaO%%X`eU#pAJ5Q*tSc$VGxQuw8|!(7ZsV!)WBzgZ33FgLY5yY#!AC*Nr-J}2s|*we zML9;WC?7M?5v?l<$d{o}6itl7n3D(=<0C7&r**{y$%?LMX%sD4v*>d6HzSV_dAK~W zo@^bkPR-*{l3e-1@Jo07hwB*ay0gKc;9>w@YT|K}THIGN8Y@L=&*}4Fkc!acp zmQ2|?Acb0w#~6N5hYea^N5I4VNe8xaP>b_eldaRTCR+zA2oeyB3iud!I(au*=49&v z%;6C-kDfW)?d)^gWPL7XE^90hbTMarE@m$4@&uXVb1`R8*5wH@2i?f-{pelFS@aq! zP2h7W^NYHAg3LiTvaCnXoS;iN>vJh{SyvA*K*i>xhu)=}MGvu>2O=akJr9EJU) zIg37I6|Ijgcu`k#kS*v)b}gxQDreC-tk&aWi{5jbMK^Gzw|KaBh+N0cb-|*1B+|Q% zv*;;Si{g%3=l_C5K{|OSdTya-SiKV;kMwTiEc$?zJU$08zo@Y?I(pSS5aD9edx5j) z=vDJTgv_Jo5xRBNJU-UweZEfOFs^y8}a_;{dq@@COftLA|S*9LkwZWg_^Y91dC@S^U1f;>Pct*l4S z1N6_T_4s&z7j^Xnd4LXCS&yCv=!;eB@$mpJYU&9(XR|)%ESGh8f;>Qntcy+WoXw&K zwhVm$_+wJ^Dmc=$DmwK-T3662s@C&7!Q!18uMT z2DB%cFoU<~;4t)yiAca|OM$YCS%#;6+V6LAPzz=eFgt zE>Dmv=&E(G>D{(j^vo*QFhb@5SyxYx8a}se7G+(YAT`icE9=oy13j}UHi(dU^wdBv zt(wQj1H7oi20WO=w>%uoj~)@5I3^RY!+~0ouP4zvbF=8XRrC1RffseP2ibuRTzQvz zb_AWdS)VhP%bI%7E306`2)7=+%QlPtS2d519eU?%7QL+QGJQC44?fK&f>yyKvSvMB z(8C(|(Oof_xHJgIM7B6Omv_4w$57t3Iq(JbsK zGEm45!N;=&tqWmCC-V>(%Xa}dms#NM&$k+qu*8G^ppjydwqA}ZrN(XP7Sb{I)C_3*BER(0?p%Pf_mrp>YbRK zC9X^y!OGx%OlU=?cc6a+cF*8d^$&FqjQ133^C$Ydx)0}vh6)3tVDki~fs!v!OV*}l ziKB@kmJh96ju1U;uylRFL_qMYsL7zB*-@*7Z zK~)h5&$F|{^@$s>;^%>v!CyvK@9;nmJckqFYFOe0lm+(U_G8;TJ1x`n~*F7qs_7Nlz4Ga_#O6^UE$=3fanT0B-b z2D`PwRz3Y=Ct+im?jyh&{-p}5O;LOo%9AH1g*&~Kih3O|Ou5b<(8^#GWOyT)=1ov| z@z|jvSg`sfgQUC^JCrcc&rNLk$N+M=7&BF%Yp!#8BC8FcBioE ztiKYtt7h-6WRaXgXVADM1J$v_#}l8x`^Dc;$b4e7s^}gb>h13vFXnOQ=Dy>mam2f^ z=7HhvBdcW=@04CIPSbQUz2;f~8a!4ohk^f#qrki>*lZaF+R-BH(o;Cvg`4q0(k4_b zjGQvYXI1``;pgDOP&fSI80^x6&plAxiv(7FogUx^W%8Z^CEw^ZT^LC;*8; z73DqTnp&j|G}o{Lu<)TM!FxwDl#Ui)L-azAGzi@`wC{FJ`)Y~|t1e&wmUtxbU2Ht~ zp$a^ep831b1%n-}Y$b?+HhGlV1)ga<^_C5wyEDd-AjiJv5XiZ}Q( zj@awkVOqsE1$6iC*VwUDF&1|9n?uGryw2*_CC*NNZY zUBd5bW(G^`DfH&Y2gYjP9m!s>uob45XG{SIn1^6tD@#0)_yd;vM?PST9UmTr-H~9H zT2?&fDnN=Si4=bU?hYQ|$D6|sNny_C+E#h=lOhS!RqvT|!U5^9JEJB$OZ+48&oZMV z@h@f^GP+ZSmG}=c3PvwTCE&eDy4#+^as&V{amRCJ^cjaSF)Tuv>L#ukxauU{*Z5cg zH+=*v?PMjTCCN0TOYuUX_l9#Pf`w9S&1z=!8&_hX8D6M}_7}=ZDyXNpAa zBcxr_nQ_Dzz@nz`c@U3O3z|j+O^1E?;Pz_p4^9pkgUt`SYWUW{I3LFS=eueOM`6;r zK-qJC^}?N|u}_VA8mHq}`kV={+^y*e5x%wVWX2#h+PTaaBI$f)43jjM86zasFykmm z^O;d3X#q1vNxFy`Vq*+mj2lS0 zoEgs}sht_mC#jPe|3?y7=mjM0X2uIi+RKa=k+h!~FDB^6a*_s^@d}cLm~jh9Bg}XuNkwM7ili}SyqctA%y1)jRJV_5S;|nA`#EdVJ^e{8NMAEmJ@nw=8Va8WT z`W`dBO41LQaX(2vWX1y|{g@eFBk3p1_&P~HW5$Cd{el_aAn8}kc!;FmFyosf{f-$A zlk|ILe2b(%Fyq@K{fQahA?ZnGJVMf6neknc{?3f=k@Qbye4nI$GvfzbDm9q#C`lGG zen^tTj31Fy!i*o2lw`(ZB&C`06OtG+eo9g~Gk!+WBxd}aq$+0of}|YA5IQ=9MhQN+ zohebihl->$MsV^xBTAvRkColSN2dv}klqLd+6`J>6tbE2fWnW8|z zlhUIS!3e=;UPm}lFh2F%P$7*@<1Hmys3DUm%6E7crPVz*A}!sD+wMBDi-i2BEh;Xg zDS6+3Cvcs$VoZq#b5TOw^CK$8Gpf#7uRO-Jr5&LxB1K5i=YMs)o8dUX^FGM_>p$BYKZ}X9RY(QBIzwMoo0asytq5I|+IA8Wp2$L6IhWRPvqF zMn!4+#oz&M(UXQuKK)0Qq1PZ}@)=ATGWiT94VioflZH$_gGoaspTVRdFHioYXMK5^ zm^kF+X=37#m#2w|g?+W1myZjCIppPOV#y&dPZJY|ygW@z9P;wyPmJ#aAumtCq!Iax zrAFj0CXL8nOd65Dm^31PF=<5pV$u-1PB`d~`h{~fa}hX|56}Oj1iCH_kL_#XN8wQ# zU61;ttr<>;m()nhvx*-5li<1bcNZr`P6L|*|QbJv~ zJK@|yN~*J-cbp-m(aj{3Qw}Ma&e!K2ei&YmJQFb-lYcloQ)S*zcP7-{L5jRRb={KY zyhTE$Ykc(>MoOm}iRI~xltkC!zC#<&Yzgly$1`J6k9eeXS>Fl+SWZ<| z#!_P$UWD&b%SnRoQjH|Rcc~R5!FQ>ZB*Ay7RV2Z8snsOGcd1KAg6~q7aw!SlrPh!H z-=)@)1mC4DBMH7sQcWbmcd2HQ;Jeg1lHj{k3rX-@YCTEtU1|eK@Lg&nN$_2& zl_dBswTUG7F148?_%78(5`351LK1wJ+Da09m)b@We3!bMB=|11oh0}!)lL$8m+Bx1 zzDsqI1mC50kObeQc9I0&rFM}7-=%hw1mC6hkObeQ_L2nOrS_2o-=+4G1mC3&kObeQ zt{|z28C@i;W5z*})-xkd(neei;Wa8>r?Q$Q{+9SVtn)~2RvFO_OU;TsK$vXlZ}2jF zwko-#f2e;9HemEGk=eq#ZMldy=d+|5Q$Q)v>{#seaLC?&0S@!*RiIg|a#E7Qrt z$s^1xfzw05(1QTlOGYQImL`Y6{B(S&X{_|0cqxu#^&ibCqWq_5iYS&mnk=Txl}T6< z&ynTFM*;YBV1-wuY`N7eIi5V0PVP^_YEkCi9JWYl5>~v+n5O=*(bD9zV7VSG48FWr zfF)O8v#W7Iq!P#N_3Tvgbn+TzuA&>h7EGgoUz)rQ>NpN3$F>)GbH!pFs=Q=@CZW4X zt$TO?2>~r%J2*au)T`YExkm~;JU86U4aw)Fq3Y+G#!_$L6{24)Q2L7KC>-^zz@iS& ztV+Hh4Q>5GAFoF8-AD3$aH?za#eQD7(fo#QN+oYhzQi=%ba=ejUFaX`9j@)*Vq5OVDRX#qaWsspK1yu-Lo=tLlbjzRP``%^5PPwYks4ZOON!p{(0YW58>tb+Clm zTN9{iXSPQxn8wScR_AM9UL2h0n|xaux`4NX;h|?*?0YEA+S|Z##>CO_`~c)LvqFZj zVxP1f=TK?#eZf93%gnX(B;3i&btHWdN`d8yyhI31Sc}SK2-Oa>0Y+uyhn4&otWfM9 zq(;O;jOY7XKdejmMDiYdDn1#BUue(L-Z>uy+TlkX#Zi3zzX4C2Y;5Hke>}dKN9K9lRafS@&)@0?D#0!5!Ny@_nz=dY4XSLg9&WIQB+Oia_=d8x~l$3 z@~7$KW67Vv)Q@iQ7tGvBZTG8m@)Tak(S_f_Y?GwNP2(yLiTa}hwLeg`r;M3;2Qb$Y zX=s)|KtGXqZW>ziPe6#}f>RKxoLbJz4!WVg!Q7bc;2)5oQDlHn&Cm$bTb?Xs1TU>% z=1yK#%4X&sk`m0^Pf{r}yGTkg_$egLB2r~AGpE8}NiLou&4~}8xl+gwTDYxO3GcN) zuyDgJ(m=3q`z_KyP?4@=W*=2EixfT@Hqaig|F5x7Ke*``@x!eW*#E| z{Ep!EDLV-Bz3e?*6LxeL`xin1#zw*o^@nE>TQOpm4U`2eTp?@Wvt5|^chEJM$6rAb z%;Wcx1oQYkBsJkL!-l(R^0?Ie3Xk)=7kc`-0qRau>rySy4W!m%UjWbDKElw-%x979 zHsb>covnpChLHg$-WuHY0m`@?US&w?VCFSc#16=f`-Sn`C!OWXTg2}msdx%jv*r>Qu7-=9_xbGKfAOz}YVCKuHlzwKuf+U!BzltQ7c3Zdu z80AJL;yz!bAro=eF48~j;_UNb2Pzfha5J{l`)@;&*H zG5pmJOwK0^#lESSoXfalmZ0(LQm@CJ?+q0tsWTuYo%P);br-ywr9K?wXcl^=gY+ES&CK@_=ReNO z_mgxFGe1bur;zJzZ-Rn_`@#|Pah$>(-$(;NeGafkQ~8{&clpdxUnF9F3C#cHDqtvB zG>{#-zmF1#2Y^Rl?eU>}@nl!cA^0IKOMRWsl~NBf^W&80A!dG(q=ylg+fSij;eK(1 z5(Epkd?Rf_UzCOZ@Uv9Pqu_=j3;l?hpQpITnE54=ev0L|Jq`*M?&LR^Ws@%tvPl?y}4-7yL@jL$yBfSf}kNABm^?2$D@Tw7{KjL)jU>f57JfV2#PPbC3 zzfQOY^REZ!24L1@;ihl|5`=TS5mkMAfgcCK%W>*IX~RjwB&?(c9bPbF@%=9b#bZI&SG(>uO+Ne#>0Q0qOQ;fhT#GWgI=Evz->2t8e*+~N)o+T6RDbzDvnubGn z9Y_Kl%nEdn|ACY>%=`;Umn98&Zj!tDiv=7o;j9OrqXc8ui61PkX6feiI&cN0 zTm0{quIC8HJ^s3w-jFgTr@_5@L8yaiSAWmgVQ??aPH)08!QpG}0_Tpea>>8m{$g=# zpjPBaZ%J=W8|S2f1hw9yqfhLyf`(rWadA~gy4;x;x;Sp(dF*pQksVO1Dy?y(Zyph zl0%IDTL!)RrhC(U>2x7|m{}$jd1bJx+KG8f)A0VA;Ki`?5at<74>S0wCB9{)j{;{8 zf&WmgK|Btl=`l<_4*p~pDrX>^6+Tv)J`Pz2hVwlveG*GOk-kcq>8o$I($o|DeG&az zbknJI=~LjZkzF&l3H9q&;eeT zz8*2$z$``>z#S{jPKFkfFK{gV0&1ZbGOL0TzL;56B;ACgB%GVk#T%I(;g{kgTu8qR zJ}~hiA^mcApTTOTQl3|`lB$x)*lMq4)(nb!Ewj!c33k;;bmJ}v>NgVl6+cVAA^k?o z`6gzaOX+VjjaJV%oa)jzYTE_&AK(`?56SKDeI)(Xbn>eR`2wos9n6|f(z}?okfir8 zYY|EBV^%Fm?`PIhl0Lw!l_Y(LS(lLXVP=tkG5t|yk$*A$F=mmcEd2>)wNW~70LroY zc>ma84jhIZaQ1nd7AoH12`rh1# z>EqF6pmjI{P2XQ-*y#rpf4#7$Is>f(U#>R;c83Ef;Ol7s_#m@73E)F4`F=w4FrfKX z6^!!_z?ViM%p=U&MajO$l6O^1(hjEd3MW-cOlzfYSV& zCBH}o{1OWIRTaz);4Y>quRP1-3^Dy%K0{3Z4$?keWt67wfG?+n=?}qN%e`EGqFjH5 zTu)XRNyuf9_b2@~W|4y?4Ras#KqUF6DPt zHkDZ~rgYPp^%9b1GV5lN&SBOqB+X>4TVKS+|l@&8#<&bOE#8Owv4N-A>YlEcqX9 z{IZ1=C1n>?8L5fJUm?v{wwRl-Yzd^TtuoSR{M7^zrZH-h$(K}Cwv=)$gIvq2j50L- z+o}4M%z6(=tC@8tNtZI~LnN(*9|rT$tSrZ@yD6?2<|Eh~g`@OO841+BC2q&7c22CU zr3~FYWgA>aFV^z(I(j*7R@Pbula0s9HZ$uJbhBHSbuUTVgqQ13zR1e96Z6`cbst^r zWEQ=El$@a%GwX*W6`1uH zNqx-v8A<)j`Xxz6nDrZy29riJvz{Pjgjs(gsmQFqku=6EnvIklW7dBt?gXV=^f18MAExpMFypNPtw@H>@5_12eU6H=}uUvK?y#XG&VAu zeB)&wW~n-QRzC_)(%n@COFxh_TA96@vfaZ{%jo*2;QFVlj0|4i#O!@^{XUjjLDxSI z*S}C@l;icy%)WxIe}&n3lI~}A4@qB38g0y`4zlbU%)XMMzsc-DlD?HRwlI5ylt-9N zPVBPpF`K-}Wj|o{NxJ$&n8qE4g%Ygn$3)G?m@T?QcyCVh(6yh_wO;_u`3$V=SIidO zl)*c3M)U$b{l}muU}e9jr}PPCUrW{fF==dN_VuJZnKZUBTXd}Okzq60 z_aDsIL=x-~(n`{Qn0*t^#7t(B7nIqsn1x?u31+{Nu9h9OJ&zY@gSdfV#x8;zf|4(0 z_Qxr~VpzpPH(3iSdq}Eh_9y9T1GDcXX&JNcBMBTOvdF{zjNx|+C~_6Ezd#8tVfL3v zTEp!7NxF>LUndD%_i1>oc@mb}*ZIHMR@(mZ0X^!|Y#B_Itl{XasAAp*9LZkIgH}KZI0IB!0;Z13D^j8XwuG#%%Mp; z8)FVl#o00D&;*>FU=B^d*;UM;sWm&roN6lkG;^p+W7jZ;dNXz{bC%H6>zGqd(hba6 zN)o(FScgtB#@`)Iuop6?kuJO#*mO8Q(976O{8?l#Va_VL^s=OJIdiDzW3OP&Wfc8N z<}{P^YUZpb>9t8?d(vo!wO??XhQ;2%9GXV6H;IQAyt<<`_#b1p@ez%^C24dpr;Q4D z8*^x?%-+GAc8Yr!b9RsfU1ukAsOMvMFo*g$b|-VFH)GIs?qCjeXzatxp$?6GlsVL! zv5zHY3xZF zdy~e#q_IC~97q~hB#o}5aWHA*VJ#ck@j>Q{6TXL-a}`MsGv{iOz8!R~*4N3|8+(M$ z!`OE#O4#?Rj0%{CIoD9OM<-%%Ofivx+&??`JMy4t4OEH4_S5Dw{HM85Np? zLYu0LbFfev4Kgjvq0t}%vufqu|U%8 z%%MRd1APaL2ALhOK@ji6GrO2W<3eT+b7%m_>|+iM02x@{nBv||KG}C!zhbFt39_IXpnzffXkCSwmIW#O}u4E1k3mI^MQHgv!&Tu!_g3K^;Xt2l}WzN4S z_b5vkB#pB~f+X;CQSL$5`;uk2yK6z_Dwasn^;0ZSM$&1PpjmO|8kV40aRxk6Wx`jB zV=BvB2Nr_+xgNG}A!|I3CH5rtLU)|`Km1&@$#br_j#6A=*9JQ+``6f=mw6#LK{GE( z8|Nl(fem1&teaSZ=HQu^vcz;kb+d~A)*^BQxF8P@+`g1NpE6_1tftti5${mC}o-3sgAd@1dV%{x3dI|dzp9QP$TN# zCmpiPyQz}*ump{WnfJlAQglb}XNgUT&1jtuump{gnGdl94T~9=e*cA@Ntk|TVER29 zp2@@|gz6J4kt6AoEcJIv_Gw6VZc}vx`y3lD4v9|}rm<7^ zS-|x+$@k*WuMAo*QRczSHxTMW_?ZY^`ROwe2o|33LmGbn!?Sxx1Hr-*dPoDo!gF;< z!>?|5Vh(8_Sa=o=X&_j5;tgr|?F`Sd;Tj4So=ig;2o|0{LmFbilVwOlEO<@~X@~_+ zgCPyE;Mp#uAr?Hrg*3#1=dqB6Sn$*p(hv)tr@}Q9EIb{BG{l1Eo{)xE@I({R5DRXU zNg86oQ%FcdEO^!kX@~_+4j~P(;Q1h=Ar?ICgEYi~XL@iA1q)B;APuqLu^Xfz7Ccac zG{k~OWsrtg@Zby55DT7PK^kJgQz}S9EO`C|X@~_+lOPST;F%FzL&3rmAV@ z3!WrE8e+lI0Z2nExUD~Fhy^$7Ck?USw)~_a7Tjc?G{l0Zk&=d3@XS%tK(Ooae9=-` zJq8l!fI{dXfetu?4ie~qMCc%a4p@W^66k~rU{+n!^LSr=lF1Wn$S5uJf%_S z93L*95<16+s|H9%$*#wRO+x4RaA}j!IX+z6By^4smn#XKK4+)*)!?iy`=lF2-59uh`^|%m7=o}xekq|n^hsz~|&hg=b388a*xIRJX93L)K z5IV<)ixq^<@!?tnp>uq=>_F%oAFekb9VNRSzwry5IRVYe=Hjt@I_p>urLwF{l&!#urL$qSw1 z!){*a93OV{Lg)Cfs~0-Qhn>C9IX>*}h0gI|KTkSJc0G3aLg)Cf(-%6&huyx=IX>+8 zh0gI|*DrLA4?BOMb9~tS3!US`0YK;+9}WRR=lHPiCmkib9)|&;b9^`u2%Y1@p+M*y z9}WgW=lF0q5IV<)1A@>wJ{%H+&hg=(AasrohXtW?d^jGEj*?xELxa#cJ{%l`&hg>! zAasro2MD2ad^ki1o#VqnLg*YH4iiG>_;8>QI>(1Yh0r-Z93x0a$*#xYLg*YH4j4k` z_;AP&I>(2DhR``a95#f`@!`NBbdC>)4xw{=ICu!1tC>A=} zFDu6nE`cubp#-|bhZ5)#A4;H0d?UK$rMXviaengolqGSvh`i39d{2p#-|bhZ5)#A4;H0 zd?J03G4u<40DGA6$a#5+6#SOMEDSF7crRy2OVP=n@}Fpi6uxfiCf(1iHkB zlFg4mBYb@P$jb49OK@G{LkV<=4<*ngK9oS0_)r2};zJ2^i4Ub&w8En}K7?x*YMX{n z6h_;}hdMzit#}w53gbhEhEH@3^AFdsgwb;-h~*y(TUo`oE54Ji_*TUuEOmYI>)-^b zfMXu2CMIR6kJ9BwS@LTn{fH(1O44I2Ws&q#mYPY@&sl0UNxx*N%Srk*OYI@)w=8v- zq{mt6ev+PGsUMK^N0$06Nq>fA7&SeGQL*B@Ka#FhK73J`w^yLEikxg+ch>i2ERF`G9jv>18U5-4h;YnT&f4lK6wnlH>wTGaNw`R zNWxy?Rk$+){1BlEw_xBQ?6$x|*lU4@u+st$VV?ya!Y&Iuggq8`2sT5)JcK;34d$z(d$efrqe@0uNyy1s=jK3Os~8 z6nF?bDDV*WPv9Z!p1?!cJAsF=a{>=x-vl1Qt_eJZJrj5cJ0|cD_DkR)?3Tbo*eijD zuu}pLVV?vZ!Y&Csggp{?2sT5&JcMI340DnN zvSsvu{R>7f$W3@o1`pwA3m(F`6cAS7xeYuV65+52M?`p3ghdgKif~MX<03pJ!s8-5 zA;Oa)yh?=665%NkUM<4YB7C+8uMy#MM0l+TpDV)aM0mXj;S>gbA8-Z(58(s`9>Vzx zJcQF1cnD`N@bE<;VmM3r3hao!dHv%H6nbi z2yYeP>qPi^5xzl$ZxrF1MEGVA-X_Agi12n1!YK;;zTgZ69>NIU z;NiPP_#P3ySA_2q;T;WtG1kO;pi!iPopEfIcOgx?Y2BO?5+2)`%7?~CvUB79VYKNR7Q zMEGM7J|@DSi14Q(gi{RoeZd(9JcJVrcnIeg@DNTf;31q{z{B5&@V6rTod_Qn;qOKG zgb4p2!as`ePa^!Y2%i+;Uqtv<5&lhte;46DMEFk;{!4`a7U6#=#1jb+r)i4N5}_?Z zM}!Fst?$DQ5hZ4+VNJrHzny}S2*bs9n^O&=?c$5>GG}aA^f@E3=#D$hb1#}~o`3OO zX3bqD#4p)$@kNX7GPAoD-2oZwNe=v9W|;pns!B~(YOb7=fQS+pqoLGECGh|GP;$ap z0Q(s%h1fD~iLuSSVUJr9hIPpVW38% zgNj9T71WpnH68})SaeV);yitCQ;2?2g1RaU)U%?4x;hT1KPjM2OHj`a0|o0wqjKun zIH3NlfO@V3bzK;!>!X8uUK~(QDxjV(LH%DCDEQ4mR7<_+se^j41a)H=sGFjLip43_ zQZJF9UK$4KWzj*!YQDcH&3Cf|_3|)KuZRvRR`aQ#Zjqo~83yWA(Lu#}`czP_mY`k} z2I{rZLB(pmzbegls|5ABFi@|L4(g3@p1yx7pxz`wy*UijZP7u+v6N{mpxz=u-5v(& ztaIA=r-J&h1oe?HQ1B~?r~`7W=1VBecee!fu`p2Z8;z)-Vl|%% z>Jt*wJz=2W7a&nVeL7C_si5wapgt1@>a)>7#cIA1rTOlYpgtD{>hsY-#cDnk)E6YE zFNT5oQgl#XiPL;4sIN*;_lJRcAUde8#{o4%X}$*~sBeUUf*%+~CHliLKpBS=P~VcE zz8wbYJO5Kqk4RA84FmPP=%8ZFJi8T3eP4q5K^UkOL+XL zgZjM$^+Xsb__bkFP=AU8s#meppCza#!$83gAESc$+fxVicM0krVW9pQ9n`<$fErUQ z^&c0M1)E%-@pCQio)*zkmi?4LS&jsi2m=-U!}ikws#JnXhJi}`Pc4;}pvuBPvB;pz zSezR7bIQs{P~~BuDx!mm<+4^mO_HE0!$4I<2Nlaw#}rFVmY}ADfr1S+B0ha)tYPMa z0&1EBH9ZUz?ClX1RIDCd1vOKGniU2Lwi$^ED$X3;P(jU>pyq^uf*nsHg0f`dw3{-7&Q1x+U30Enc%1TfTVW40)gos49mOph+jS|#~FiNO&$c$`u}ZIYbY9LA}($e`kJN(Hq=g4!AeYFl(r+v9Wz%8FR4U4rTe z1J(HqgHjfsT011DonfGMJ;R_*D^K5U32ILmsJ)Rv#e17k7N1)CB&hvipbkU^Wp%~j zlnP3&$+N=N5VGl;)Fb@~p5mdAMhBR8akKETw|FQa0a_u;v?x4r(Y4 zsOuF=4NFiXVW5si2NlawDyX6aH5vwLEHbEgPv8G3mKv9!j)j3b9vPH%GEVcUpstdj zo)re_)c+LJ)e_X{Fi_9_pMttZf_hFEsB0sGipQxJD4ddO@~p5mdAO^2)P5??=UPJr zCD-IxVQcbmgY}4@;#um2iltsCo9{(o%?G<&KBJ&sq=33ng1RXT6zr}U6;!OBsHmV` zDnY$03>0ki85LA4ugi-SOTAozdPNv0*mE>0s95gB8x>Hml%QS}2I|$3L0PeU2{$RA zUL!%hHVo9Q(Lu#}8GVTY>U9#->%&03Av&m7lf0KIpx!7!y(tXTo1=q@HJ`d!0d<=M z^_DPDw?_vR>%C6}^;QY$ZDF9^9v##><4j#{Q7rW?3F_TppkVjkh+Ts9zNZfA4hic0 zVW94OhC#hjvD617s1JsL`cQOGAC63bS1^&<)D$6=sgEBdIQVtHLuOZ`NG z`e_)bpZ!lk{ak|jMHr}G{!c;uN`m@z7^vSw2lczBPV~nmsNaWydLlZgSo5jZEB(|T zB&a`zf%;Q)P*29OlnUxE64YPAK>aN`s95tU6_i}PXoam_#Iw?(b_q{|rT!%?_3toC z{UC1FHeX7D zN{4}h!%U)riZ!2lgTJ4$nFN&y0|n=*LfNKli)KvhNu6>C1Ff~u0BCWnEV z5*^gjV5zAR)U+^A)1!lmHJ?%~HA8}$83qbYzlqAJrvcPC64dN4P;d~>{}9x<64ZHN zpy24AsGwrar_|pbnSb)I-`XjD+KR_?z^>8Ip6PdjX#CmvxM5tO|k&U{J*wNN(S zMPbbcM=(VNwfL!nS|UN!hJmV!3@YAl9Nw%nU%dpC4FlB>8I-*&j-^yk%O$ABFi?F)%u^_L!1{H6WwF*kE^R&a(dE(LQ5iMoK za#_DyX}-hKQvG3;x-v4Tc+IDRIwC<0gn=523@Tppsi1}=sNpbBBhf*{@=v`-X+F94 zq8+yPq8)wjMeAt*CHG#m!}eaZqwl@=bbvZ8o9{$e^PT*kHlJJ(Ylp3fwf~D1u}?$u z$rZ77*os*DzgQ6)>x+X*^lN1EJtwUBu8rP&v3#B?sOL&h*M)()J~Al#d2!}b?^U`4 zxgypMTM=tVUlD7^*}%xSLjm<-X{j5-EOk?4OPR4O^?n7E+@;bE+ojTuzDuS3@;J?> zf_jCt)Gc9_dSzrw#cMtl)T<<@SBHUmO>|JV#pbnS zb)I(gb)ND1DHYUvW%Io+toiPU+hQV&W{-v|Ts zP-IZ?x&#%}HzlZt!$5s2GN^bx`ezhNeOrS1P8g_1B7=%YbQRQhC8+O(f%<-AQ1L8v zpJFMwcGwPEJ8VZ^I~;F5rGk=chwZSn!*=ww!}d?(ykdP$vDD9G^Zh)m`F;_-`F{1( zLH$~S`b`+9-$n-&>o=pHS1cv>^R&bE^R%Py=V`~<{Y?e+XKAS?!z}fe=$4A*b@_r~ zslQ54e+vWk_voPh8HZCUD7hlm4qFjxM_&=^m`@p$W4V@c><~*iPGn0tC2>G~(cgTI z+|Sbq+t1U9zMrQVYm%peN=r+Xg;|P4wp6@f=F5tu?*LgZ& z>pY$4>pY!U>pWFZa-F9Ww$9UuzRok=F!O-Ye2ZlBEe>nGC6Svi-Y}zrs+FMX!a&tW z1{H5tr3V#DWhJPFFi=bXr=XTeP|L$WH9o_jzM)uZg#@)S4AiP;7}P@wD7hlm30o2C zL|+jbZ=vAB3aB;GQftF3by;*v#abk#rl1p3QDdWcEZ*UJO9Pn;aJV5f|6^8ov^jT&VR9XI9BuhSZO}FcGwA9 zJM8=yYlma?Qz|IAcGwA9JM2VXJM26SpyVF0PS_r?PV_xu<8_{oDa|)1`>CO@erh;+ zKNV|{yb5YWf;t)osu&qmyyjCujY?2sVW7sNgE}7P4fzSB`A$esC&NHp6&X~#Me-`B zXGu_}!a!Xe8I&34^h`rJx7|4{K|MPR)HTsTeI-uwDd)C3&yk?64FmPu$e^6-+&}R z)Jr9(mxY14IXb9VU$InBa*tRiY>!wc`W~_Iexjm+l6%BDVSB_n(f5dr$Em+7%_sMW zb;9jYlofaYloe;#ObG0P`Asc z@2z1^-`k=;eQ%5b>i^lh4ge`?E}XgBy`9@D>~XZvdj}~30@8c$ed)bd8%?FCSV5W! zf+7kEDn$-N6r>19QA9yNY^aFcUulB!zhrl2likc*Zufw@BP!1DHt);J%X?oknaqra zI8UuMje6D2sMn;83TuHQ$S8B~u)}Weup8>`MtwhI8ztR!Nruhci3UKci17ncQ`yx@kW_@haGl%haK{Jhr^mrX->{l z=H6k4-QHn`{N7B+dJ%#-#Z)@qiZhCQ|8`bhuz*`hy32*@B*DT z%G^8bu-iNAkl#BT*40dqr_8;>4!ga>4*9*qVO{qH8D;JrcG&G5cF6A?)-H!L_Qi5B zW$qnz*zFy5q+##yYmpme?j3g6?HzW=?;X~{+5V=vIZv5;haGl%haK{Jhr`+x6l9dS zci3UKci17ncQ`7XPvvQGoTtpa!w$Q>!w&hq!{K>~H_F^Q?6BKA?2z9(9M*>_wRp}` z=H6k4-QHnG8uku{m3_QX=H6k4-QHn`{N7>hSU8lB$a%_q@36z}y~B<)ymvU9d&nAZ zl=~>i@R;)YN)My{GmW}tW0Vs~8|91&C;K>0Iin4uoDMsqoYF>x7gHWKrkpXRQ7${9 zbZMi)>ptG7SkoxCol$W&)F|`4!%n;R4m;)FI~-n2@t!i@JM6T3@32$;y~E+fR1z0c z=6i>ocJCc_rs2KA;XLxI@kZq|V=9+jOy!o2sqj3No%2*4(XZx2xpi{=3=U(X;djY zqe@E~6<(m{;EXc&qdV>PqdU{EA3dx|9&ePnAKhuUAKjUT{pkON(|x>A=6-ai-F|eZ z{C@QCR)=|`%>C$2yZz`+`TgkHk#Ibfn~N!PKf2RyKe|(XKf1GK zJAw>;bGAl9kmhm0xl@C`c#!7oIt^!-E)ZhKJASDbA^W z$lIWGqII(5ko#6 z0pt^6$hi?f&T~E;(&gnKY@ZQhhKB8Y(sCM93)rxo4?(qn`r%oN8kWh{@SIu061Ilt zpoS&1hUH?ntPoca+Ih4BgL42D;^8F=qgRPBL)}6Hg1TiTYczz0b0w`|wewXJ?IAqT z9y#D&pv1EI@>kg6TraMotgnno7`k(fKb>{wS~w%ItUF(a%A);o{TgjjLNpZgKoJl8 zNSe06qG>7OdPAGG(d-YdX&cR^ZFX+)$5fzc<;p|Twu`F>ZQ9%ZDs<;o;yv25cbq$* zX@nJ_NpC}CTj9?;v`Ozfcls-wgk111LWyOeLhQg!9J9rFP+VqD&!-&w2DdeZl&;0S@1zU(UZeN?XI8TdVZ}*il+v|27_q*BcBoz=h zyPcoI8ELQEc>>NH{#ZIjD^GHOiU$gNpqK{=ka+r1+_rDTMo6{oTjv>Z0G$^1g(PFS@&&dyf0M0{Bp#jDK(-kXOVZLv#-EIeAqkCygo=N6 z{sA3J{LKzte~V{J+~5Vu=f$4CB(_<~^Ov3f2<-V!j2L7O8Xy>n--4HkIh zt(Yh&kHkdBIQ-@}g5Dtg;VJu8Ow3-Gi*1f^lT%_$w1=cId>1e-WJ2m|lEJv32bcC6`WAQ(hv zLNWRL6azxY?3ny91^j6kfX=rmCQ2SUFiZA9qS3uXpB&~h@A3Rp>i=f<#9sg z5^~Dph03MmlqU$4%g8BD6e^dKQ=TMLt{|s;mr(gOIpw>B%9Z7m?-43jl~cY~s9a4> z`97g?4LRk>Lgkur%2R~OwdItj3YF`~DNhqB*OOD8E>vzHr+mLqxsjam1488{a>@@1 zm7B>a&k!oNkW-#1RBk1wJWHtDMoxLQP`RC)@$ArqglsY<)?(o1Lc(G3Y7=TDbEus50z7X zTBtl+PI~nu$`8sZFBdA$lv92|s61Ov`9-1f!*a?igvxW| zlwT4mKPIQVQmFidobt;;<)`G7UlA(LlT%(LRGu%VyjrNdP)_+(q4Hum<=2GD&&nyU z5h^c{Q(h}neqK)bb)oWdIpsHm$}h?(zbRCHNltm4Q2Av!<@G}4RdUK3gvzhVDQ^@i zuaQ%JOQ`(1obo22@|$wXDMID-a>|>9${XdBw+NLt$tk}rRNgG7yj7_Dww&@iLgjbl zl(z|$-<4B-SE#&QPWe5d^80ei+l9)zkK~j;5Go&$Q{F37J}RfYPpJHvobrC5@^Lxk4~5DnqeA7M<&-}aD*q~{ z{FzYscRA%_Lghc@l#dIQ|B_SwT&R3rPWgmT`J$ZiNuly(IptGA_pnm2=7|pA#zQmQ(&osEp;5e-EQnVfQzP`QPia?ek-7Xf5{ zF=T@XAP0yc8$|#)Pz>250?0vP$Yv2h4i-bUhyZek7_wCakVD0gZ6bgiCWdSm0pxHo zWQPbKM~ERiMF2Tc4A~_D$Wda*ZV^C^7DM)k0CJ2NvR4FwfSfOed^rNh1!Blm5kM{!L%tdTc+0P-0zWPwa+w(Nod_V8iy_~Q0P+PfW z9|7bFG32fYAYT$g?uh_$r5JK=1duO_A@@fB`HC3wKm?Gh#E^#~fLtwx{3rs*SH+M= zB7l5N40$vH$Tecf&mw?aD~3EC0p#mq$P*Djz9EJ@6#?X%V#w1GK&}%*eiZ@adNJfT z5kPJbL!OBMa-$gX`v@T45<{Mi0CJNU@>~RvDPqWX-y?v0TMYSU z1dvB7po%3|S@u$YWy2auGlt7eiKv0P=G&vkf+3u)gplWLJV0W0?5;1$eIyAekq2m9RcK5V#qoXKz=QTtQP^~H)6;J5kP(` zhHMl8{Ll%0=Xq+X|J7$tkxJDwmK`ZZA|WC8yj$s9Z)) zxua0IoSbqep>hQ|<<3Io+vJqH2$d_#DR&hrSCv!lCRDB_r`%nrTtiN|hfukuoN`a0 za&0-~UP9$Ma>`zzay>ca-a_RDa>{*#%8lfd`wEqt$SL;|DmRl;?k`ksA))MP;JmE2 z44Js5=&hxcQ5C(7K)IcSGRhv~iqZYbgW*FsO+70j}6 zwH(-CmY&>chdw(+pOd0LzCoWCouV&D(HFm?KieZ&f6g=2Q-8Dm{04nRivCK9{wlz) zgHDb^c~Jq~i)x^zs0AE-A5R&G9M=$h9Rxbkr4NHonCsqaV7T%3XqVo{r4MxJ0(nOP}u2*TH`PIF9)^%T)TcK=J{j0w_j*OmYNW>ZqXp2FS<m@wBF%6#H6qcu_Wn52B<2;>WdU~_z z=`E(G-?sDgR>RY126Pqq6i;`EX$aD7oTY5&2-uX-$c8+CC$;v5h{L6d+k0+rSp1#&D|AsF)(7NPV06yo@^9}R3`jG>#c%QW*^eQo` z9icH`wx7$JY!yogjA1r^kbucaXsI>eFM#%&l_g1Ny|v~((EP(%^J5!LuDMC*QR||A z0hMy4Y0OxJJSm)_!)lO*UO;c64Q3QoB~i2iMFW{a1O+(P6vVjnPhI*6mwv{jPo^hq zOwFJ%MZT1_0b=R`#8fm|4l#8RVhVYpG&o|mK}Y^HRC z&GdvBVKY7Q5%!Nngv|^)!ay^X2)k+#VW4@yI&2_K43`Qmye-h9oF#^n0xsqTBEka#$bm@XmPci*lAK4GyUQSc|j6-$T|) zZlI}O`!hRL`zLApN(dad7oJq4W|ADp0@UdDfK&1 zB207(1NGNNtx-ET?nR%YQ*gYb#cJ`oR~x8J((W;a2CW@(UqdimupAm3#?auKl9xtK zqiA3xaq+@fMunf3UqdsIi`8c_LVj=>I4~+1wZ{f)vk1Z;-8@RLK?6{n2$F@hEMPxu zE}#@L8MvV^iiyoeNi6~8kM&SeYlrmMB#=2#O;jW{JIEa96v&c6=0bZwmIGulT0F>d zf-DwY09h`O>DoOY%MG$Ptv|@}fXq$!#~6m;Ogs$7VmZ>vmnPCGkY>_aC{J2YG%ci6 zD6OPbBm&X`+tNZ>#pFl}P{SlG&`gE2N?4HA!Xz!1HMwxJ38*9uq_t3y7AlQ|yyUx< zbBTYvX{5D~BdwA^GSP^TRw*EvC`3rBG{}6URR&}}(kcrwA8C~XnUA!}gUm--6+q@A zt%@M?k=AV>lO(N5=FCof%^h1s{+fH0rzONWKka$BSKAnBqNe!Q6n-(* z6k_XeQ`o;f1FX4+o5B9=8Dd=$))ZpvS@7H6unb_W>6>20HUNM|?EDP0R#BQ){lZ zGA5cZ%Za+CwX&IL!cuO~8ia2#f?bLDn?@b1L;zAuY+J~*XzdQjw04kbWF_JkV+sUk z7E_@1W?WA5$K`KKT)O(PemO54ndd#jtQ|-qv!g{XotKTw&YWTLkqH%q8JVCd8JS(v z7MTDn8<|iv4Uu_XGBRsxEwwg$WVVSgGS8cl*#;uB8;#6b5SiWmk@=e$nZH>@W)CAW z9W7BZtYM(2f0@W+yZ?f8TwVy5F)v8OrO8aa#Qi@R;=VU$cM^KTGEI0wh5Gke7X?Dq z+nK8-eJw9QrdnhjpnqkJ4%`Tf%%S7Kg(MAGYjNWN6t!Ljh_xFJK(n%CCBE^%T%zf3 zeYIoRaLxLj2|p-+kN#(ENbsNlrPGk87o-w38oh|tp^dO+QAn$#Rn@&wd7{chl{XW2 zJBqo6Hrgbv;QIU;x{qJ1Fs9+$vW81E7Qqe4Khm&z5hud%Ev|X3|V+ zKh0cYXoepEFG>^5#c&6}i)kU65t2j$pt3{*MWu;mRGNtf(B+7R8{RL<6Acs%lW0Iw znrOzPnP>oAmT167X&@RXod%-0C`mLg!L6-LKr}_PDq1xln!HivqAK!4vnfju%|(-F zHUZI$r9`s=h-Mrmnrc8Q<0;V;0#cbkiKZgRCQ_ow1F}heqPb=g%{42cxy!ubw771+ zNB+9~lBWrbMd^Iqeu?_RxNg4}+UUD(zYp4IdEGwQY*o;8JKT8)+7^?9{t=kXU$_55 zZSd_l5Z-5+W>w$)g8HtcTHlq_>U+Sf&!X36$oJY+PxJKewX0mO%`|&$mR+ySHU_=u z$#B(2=GN8CLGNL9HA0KFU2tZXBS_JwtY=8%s&9^X8b&l%S!)`%yZpBhAG03x*t=Z> z)cSgjn_ZKlzgpH$rl-v8`iZm-dVsFQSfjV0=*C^fr_wsI5cJ|U=*;y7Y3AFOMT6Hh zv}n)0_J!#UwrCVIR%rKYbG7;ASdl^|r8jh}=#qv>sl*}+_yJ~;h|ERF5HS}LEE!n8 z3b})!5N_VAIpj$Miq5kRJ3=DwSda*4%9F^u)+7Q0iFwPMST0Kkwx^jy9BCkt z_tQ)wfG$fS(9ATDh(nS@UPf=hT7p+Arroa9fa8PO)7nCwL^j{Z31@nfh{Gh2%|IeM zDT%BE64^ybqy`YiZb~9WfH3w@5?Khc4=9P;53;>}61l@9kvptNWMAqea)>7pM|dRS zNR>otjEsX%4&VV^7dDFA6DrlBRh)r1UA8<`01E3!3I5Kz7=f z=Gu89&Ed>q-Res-bqf1a=VD0ed~KaN-?C8~lX~j#1A2^n@<379_?@&NiKYji$&en@lj0J`;-5pL00i%Dbhcwoh*I3&*)n1#;>D|ukj$u0c~*MqN5 z^2Bh-bJk3rA8GOwheSC?lP3`p$6zTxGKG#q2&>n6Y1^|03{S^tU{5!&%RYCviDiM3WYSi zz5hwG=#}MBt|%xr@%^$sH*I!nWw*GU@W%~*;>&JuC#AS^Y;yfvwrq+!7d(S@8$5&N z#wqRs$?k%0yNgGo9s00MuHWd-+;d~@lA)e)m)_wno#HMBWE$AM?0a;~T|x9flcCVb ze0nU|UD03dG2L&XqY#hd&5g)h#dicMKF?L0fD_1uW_K-| zz~Cg}L=z`yvvoF{jVj!UvoQ)D>@gL%it7X_zQ|Ragp(*vJ)FegWXIV}oS=%6aWYkC zfRh=895{!mz*XEZQ1NAd#q(0!O*gn(K$?5%r?^|ExZ9_=JB1{@XQ+oG&|MumCPVE1ZK1FclWW1z86@PKy@8g=oxF}oGeCWM}2Fl$W$|7n+FS|h5IZPi8cfW=MqkLSg@;LB(_#x9L6)=4`+`SgFLwrSC z(KO5FliToZ)ciN_ZH)Pqa3!-G>y!KBd{P-#rl9L^Wd^hgu3`Zy>680Bp+2dKtJ11A z;Hpejx8vK*sscW#hO5!CZ{cc8+3L8uS=Q&18n^~6mx60BTh}xHhe7E3VB{btk^ltSaD>I=BukyA9W2%GSko z&9Xk9)Wh{?x%Y5Ard)ko-z>-aWQv?m8sG*LbO&z0fHuSpEkGrGG9|<(Uv6;kp()e| zH=;G}#f_L68{@{bM)&?5?)_!Ax<5uJ#eJ0Fxv3l?VW@KkViVkiB7TOOFo;cYQx5S& zFygdeME5BJu^Dbg5l`c03}SQKoJ0I37;$qF#5 z5?ttRb^pmN0>h*1XL_g_5tB_4ccTEJ%pgTwIB2DhPJ_y@OP zywDc6rCy-pCbSTYnCF3~FUh+&Xh^oh?Wo#S+>TLekK3DSQyt7J6q3*^J44xZO(=Pr zrN7*;h0K^&5X>@`CF7z@pU&c%?HiRk;10z8I0x>)*xwO%H0>vB10BmZyA$q2)nafb zMy)gMY^nt{d$y;MrHR~%6q@a+W?7PN_G}vmG0mRMH+xmgdfIbca2MJH9d}`x&=q&3 zP2eV--EcQr)Q!6_MZ4qfzM|};vj^@$i^k&~OwpdWCtEaR(wT(jcn%oH7hhX^cwTu{|eJe2C^$3q$YVR%?TKjdON2|eM-54~Zy*_VXF z@o)NKXooM&gkasW2YNAdSMKY>}Q4AdSYODN<29nn49)Uu45xJi9OQSnq-5*?p0xoF#2B z?7oO=Hsn*RMo1!fz`76LN1Id^-^Vm*GKTlJ7#Di{IK9}@*s^-QNsB$zElcuETI{K0 zS(0heVy;Px=&B24tSNX3ZA5)Mg=xf8JT=e=XnRA)ASXLJSmG&fS!-+?3Oo%@qri>v zGzNG&o}LOYd7qNSgpQlaEb-iFSu(CUZ)_jylC7z+_v8Div2F4FjIj^k2U0QiE=yp) z7aqh9QsDOZK?ZmRo?#Dccc+q%lqE@Mwxtn4ez4nX6bJ9X3e5hN*sMy#b)qhtiD%N5 zb-^>4md(PmXv^IG2@D6Cgx<6?m27qZcA1T5Q`ByFHiP;Qeuzb-bDg-JLD;)f!+sb) zOtHQAVFvpV{74A4o15%_i@!__dk&sMvHRdT4ECe=QL~@9S3m*eh14J)!;ewOe)us4 z@^SpQ2{~1enM*x6t$}@+`2>D~0uR7XFu+gZCsP5orX)TjmwHNAn!rcoQcpAMlD^D* z3O_}S9fY4^jGc?;redsh%KI~O9-c>mhv0b(@YDF|RDkcdv^dxcV=PMsc_9hSwJyr# z}T*ZA=rFg0=CUlKR!Nr7C%d|$KYof?C0=vW-oJj36M6A`mj5p z(2^uA&a!23os#3m25wCx1jgGXcnLLVJYK>Wv=lEj4H9Mbved`h=P?YMaMe8#KhFR! z!^=_umK|@GNsqV7Jl4q<=gaElcsVupF1(yE_67VxD#oTd-oA)mq`>#!7a8Ccc!fQ% z{CK-ee!PXE)_Z&T@pf72`coZmU&b#})G7F72K5#E z3X3YpYrsx*yj_J?QS51W6@$GRuMWZH^BS;I9dBR7uTt#$@v98>Yxp&@pSipS$W+JM zHFyn$d=Rf;AlKrxCZs4cm#047zK&m~z%%jd4DcKHjZ}bT$J^!7Vb8vJdYwErEL#aVz1^E3D^VvRRz+&%^iQ z{S#R4l0EA7@JVGbYn z248xVH7=JMD?KADOOo7pGY|MdD$cDo0n7ZO-jHwxv6iA+qy^bQQG7!_$brlPw}U|Ci`xd z$8Do4;K_0C24FA;^BMk(!feN%F)+vQF%D*zA7*y|CLafL93Q7JAK>E*%;)%X4(3BY z%z*$*0e^@4cMF}sCn(M#e1gF_iBD1-`jrKULs$akZo00qS#|bLc~0R|6!;^2iUIxt ze-Qv?J8+Gsr)9`8`-@KF(-idxKFy$hiNCZ$on(Uw8%L7kJ_)#{5bv6=@K?01Pw`hw zU0>s`19b^pvo>|te1pHCz{l`64Dh%3+W;`@nzeGSIfKto)X(u52K77qofT@Tt~n8K zO%cCqfIh#+-_v?d;qRGxe!xG_dW<E(pJj^vh<{{@`WVzV|2T)wQH8JY zIY!|p{8NZRTu)lV&-iDm@D2W%QTPS_5~}bWRrnSEN)>*S&t2OR- z&Lq2MBI5oRRMdKY9^BCWmNvPkbD*B4mL-ERt?#Y)H;ev>|D?|Q8~@2T?|=Azrt`SD z1-Ndzb>$0_>hHdeBkM&+s{{-?1zCw|8e1$>2 zimwKcc|@BDBsb++@2P3yKcBVGvVZZvw0<}Km#P0h{GVArtcS$M!=J={jzQ#6~lF&*^P~Y+;*rc3FRDl`7iWRKd45RUZW$x|MF#5qC0msF{0P zxp1bN6(KAM_2j0Fx`Ui?jmiU(JZw1E4ums?-!RpyL(Vw8ydcRNa0c79_awp@CVQfA z-kw@Giz0Ko&0LX&vnU6)+kIw=3+L@&g!2xWaLxxV%tzf@kDPJt%@30N0rv{Rd55Q> zO|1DdrU1Ycpj9*=XIvFzTq&4J71r;(;AaRsQuk3HoZpiU=l6u+TnG#=L>twJoN6wg` zWvE_Pa>nVE1ql>0c0saqu}6X~EJnW%Z0W-P*gH709MoQpTGpMMah8<_N%??fFfjED zTG#u)=0csOLEzDKsH+0tRiJfw$r)D{nH5yz>gp3xm-Ri%*fxO&a{_I<4KQz`_4OlX zTz!>5Qi-cCxNUpsJpu91656)PfLEE;HGrIPbyWdL6|Sz}w(X^#pRlTnZCijVK1iKd zjPR=p22`cS4I*cpab&)5d%!q0{Px*UHJN-3@ke(xfT%{{hmbQ4p3D`h2jB%Uw9n=i zSg4xejpY650WTHgqA#?@B~B(=Euf@5euee}hu zU%}U0e$)oM+O)1wf{qz%mR&|MEXkY3v6bQII(u30;A$gLSQXSg7 zG31PEUR{vXrOoqS@Qn|UCz%4&qk0p`8K+ktB=rMsVWane1bJG_wGK*>Cz&}kpqAZ5 z&N#~&f}~-I!b#p)knvVCsQykY@`ppanH< z8ad;PBeRy40pr;4J1j|_tpK7Gg}NlqMVLd*I0Q01?HYm*|2RSLU6#VZCA?|$iS7LZrv7eFM>kskljMx6pG-o# zht@yOS3fKXF0icssNKY#+l~B*XQU+=!iI~0uX}(sJ*cJg$r)#9PmuHsu{7>GpQRpH zBeOR3Q)yF=daBx)$}BB?;;Cg>683P!F9_UzDn)NwG41RHZRthZxrm%`?IcrmZ)iJ% zVyZWk>P-=zA!i&yACUA3L6C~6W3n;T7wYIs>wk`%arKkQf4|WBWn${MbVnVNjj8@% zO@C_XQgX&wN-iA+gjgyUQ=dzldR#iDj-?V)&j(_vj4!5i=ABo%^&69t&s<^{A6k) zskxMK(q^?Z{$-{Zx#428(DxJ}kXPWHV7C4_73`l^0{*E0{;30h{^tGjg^Yg&gAWE% z|EwlwoPWsG+Yl@Nd?D?hFXa65gi9hF3rZ6)lC(+_iGvVjs=*pw2F=7 zjH_ZCNXDg7g{*tOmT~WRsBkiA0H%RVIr3zX1ek>(lqO;nL5qG3M)^2^(o7oVw_ubb z0hAVSZ47U*jDe+^_@l5M4VN^Y!Z62z9Y70UHvqj9;8q57OnLZz|$i+~gI$=SzI-sYm=9Sk?HS%?ma3eP*8hF9fX~MdLmEmmJt% z!C>?b8(CnQNu7LxoN-Q`1(I2&li_7Ifv@evf62e``J1z3wSV$TmY-%* zOTH#&oFxx|Fr>Mri zu>#&N%$1LGrW}zV%9(&b&x730)3xNTYza z$?DmBQhS0%&bZnafCR{zyl%nwNKAq=#M}RbfOignF9d*v6x>D5IB>F@wTJ_c3jx0p z3{E>_F=#HPs0rkZLnTXG&zKH76fosk_~BWql#QHmN@QK?InI>i5L2#lrmS8SGp1}x z0_?by7}%Bo{1RGqZgR#|O%|G#n)U__Y#IuCV0#{LpQm+Va>muU3?$0}b#en6R4F;I zK}qXvW8A<7C7W9gmVto{iUkjB?+_mc4Q!ewH5Ng!V6-_DjT_jsC^@jGU@#hp%fXS$ zsgv`OGtS8`faC?!$xI-=2tT|?l?sqEPKm6Gt>6N&NN^y+x8c}!86(?Ep!pI-Ek@2b z)RiDvDKh0{_~B)$RDzswO0R(A70#40A*MKlrmORMM(Q+jmwzMYw6{R=mgzKjI*BsW zCXjBT8qLWWr;!4Z6sqCd*OAZ)CjLP;U$s~{evIYcBkQkyGt{t|*4~DkakZ26w=E&| zz75j1sYW|;#%Yk{w5_bY&g6KZ$z-W)7d3#~ecZ(vup1=1sR6!k^(6H7hteMSVGmUrNX|H= z4?yyPPl$7wzS+>dCTqsbXp=f@!V*w-QSyG{x8OC{ihBk;o! zszh!G9O0Bc0m&ymCBEyA!VgEO5?R(i$|-#cl22JB|6|K+Qf8Csda_RS8LfmY?0?2p zattKL#4gNc+x5oEjFwI4Lb6VEoYqO!?~ikJeh!k)Sr^hLvB8BW;D-}bX&O1>lum-= zBglr0g^9RB|-LhWL$U}fKJm&$Wq*Cu97c7@}<~?9yu3!gf9FF zaKEB;&Ln4Con&3;Yu1Gi!I~v3h$cLelJICs!V@V8Pa4;JAe=kMc180W0Q-hk^fWo+ zD*6^A-a`AyYYlE)^CxlPr9=|z&wGg3@;CUJf-9=JIJCY_Y#$>w1=)adrIwk{<%DVbe0ZXS5~zkVO?b={XDfXDRj)a>il* z2$COt?Vz7}NLVKDe0IARYnj^_&H>apS`E3@JI7V?6G(mvG(lX)TFa*J6WQ!GuhgLr zQn3^5KrCdJi-qjMSoj$l@H1^3xhDOYYuqm&`NeD;nQCt17v!~Mn}?QB)BlVX!Axj#AV|AFLxKAU_Y^+u34a@h6XK7S2=0m@&r26D;u7gxjIAo)9BeF*%$ zl7WM;Z0eE*7zOsFDU;@fyuP=Uk>;`ko?D3W8Muj$NYRe ztRM3l=v||jWY&6(#f(C`aTKz}%+F(zZ*V3YaJ+!BlijPy35VWJI1+_cp=kJl-uX*O z_^jJ{_=;z1)C={2&)7~ukD@2wxEp3mg*>rErsF-On z@XhI%#WAa6*1+*t%-NWqbgwJFtAeW%9Gkm(xV&&2=X%gJ3yynS$6P0LuU<)Spf`r2 zS0Am9ga6Oc7wXTz@r3@9{;TedZ5-PrwmTfh#XcAdda=*Mz8bq0j=#qK=hk$uySsb1 zdlVdJxfi-Y&%M_Du6u{>jnm??$K{0M#JE{;55sX!+_5;QFFtpC>G*PRToS)F{!KXU zk3SiITK6V=l<;-J8Qq&0lbAa(FC0HeJf3(Gj{jy$%$B5kv+c-sB-^KO{5jjzY}a(J zCzq#`rz{-DduDiM!|^-MdCw)?o0Ki7a8fZiwoB@lG!Tx9l2#?X2FLf4K1upa_h#3! zXU`6HWp9<;n;ra_ePQ-jvcC$)ud@G<{V&~{Tqe0zaveBMOrDhtb|)`OelvN4?#;0> z$EF-x;CL#>FFAhKy*b~=xjpAj-J5G-u35RDT&{z;PUres_vXGY_oKO?zjJ?*`%La1 zbZ?%udEU(f{=iW<8RvpyA3PRMfa6wt03X)9c@y#$%v%JGL-O8}ce3uymz=M7zEW@; zm2X-;=+FF(^LNP){?Gqh{x$jEfa8S%t^#h|TcBfsfdz)Z@l1ig3tZH_1@A1_reJ$G zo-6oI!GCpcp@xMz73!*c3+FFfp)mA+;R%Ij7JdkhhYNpI_*>muq->GeMe4$FT9GG< z%!A{xB4>+0ycC^TbV1R@aQvd^uSNgRy~W-twx`%W-CNvUJYVsGa2!(np5kC<@twtw z7C)wYOLQtRsKih>&ML961oVH2Vt)_6^Sg8*~abEcqfVZuia9Ihfn&9bO)Iv5{2`=}tI4S!sEt6>xmN(kGQ5ekwm!d1>Y4aNJmVcjdjhw@N~lf>ogZsytC;S(O*z z_*<20Rikuo)z_+SuewwB-k$UJvbR^zz14P9`>fjMaJ*bSu{y+a^)A&%R38n;{nfv$ z{*CUf5mh61jl6KYyT)TRo`mBYHFnkbK=;;6s9CgT2{?|cIlJZ~y0=!7T0Lv^hU2ta zPuE(gduxAD`_I~c>)t!--P!rhZo0Qljyh%Pl!s%BI(_Q&hvV)#C+d6w$IEpS>q5PC zC)J%(_i;F`t^0o6-MY74(|Wz?0Z-N2P;YO&4|Q+-qV;RkuLZ{^>%Ub072VsQT!Z=z z8o}|=2Fn|O9~xX}7}qdS_ck2Ta7x4JaQvj<*@n>Hjn+5%pwWIfo@?}9V@>xqp4s@B z#!KM%dlN?!m+o!Sx5=a?_rUQ$ldqdVe>EM}bXrr0@1}d3e$n(R-P^2cv*yiO!SSVL zTVNs2+dNzI;>}CJ@nVbk7Qk;UYPM+Gq5~Y?ZgIH95#8HzV9R@3PSL%sTD9ue3i7TNcXnQ)wW#Qin_O5^>(e=wT0uUc7L>kJa1pOeW&)2 zAMFpc|GGWc)1g*}b{#sx@%auLI&9Lt9m{sC*RdfSpY8ZY$MtZ$+9|114&B>nPN!v^ zpr1Qk>YUKoqkB8|>O8LVL^%G~`QI)`_ja$=y=C_{aD27aZT?X zy?5!}K6;-5eG0>|PoIf>?uO(1KCAlx&-YF2TdXhO_npyqao^{3Z@;tsuJ(iY?VrDY z<^H$BaYFxx`$L}eU)6tG|Lt)6cR=<5Id$)VhX*Vj0C7ApX<*5LW#HI!V6TA?2Ls<2 zxN9K9-Jqg_Y77E92X`AhYVcS%&KbOHFtmG!Ye@bfh2U6oNZTRMA44_|IXDFTJmlPv z|As=p4;?Y|{-HDAxOC|Hp>OHlVFiX&8CDIB9fu7aHUf?h4_i76>K*pWaBa9l_YQA9 zy!Y^aa9lb3?ctE0Bl3)>Far2$M4J%`C1_uFklQj*R?u-_UXdL}08-ihrd4w^XB|M~i8 z&w(h{HPi=1x!^y281j9Q-f8~bLFDejE`6X&A9oFvMpOU=1BG3jES6E2?9!*Z^mXtb zz=C!|6yvXkXtM~x@{nrvAVpSL^c!o&)F3nJA-4dHMYQ@o#H@G=AQsH7jJ=tOHt1{( zsg(;c+mi|~3ocXEz8TpiYGZ4ZEg#aX9Fi5Wm8C_;rkPRSqFiaH-LRk;&5NQaPa1U% z{cFe-mM-M_8GqGz!>F3AK3x51YJ$&-4k&+^Rtg27rd3>A#P8p9%^YYRt+W#Eyn zP7f@z>#L)p>0p{+p0BxeQ1Nuav$A!l6f{j@m)Q2FcB9HU9TEGUL5UdMWjx=8e1?|S%X zu5_JS#kTB5)D;r#Rc=57*+$-|`Yf{H_8Zh(qsc~gRKJ1s8g-LwxzY9V*>lGYZZ+HT zn^AX2cGbEG4q%;dv+B3VzB_M{I}Dc?*;w}`+F{s2vh!x!!Dnmzo9q?VGa12_kZf+4 z0UX3SDWljU%=Y1^aRzZ0>#~ew6J+0HmwP9jr?)^&Gmy7fk7YEwc&|0jU|wTAml17a zy*CB5%z)lAJm?#%OQ6;n)JDTjGLC0t8`&QJ7q!j6-ZVVw>-mbPeFnGHu$M$YX0Vmc zXJE)7|G`SvX4EkgIM{Hq5fq&>iOq)HBsemY%|Su(0!qu>B6JO5)HMw~gd+UM@UkyD zilXi}xNf6<(#toaZu8DYQPlG$G@Wh!&8i>9w=>4Ay^hFxlf1xs;%3^wdSe9Ya}&K` zc*ID}emB_`!yb~V8Nn7ab!(sj8NfA$dwkth7Y)iFHt}{9MME->U50HWq%xXa5MI7% zUJW!XgSpIbn=jNFpb;6+zF-?iWl$S~?HrSV?F_bcTn4u_*xm`5z+T?wqG(bkvDvVj zP-$ke8RlDuj5XYU(cPKI+lI$|EL;)Yo5^f9>?aYE8Ep@`(Eboj&V(Jnc0y*gA3DOf zCgz(jJ)hPUkAi6G4eU9&1rxSkGNyIW#AjreJ*-5wN7FN;2U#y>TninBCw=j|5lgvGQ59TA7>70gMzpXdMHyknRRsLu{g-t zozNqh#@VdHGndsNPJc90Ih}QU=CeG=`7P1ona=ra2V_p`gF2x-dNNaX0^1Rpw*{dS zo0Vv8rtJ^5PcnBaLi?pXdOB103)?rDza=rGFUVp5yAbmcT9E0xi0!7V(VEayYJ(PK ziGE`HD(kc;w7)u`XR=Ixv3-`cS|#eY=dx74v3-~IS{B-WkD#SluK(CR%$lv^?^7(x zl0C@wV%BY8(3SE^^g@>HOtwR_b}NHAwLMyqr8|}F*sR~u&@uD~TAAhhm+j+QqqRXv z+y=dJOLQ{Z(YH>EgF3qtT7AoOHrwI1R;xog{k2=F)7g%{^;#a(`7P1fTdwoj0Jt?< z9~1=b(Hpm95U_!8>v|w`V!sltyJh2njfq>^3!!n*9&Na#7LDV#JJ9+-y~G!^906JIXU`?1^_Ck=sgudf(T-xNO?#UMMP$<)WK`D;NjdBXom_Wi(p~{ zN_ojDptzv&J@5Gt+NpxdCaBoJQl7F4Eb<#a_U%@Yl}co>(WShVT6B@$L&n4pRFFvo z85?NIV={rp1)FcId=TwZ!6q4OM!@-AYSjUKs63Yj&l%n$uhdeWlTBBn9DNm>|D~G? z&_NY>X^cEJ`jq#Ks7lMxoTc~%jzZ|L3c@ggkPSrT!L$S*TQ>9t?9b?96^~)YBO8;- zi)@^w^*n?mKT$y$K~S=RsXUq1U<@uFN}4~RPgQ(I7@us6DsKkITsVI>NYwxJ!n){~ z3et24QZ`VPN5ctBkxodkey)Nwor0AOSmjkw@P#W23fg+;qzc+}3|cmDm1n~ZQY$?p zcu^Vu``y1#!JE#(%LcIWu2mpLA~&wZND%uLRNJ60RUBW3IA&v6c{q}owbdoD3oqI9 z$MYGNdp~98f#_=$)z>Mi*~nI2wvEmRdmv=W@vRE#2wj?5cWEx&OSTFqPp9UoNPibi zMZQzV6s0fvK}Guwh;}yOmB*zbHXV9}-M(HF{iq^69egU= zcLu*JugiKYJ<1uAb=~)fS!wi>3i=xu^rQ=oz*nA6kAO}KhB28Z!EeO>FDm|TaQw45 zpuC@!_)V{}F(l^6M}K^IV5GutDiv-*DzNFGdLX?*Jk2;EDdEeCspt=t6*nm>*vwG9 zkmiU^&t4N}hju&qpURH(^m7$hit`AJrmAoa6NUR zT>2rp&8`Ews?sKdr45@ps&}qu8ib4Opy>nKuYEhP??L~mB+7tE#3qvJp>Tu!dh0oj zWP(*?Up{r$VA23XlP!f`6)A<^IYmt znV3m4(a1(0rRFbWRnKO|+_bZqzx2tkGB=B5E}OlockMDE)BYx!WYJ5bf-0M{U^cTE zt$H}qW^F2wX?y8ZSS5BU?#s+!5xA5ptrb;0orQavrE=BNnK@@=Y==kse47!+XvI}p zXYsT)QrmaCzqD3T_4qB&<3_KOZ2_vsWk@kAlwpXyv`X_^B+ZRfH}-RtRXu+T^}Nyh zgy>bzX9W^ZOB%*irknVT-KZxpt*45Ux?_SGsXHn;`Z zfMo;~0a+%?(-_I|B36Jkzv(W09sCCi`*2@&pjJsyL>e8Nl>*9mtXV}tR_fKXwuQaF z>zhCTPZ)EEDvBU(VS*r`WRQf4gtR7BRx6(nGNabL0^k$Of*zPgp*!bQHQ-UF}cIIGPy$$hawL1eYysD zsHn(_L=bM9*ee#6K!UGW25Pkwn=t@LYp57SF^VOlu&kmYC95+-#O*Vf1+Ja>ZOe@n%P5wyWf_)fRJ25# ziMK$sNp6R3s;K7{2!`}X7~}4MikS59=Bv^rG;x5Hq>Be0wf2qi_%|{h=X^%P~ zhn>>Aio;Yir9GNb@tLs|OGTIBv2>h=`J*m$*{hFYu~afr#bJ1HXfT+@`qgx^WxHWP{&Sq$ysz4!p)@ zuZZKcj@lr_ajcir`E}ttgXdJVDW1E|JO>%hITw^%$l@&uGIG(rb&B1t54(x{8f{$1!czoYwGoQ(6yx16 z#$#DeMV=@-mF)U4p~GN4dSQzm&_*fpQ{;C;$&V#K6@7~At{>T1`PL*s_#Ow$00(Ge z6bUL4yx}Cs5}}Gft7KL3>r0UQweUE_go+7o3KOzysG?A@-t}cYJO7%~L*p8Gf+9sl ziZ_iESz=U?XqUL^x9d-uCOI0{&XW{5DssH3WJuq-k7(PgbOa%bqGa6*JyAW|Z}{IsG)Q*{3TKRV13>NR%Z~ z6```pt;*e4!Zpd1Udu<<*B(%0s>n1$k||55DoPbm-dLhci@$Xje7Qs)NdG_GrLTkk z;6(%QsLxPshN4$RuNjtJS&CJWnwFGTWp6wkJ1D^d)6#_it-Cf$k*gxt3{9>E!M38) zfoa-9Dq>Z{X84E=j@n05)T*e>6j2)-xsR&IRgs%%BA1WeS=!?&dR6phs^~Q$_!^2+ z(VOXdj`ZHG{wJC&FSvKo>$MKrlZt^=Ok~QOFy3^mBKTHkw9MLm%EYJ)W#SUrT*btS zi8Cb=ll(K-SVeJWWg}(xFhp;_5k~gy#Opsa;7C^mY7u}D}u}1iytwBmi^>> z#^v5m=gs4_1&X2-MQ3V?W@%bQv!dzDP1B_R*fr+%+9Ji!ilH+-L$fTcB3iL@=4a_( zrf#7cI@2?>!P3UO;}sRvDyp+YR2z{^<{v7mjWJ9e zGuAvLE%Ohf@A!GhYQ@-!v9kIM!L~usyrTImP4g_(t7uo$o-wFBxF6Ds>Ip9Fodvo#KLw|& z#~?E#!3BrFt@w9%46=~+mYRd8IY@>~Q9D+)`i-P9c|6j0i?f85qM}ixmZfup(v8W>dn(#hv}fsPHzJ-)VpOyT4}9wH z8DlbIHBqsXTlJkenVF>RQ1q_oJjYeGs)Sl=w8wNEkpMP<@+W(rL_-K^sDH`_+w8Ls-P$R=FJuuMa6#l#C|w_%m`C3!){}AQQ2a6L>+HlW{7;B zk+OvyVTNj7s}V+xFzVVxA_f8r$X@MRl{FF`6EUHZUEh%@BaJjhUmNo?l{JP()baXe zL0bKr5wj-N_#*j0dNSRmuY>;}m*836720=d1X3fAnzyAP1_JZ9zS<8ed(z;!w6dux zAO7f;5l14UKaF{u${xcb>KJ~cUk~49OP?VwGYnZqUk5U( zoc4#xD3wuad`gEHFfOZ9Mj1S#j_EVDq?aEv(-=kC4Ew|3GRn9q^*=RIr2|W)S1c)< zKyGKYydr&Pj8-bER3rx?IV1TZGiH^;7_oeJs=8=@t3gW*TI%vD9b>>4xKw6kB(hev z1P0N}IB=2vb25XM@AlOS?SdM=(viDVmISgSQeR}&EFEWnr6E~yMt8K!24*C7Us znWDP-br`mw$T1jBiVIeF*aV*d3br4+4GF_J3D8* zsxnPwnwm3S#~3i?jw;jCm=oTZbG`kR6~;8;BX$m1UfnOtkgR^aV>vV6XV!c}*H9tt zKQ&>zj+2GV5WX4J#!Q=5e#41h1D9saqL9iom1!Ar5YGyk#%Eh8HC@b*k(N=%nj2|X zrp>lI9w$Q4ccatLf>@Lb>8J#XLzUs!7!5$H;rI?3s1-rFb~_rRJqO3v(cq|Lq(_xR zL!uss<8m}K>Np&KLc^kqB0ahq8Xi3wjt`&_(Ockn0F87+Bi)e;jdHYwV}CT-@hlwI zqA`x|;CK;@b>4<_XB{-r*#u3B8G`PL`54{p%7yOL%cA>Y>!Qi-j%Z5U5HvMz3z`=1 zM$_ZH=>GVH=z)Z6Xhy;)G&5m8nw7W%&Ca$J&GCGR9!+Y29!r{w9#6W0p2*$=J(>L} z^i=i}Xl`;bG%tBHnxFg~T9BgwT9{)QT9k7kTAXVb(sPYQOY*wV(gMrTk^&zhy})U- ztjIlRd5LUjS&3RmFVP0QSh6+JOZG)8O7%f{sZr>q(mj!0x-VK;2K38JK`)n`h4iwE z(WQD{y1Nk}jMFj`yTDWq3efnKk47t$*|g5Id~Ivn3Y z>nhJi>#NK}8>+5A8*e{^HdJek)>qqtHdlWiZK*K^ZM`E3y>rK>Xj{!oXlt!H=)GD; z(DvFdp&fVbMeo&#M?33mL%ZsZLVM~V^g;dHXm9<$&<70)qkRo~q5X|&pnZ)7p*@XW zMF$$!K?j?3Mu(bKLI<1nMu(d{gFb3L2_0_!B0A9g2c$Ru8GX{C0{nR$9c>B6mfg^& zEk8#`TScKyT9rq7t9s~Is|V4s)*3qAnjF`l&)bwo$J=y8$J#uA^fvR+i8cq}coLm# z`v%h6ZbYZr{sPB;&=>6vBfZ^G^i_vKNbgVuecf?7(mT#W-*!5U^iF@EGhOaPdY5+S z`z{Z{aRK_F%Li~gfzEb~LweVO=*O-d;5Z1K>$(_@uc4p1f?n4@(9hk9A-!95^h>wl zaGZ*M?e-=dccS0Aokx22X!J+-IP_+-#s7S&okU6zjkbMC%9sLEe(;#zc;G={uLFU$KgX}Ai#cDG^_BF`jwNW7Z z24ry>#CgKEAWPKV0@)dmC1_Vb_8rJP+V>#)9%R{~8iDKwkY$gm0J5_nONxS6PWTaI zIimW2>>S9Foqa*}6UcHoTY&6mkmYnf3bJ27md7~>WWRzew{sK7egj!v=W>w!4l*2* z4P<|SEWh(I$o>Rbz8Hw5g#Ur8V9W@R{ROfDG0@V4zd=?wW+BMVgRD@@PawMhvZ67c zg6ty5inuC)>=MX|yYhnUGRTU#yde7rWF=iqL3RaXC0vlR30FZ@+BF7b|AMTP>jcRD z16f(uE|6UVSsA@C(i0KL%Ig(Tkwgt-<@9kNivn3iy(h?`K~_P324oJ9RnljG%n7pF z^j|?11F|amagez{Rynpi$aIk19@`LPu^_7&3$`S>K~_B$Y)Om*S+&@;Ad3gt9kGi* zmH@IEZVhCKAgdMoGsv=mtfqSu$UGps)7=$hNg%83Cbnb;SzR}=B^hLO+&e&)17!8x zuYoKl$m+%A1X(VSHFRGCS#FRuhc;kIxCR0w8M^54o3E5M(Xmp9NVVkhO@1JWVVNvexl?K~@B0tsvs`#G)W;n{WtZ z#X#03F)zr9gRFg`17syY)-LfR$V!5&W8!X*l>%9ZY)K$14YJOOS3p(zeI7kd*^jmu%NSRvu*Cvz-H31(0>~lm%HukoENB0NHII>*1LVvPvNHdd7mRGRS&) zE`h8H$ohD`1sU`Z>YW5UlXyGG`XwcRtQyGrCJh8xb&w56Y6G$wAnTv>8p!Sd*`TBa zAgc+ofk~f%tQN?IBy9&-ZIBJlPJDkS$c7~m-`4@z(Cm;$iFH9XB0JVs@l_W2-d0J4$U{{mSXAe)URfXoZBN3a)U zy+QUchA}3w56B+HTR_$qWOMSu944_J$R5ud2eSSkdo1r{kPQIYlX(Y$Y#_*<$X5zv zgFrSnUlPa$gY2n%z(a{cK=yP#;Gx8!Ae)z;~4^)C{!P0_kirh!oYut_kwI?Vc@^S z`#|`<9! zLADZPAD5X4vX?>jQJMEa_6o>8DYFJ-t3Y<7>{}pP4YE(mE(6)CAUj$X#_q(|Kz6Jw zjNOTAK=xU=h9FxDvd_zv2ifZ&J6`Tlki7x2ljSCX>`joJC`Y(>9mu{YN4R)B$WE27 z39=0!`?7p7kZlCn>GH(pw?OuFd1CV>kbPDDKaiz>?A!7`f^0L$zNyd|WLrS?U4^;%Z7t9=f#lOT(!whd&bK<2ET z1hOwcrdK-;veO`QRUZwqFG1$6-T`D^fh@NAHz4~OWbxJafb1KP#ns3QvTs3_Sp7ec zodH=wjVD3&9mqU2Cj7rr`;Lm@Z1gxjv&;ayK){x$iN?Gp_C%A|6$^-p6~T%KVgW=& z#1^~JXw+!z9edYk?7jEiqS4rUtkKvt8sFcSbMHC#?1ew@96$5ycb5lto|)NoQSFwR zf0=!1x7D(iS)%r*T9$HOsNGS^Ue2j@S1nt)p=$TkJ}lQk?Y>%$@-5UJsO2nQRqdhL zN98A|{iT+>d{4DUYPl*rQ+urTNriK2f2)05v5DFfwY(K8s6AE76Ie{`nOeTU0JZ08 zp9aRNy-@p4V2IjFwa)@Cs=ZSCJaC8FYqk89*jI1V3IwvR{!#m)(g?M;YK1B#tG!bz zSZR;iziLG)EmC{0R=9Gs;j6c(OU+`HgN&m7rdrX;htwQuUsYbN=ArgwmGWwqT8S!o z)oiumRTijus(n*sxSE&R*HwenywyrpEvV*H`?l&FHJ4iHszcO#)Jg??pysPqw(1i# zKeaMJ{nS2CD<9NK&0not&^@&PwTeN<)Uv2m2tKHmRjpF+GPP`Kfz?d4>}pklZ>i-_ zt6XiG+J|aE)%vS_q*gV=OD(5bwQBd(a;XJ}^ia#KRz0M-+Q(`kAve@MQL7oUUoDSX zjp_r`@~YLU-bU?Hwb1HU)bgp-slHq7Gqu_^YODQ6tzM1dYWdab*4V7}xms9_nQC9C z)vsAlt$JTGP1xtn$>QtRzfYRb`7S8!qvPJcq*}{5I_~{T zsm0Veu2x#DRh`vpWz=HpeWg}btxdhGYUR{g*RQ8mUM;TvH)<8s+SY%nR#7dX{#ms^ zwfL~cYL(Oy!^*2wR%;i=ey*a{A&mW8Rjqx4aJ3+{qz0wcg4H@USgTe|ExExIwGg#V z;X!KE)l$L>sMS#G9KKSmrdrqV32LEgT_Uop)l%yo{z|R3TDOSVYIW4Uix{j{SFK0G z0JVB*y&|5e)mQ7;u!~xlTAzkZ)EcPuZe*y1tMzMmQ!PTRZ_~PJ4b=uT{aUS&TK}dy z)f%e}Y&u`9iQ4y(1=O0V{SfI=Yo<0Ra)nx?+K|ZcYR%OKH|KndQXATw^R0#2kIi-d z`bVn`Z?5y#KSpg>R3o*PY9pe`sl}@O6t!BdmD=S z`zNVQjUJ`eNo`6D&o@~uHHPQgS#4U(RJ9bf88Q9Tx~NTW`ChH7+N_p0)Vit7j4i3w zU2RTmPPHCtvtx&=eWx}rHc73g+T7UfYQ5AJ#LiXgtv0_^4z)gNi(+4^^;KKgYNlF0 zwI!_vsr6S|+`6#Z0JUFQ`>B1ewzM_Z#DQwdTXRhuq_(WJUMu{6P+QSjuND4-)qZWm z8Vylf)rK|tQEg@0PHIEd*0haK8>Y6pEyvn$wRLSd)_zi38~3T&2(=Ay9%>`i*2i(4 z|E#ttj`Mt!+QvA}!O?14;y4G#sBMntS~pg0TO8NAacW!R2da%%+Yuk9HbHHB{2H~1 zYP;emt4&hdnNUh?vf7@6TxwI)b|)mNO;y{MP)}`|+TH~ARjS&71oqW*wf*fZwHa!M z67HzYR6E$NrP?gDBkiiG%~m_yZj0I+wPWpOsm)b8npi?@p4y4T>}vDXjwiNNTcCC- zF;s1#+Q~$YjYVpw6FD{(tNoVvUTulm?}<0mma3g;&w2Ta+PU_em&?@7wqK;ST&7az%N@9GtX8|!VV&9A?M4#E!6voaNgM~8)ovwCRNJCL*z{bbJRU21AY? zezm_l^V)Mj?OA7Ddk(5S?fgdVklKsRm(>odJx?jFc0}z}N;b8lYA;g;s~uB&lhRJ@ zxZ3NKO=>69-loh@JE`_hmuzaM)c#F*srH-NyDsr+r@fatj4rj+&ZxaNj4nIv26nh% z1mto~cg`>z0Ur81K2V>bKCssZfTm%X9(;hP5AD+)Tb z`s4II<$u{Q1H2eJ^vP6!_bDSlGat-w=Bw^ATbY{K^)SPkue;4`49wij&oZ3(ru)o; zGBvZ$ml@7{+kNKonVY#}hBM!BpLs&2X7)Xw;mr5kXMUEsnXhFy^8@#ppJ#67I~mUW zm;1~wGB@+13}=4qKJ&{=&Foh!!R~eCg~zzuo`$ zd-(rrP2c;zPkdkbS$;0RtbY0Y z3i%cHEA1ETx7lxZfUjYE5M~;Vi_TKs%}i%mC?UL?GOFNx(&W-@I>Q+0QfoS!G18^c zbS5y;rOb48jPycgdWU)H^zLXsHjE_b1j*1D zQlJZTg>KLtdcb$k6M8{!=mUMBAM}R-@I4HKLGS|%h9U4H425AZ9DafkFcN--Q7{_D zz*rau<6#0!gh?I?RBXFbihG9GDC9U_LB>g|G+~!xC5uzrZqB z4y)l;SOF_x6|8}^unyM42G|IjU^8rit*{NY!w%R9yI?o$fxWN~_QL@<2#4S>9D$>7 z435JII0>iVH#iMv;CDC+=im=G4;SDfT!PDR1+Kz1xDGeqCftJC@F(1XyKoQg!vlB- zf59Vo41dEDcnZ(pIlO?E!tTw2zT)O`23ov*QhWq&>*6$Jk>Hdmy7>u+!dU7-hU38AfR+2W6oVG=+xH44Ob=XbzFW?qnw$ zb}hTMVSMBDKzP?RjB4B)40WI`REJtn6GEUi)DWJzJaZeKpLpgmyuzRXghK>0ghtR9 znm|)%29eMlqM!vtLkzTpSZD>Up$)W!IEaS?Xa|YV9y&lrNPkae;5GY!$24WKfquZ0zbk~7zV@PCl~=E;b#~HqhSn8gt0IV#=``d z1e0M3OoeHX3e#Z*%!FAm8|J`Vm5Vtc7*3 z9yY*6*aVwl3v7jLupM^5PS^#zVGrzueXt)6z(F_!hv5hug=26WPQXbx1;4>*I0L`K zSvUuOzFXgU@T06sW4NR zX|v4X=6ql&JeI)rCV z!B8DSg~?vC>Og%6hep6cSuB*r8dER@AUS*(%88dW@T6ai(xsegf+k}G1(<1yTsfB z`+*6}LvS3}5#}Fo5w5^>xCM8BgVjtsKzRBlPv3ki942^z6F5#C{*V%0eFycu&c$N-+W(PlkqYl)EhR_5ep@lFTGin7Kn2rw6 z8M;Cb=mY&>AaJIb%@}d;J2)^M9Fq=?Ne9QIV+y3gOqc`ng&kwJH0-&0)8^^p>1%rG zm$Rm4R?lpv=Z6~Er|mO_ecirc*vEM{cNwPe4sRx-RgBi#8w`7qz1Xnt*mn(^H>92( wo|ft9#RSjwIHNKz9hD#)A|MPJKud^)77z_F5GCvgyP*-_r;j~6^l9&Z0lq8?<^TWy diff --git a/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class index 5749ce1fda3e5d1a567580fc11a6461b3a26b11e..c513d10a93c64cdfd4ac1ba6ec7b023c9f57feac 100644 GIT binary patch literal 8447 zcma)?34B~d9mjvO$#%QjNt32cT6)lf?Um4`O9L<9sxKtM!9q@oaM3RG(q>j8+OcpvpfP`njS^!H}6M<%nl?Wgnqzxn^abG@1O zpPlK`uRVDTz)~?uV7%L(ZQ{R3A{GmWqsdeNm^+)V^fAy75s zxUfGKb)y1fir@f72{h-R4VUz}PRz-)r+WJwH?g%ZXvoNT+!X>R4=`@ zr*=8-#jJi&oF7qwftGk?J%+1CV>ScO-re$q$acS1opV^!3j>Myx zXe64vw77Dw%k-WUPsAb_mpw?O6W)}F#GU@=UY8B6_SzMX#d;&@cp@3`ii^hM>4=jY z$`uHCE-I7OP$C&GJmf9xRl&lC>Y*aNHuX4OvBf!V%FLmULD7dfwW=J|HLuyaC?ivs zW@PFDjZ9r;og0tzJIQ^n9tys)RN8CLBx`=8yL-eav0=kUi5W898`VR0aUv-&uJEW@ z@1{oP^*EdtTyx1tZ_1lARsDQT%3Jrd@T|L7c-FluJnN3t4C7V93t3(fDyzMA|GJU2DFCgf|$y%AOH@a#fuBSu>Ht!_lV?qj_-rAFBJc9bEW%5v7K8JvG@ zc7kujPS7xJUSX-}^(!$6rv%}{8w4sd{6ca#y4Vu)6UwzzQv|EEEioBWJoao>S!5ix zwi~Sm`|6gMifLYf+a;!JZRhZM)v}hDftjA$c@nd93*IPkn%3SV5!PBnVz%~+O3cw( zOk%Fq5)$*YwohWd)*Oijt?id+)LO5^=~_!kEYRAUB^GL}U!qBCS&2njyI5keZo#Dz z&02el#1gH&Rbr{u-X^h3Yj2l0L$mLYSgy6JC01zbJ0(`?ymv{g(%QQvTD0~aiPc)W zQDTkO-Yc15V=Z>@M5wan*>92HJwGYQV<>A4tEBB}=P34y@otsOhM zHiQLc=EFk?{}zcy@MsX9!~kpAmPtFYjKCR1Gp___iNGxDF&qxDtU-Y(^YT>>cV&Mh z4&m_-D)9uriMbASCi}8p)IG`p$fG(_HS#k-d>Y4!0JRDU94`iRrUE`6#OLr7V|cW$ z&*gkNfwMx)`J&god<7j0HZA!lv!!{1a`TG#a)_3U#qp^fS$hC4+6GDOuvEid3SvB7E*gm3I0o?B;(^FzE9iGYyn^2|+IFg?FQNp+-C;*a=K2m$Na&d>2$_zQae8qf5$&UsK-AAnhHZ@cP`XF^ff+#e}~xV{}jy!&l13Y8Rb=v+B&yy zliY)Qy%0W5f0etTyGOD?yOl-;a?oFh(>pfs?SBe||ozb-8Jr8BpY))i)Qt`8+ z>1c0)qs5RYJCn&oT5azWe5~HUt!c`O)!LO?z-_5)I+obTp9VB<^L|ZqCS6w7ne66H z!mn}|7JU3(fMKN83%k^6y%4G-BOiaIjHT|wsmy2m8}`hQr~DZ3lw`P5lEF?%hB_q~ z=#*rbQ<6bWNrpHj8Q_#;cvF(WO-Y6}B^lV1WLQ&@K}|`9G$k3(lw>$llEF+#hB74? z$dqIlQ<6bUNro^b8Nife_)?O=OG$<x59I+aJLChw8HbOaE}R3 zvcmJN@I@v(*$OvUVb_GGSm8!1oHXI7R`_%)+-JhmtndOWoHpUY>9 zc)k@r!#dhmnQ(&@UT%f2G2uolyu#Y-YfX586<%qr`8pF`WQA8*;pN$9C02Ni6~5Voms;VqR`^yEUS@^YS>fAEc)1l`Z-s9+;T2Z6)e7Hf!Yi$C zn-#vxgjZSNb}M|33Ab3`4(r^$*M!$t;SE;!J`-MRg*RH^`%QSA6+Y7nKVZV^t?(u* z{GbW9TH#JB{E!K^S>Z$YxZX~VdK`ZKY=HmSh5>wP5J!&SNot=Rz!wJbC7m^hr;p>= z!|2k6uMOh)BEvTi;~W~kJAfY@#mV!I;AeyQWzPPqEz2ujmREOKUOmNLB?sc7vb@}~ zypm;k^%Z-GQ6e=uw_G=<|qUJg5Ld{OjFvRPnrj zEcT!pm!k&vVH}R~xab8Qz`eo?-0`RvD=|?-FiBjE$>I>Ei03g?yozbQX_)S7#|)o? znZ6q_%Xb)I-*cGldl7RgfVmZ?V17k28Y*_8vEni;sJI1-DxSdNij!!r^kYe7BbHX4 zgJqQ$V|nG>SW)>DR#v`*RsL$U_?Kage+$<7_hFs?AlCcuK&yWMZT@F?)9sz3)a&>( zbdJJEg@;8Ik05Gkjhi^Z8yP12!60~FLj!-13*IL&;jb?K7XdHfFMPq0VZuGO;9Us@{^EbgGdDE= literal 8319 zcma)>34Gi|6~}+G+3m91Nt32c+S1a4ZcCaT>3tnMbt+eNPde30 zukO_DL@cx44^H2>k!1qXoucT{a5@}y4zLfMxlXh>spx?wfq?7KcOVn)6_}W}W-|$= zaaY7i#ON~5Qrz5`NJgD(EUq4w%V(NeimOYrGyR#p*`9DLl8J;P$wMs2SL6W)KKWfbb#j6z+ZQK;*z zbzJhx^c%jIxLKW2-J=62bcg0ff_PSE9 zH`h%qw~6^ya!*86JF>XY+Nhb}^L}H27E|plSwaX-y zY3qoI<381 zqD5=BNUYb|dn7h!?Y$DMT6>?wMylb5lrQR{rB&!oNx4 zWB7OgAH^rwN>?VGh-L&<7A>U`pe4|vIlCoJL2GC7*ML zmv5k*A*LyxGkcmhC^xr?F9g|(C-_;*bz3#)$CucNT+wDH(bpG`v1?B<1m)|<6|2sD zHGr?+YuvEppu)$1+}GU?h{dANZv^l(zRB;O08?$+?`j`r>?Y9JjFFW^@}`0;Cj+4UWTzUS%~xwT@AlknRhYkW~a z?B@C}TF8kc-=Ji~Y3xa)(;25xZO};k9)Ad;4u2GAEKHT%xzPUb2lh1n9OR(?!T_F| zFxTS8-)vK*wv}qse-B_B{^8F0?jW_7i+I<`kAE|^s~OqY(cLBSik^l4a`~&$O>J#@ znk0AO?gR-Br!d!r?b(camxPzAB>%vMOWpRxn1JvJe^B_;S|0JaVZ&XCeaT2Bo93p% z+otvwRoq#|w`F_x#?#$;D_GN+;{JYDB%N^c!-dsbRhzg&O}P{#mCB~0@y+}NKy#P-qoE_|u(^(85BCo~Rl;E4;r9XzBQqxLH-CCOWlKM%rg{@$TRvWKL$D_8RnE^kW-Q&PDuthB^ln7WN=fGp-o8!HYFL> zlw?p-k|9k=1~erZΞ@Q<9-fNd__{8OD@k5L1#NOi2bXB^kbyWbjgwp-V{yE+rYZ zlw{CSk|9e;1}r5Ru9Rf3Qj(!cNd_t<8K#tEkW!K%N=XJNB^jQSWN=cFp^0)KxVJ?I zXI3)T$ef_`H9U&*9-`tAl}yK|LMg^km)ZfH*vg#XW76`p5>6DEA76>hM?2TXXX6`pT} zdrf$n6<%P4Qzks!3NN(67n|@5E4;`G_nYucE8J*>vnD*t3NN<47za&wwiRAtg%6o< z$O56|kfM3oZ!f%G~yCM8(2!GAh!d6}y#4hdi&msJ)$nc**>?viqpp@al;symuG#D<+ zD^iwMv@EZ9u~*57*jJWUqAafiWqI`$dx?q?^OY*g>*BJ!`pfdlYOm2t;$SJmp;Cs+ zOBt>xWw=rs+*MmWv&58KU53v!r5d=dVL+TQfKso82&mWM`m($Zm*sUsSzb4m<#kh8 zUN;wejb2&9W4yI2uiMJ5+i}6goJoSXAq2^%?6DXe-f~PTze}eZQJly2DfZ*(P3!aKG;ZG^o&V;{0 z1utfp@F%6<#S9Z3UkF}kP~#SjN8oP4p96vyDKzlsw%|n%6aJ!j<2i=ll?4;-9@No}I+_u@&|sF^5y7h$Cftk(UW+i{4qEUMh6#7Hf)_4KxFr|7 ZW?{muli*be6Q0coUaoWtF_A;X{{V+MH3R?v diff --git a/target/scala-2.12/classes/lsu/lsu_ecc.class b/target/scala-2.12/classes/lsu/lsu_ecc.class index 44fd112e055561c760ad8b9be5cc5e4eb5693496..7692020639442711d04728244e18c88a6f1f7295 100644 GIT binary patch literal 104321 zcmeFa2Y4LC`9D6pr!6O~q?6=!?y}`3S4p;I%MDp>vL(4l?nORJCt0?NtzsK6rek{V zU?3!+hmL8XgbslO2q8d#G?EZP2rUUA`NID*Gh6RQ=S62j{?GG!{K)g}yYKs%&&<3# zyE{8G_rg~XJxU0ftY0LNwC0YkiTJCH*41f5lE|RCtxX-#=AwyBt)0>K)=2ZjifCh` z?&!+4`mW}vMgkJinwz#v#GghKiG(ft715ntP3_Urj-y-aRzy3Zof^?3l5S#8=%|Y{ zM|PlGO4@e?^bTS?O8Br1q@v7+o4uszisCXJrj!c3D}P5)2fl zNWF6d^5op|tmSU~97mru$YsCK(Jy!Fmpb~a!7lsNj()jYU+d_zhPdn-9sP2*zRl5R z4RzV?cJ#~L`r~bVZkFWOE1G1LH+Q*PpXuncWS4zkN59;yAL8h<0xtVej()jYKhe== zrMT>i9sP2*evYHhQe5^69sP2*eyOF8ya?5jfYA*i{%dR}PKzHen zb@V&k`haZLS3%HapJwSJxjTd2{IXJAc6}|o^4#Ta{SZf=mFBV^<>;5Y^%EU^mf^B5 zcJ#~L`Z=aPIK8xW8Lbi`i$PC@{o?&*?C(>mr2V5gTmT`^(75!s}|>$uFRJ+OO4`|^#xm((8nsa@)>RAh2yj>^6X9}WkfJiE2Xck8n>r8+<$X)-++a!>(k_;=VnF5ZB_!uRpl&? zWEJmNIALDauw04R=SCzsoL*ntx@Ow$C5wCY%UD;`M^So9bf=b2lt(TT=}C`BK^3($qjvuxVI|EcY1`&e~a)F9%oV z^~>0xD1qYOn7O5a)n$bh3)7W=JXGnuVlE2NI~WWPFHPCDF{6HU=DMQPpfV^sOOaD4 ze`Dj+t~o2fGm>WVOp$YFJoTI2+_W!8A68b{uQ63C&nhhq2d0M?EnPdKaZa|eaN^oY zTQ)X~8EO#;-5gG9UE`{Glek9GDd;p15gJbYVvG?utQ04I6p>!|e0ZgA3F9mu#*s z*}I@+ZDH%2#gun8=bf7__olqp6hzAx?;V+0rpOhQz36>HE>xB;uN+vkxhy+xR9nB2 zV2(1YXlX&IA}jN=O9RE7+xMk0-1U_A63#nop_I0yByab)a8We6Z#(5V&aQ`9xy3DO zi#JDkJv3Ewo)fw`-%`uZPwM78{26{>H|OEc&QG?_m-GC~)x3T!Yl`X@?knrt%E~o< zvRy6(`l+br@Qf{U`V1^?=~uji*VjP1zD8sXEFQmMQnYM^v3uOQ!umPGDt3>f@z>a& z$6sAAHvs+meZ%{vZz`bu&2D4Ew9T}hb}qHsx6j(_y;CO+n6`CopS`QlE}IeGd#W~0 z>c|=qT)TD97AZ9l4ChMeE#>`&WqsC}IbVe!ZK zk3_t-&6!Hi=i287DR~PkH%{8Nao=#(4vf!on@@WARAaZnc+XfZQ${1b4%QWPmF=tB&D-m^DOP*s&MRqHXx8)ck$YEVAb#_z ztoUszn7FQRv&EO!N50*Txs~J4zC~M_)V=D2^^-d0^q)-Qb(PJl)Wr+!7u{FXm=63& zHvX8o<2Mv+F3%Xax^>M=cRWy>bvDk*+|?6MK6-sN4`%tX_Fg-i*3Sf+&w$Fj(c=eC zX7MsEJna}72hCRfN@<--==E>M<&m z(%nC){T=|%B%ABRBV*es;l-c$XHj> zHD_^3PU*ZUopTEFiZeG&LjRH0IH6Vw+2`qXjCwCscG~{5yk#X7{UVMZ`W5&M$&!cj ze!#pQP=9J?-$_!cyPauVp}$Yb$>sgZu1qVA*A%pMs9AGae{5baYiIB6Q@LSQZeV_F zKgoFJusG{iVqYiVrH{aMXZHIvju)W4k)L7qKRJt7ycF&=%azOX7^4CgzI3IUBoBUVi?w&BXFs8pk{Y*6?0YUq->$V(tv&W52-%!Nk zzkf+b?P6A**1%d39{S~}s8_XU$M)3v)x19+J8J31X&tmbM!WYF;IZqxR2eh3Wy6fR zn0}YuZ~B#r@KAphgRK3*Pnz4Dky4;ShaRamD@Q8tXjDba+P4s@WrdS@}JjLYfQm~+O?BMAwOID;VA4czgv&W$x(7q)D z`+AB}sd(CL@?brUL=`ZeNtHKzTo_>}4e{R`rTUoRU) z@nKz$>CxI%y%tX3&rho=2bJuIvU&y&Iki9c@4TF|SkEb^kE0JJ>BK!Si`cJet>EAR_8_(H`hGX!!;4YF*D#ir~7j`-_#l zzi4x=Ys(MU8+{I$!TSO0d4}i5uV3$di}e$@-q`bj3(xAuFsM;zjbqH;v!l7GuBo%E ztz}13b9CvB&Zf53jy&&SS#zYLLnG-J&`9j3kxYr?2%II-+w$BIsoNfDjONX4YirKa zNVY^WV@_$L7e+?dd5H{-Ihohc-qupy+F8?9(S#wJNV7)zN+cAkby2l4v`tnw)pu^y zNPmfBcy$sPu`RMIGO;<*+K3UMU2WT=6Dy;gTifavMOy2dqaAq_ZEf4Tc4%Y}@DrPA zWQasmZZDB6a}X)7eOF!c_O12pDETmn1nooAf@4zO&=7^e2n;^8?_viY1Ulx_(E)?e z48c4^#k)qg^710BZLN6)8X1eaZ;iAxwKkTuVUTN2XI@LBuDva+xDB=Me7CnU{gnJbA3l=Z98h!KD`pIIGJIc+}czdX+7Gd zXl~<*topjTmfGF*kOtae8=v6`vxiZoMi*AdRy6^Ap1tDfA4JXiNwINFCei^ISk z+M*fefMZS9j^^lORDC)vQ(IRjEm3P7qO2oYSL><1z8wgS*ut|`>Kj*lt7(u~p$i)f zyx351gg9*bOdPg#rnf5;DEaR67MJvSr8T9smGdiWE6S_pOJt3w3T9R~m+8_i+b{?_ zqi34gs7$>k(pbB?t-W3%QaS3feV2LdwXor0T!9tNMQome8|=)BUSwyUqHSef?3PuQ z3yt*xut}S{sHV1b-n4P5yF9L7A}HuY4xI7#D`%i zs>|1*u5^0H#k9FKbKSt!5eKG6Zs2rkJ-4QGVeNvY74tydKIUk>=rKK2SX*8-Z~hua zz%*lu0>+=Yna4CE=eRKDd||Ekd{NB#B6eP<*>VOMb61vE%&RS3G#7DEgR-WYiZ$hv z(Sz9swN<4x<*RTWs_NQhD{5%vEht@CQG-60g;r@<+5GBiRyUIbI@D^M9WIVIU6DWs zCUJIC1iGmLT`|JV&2N5H>D-F>U?|Xnqd*Im0xft7v|uXGf~!CawgN5qddgZ^TD>H; zKB+FYKB+FYKB+FYKB+FYKB+FYKB+FYKB*4;GUk<)Ro2cety)q$x4fnroikT3Ig`t4 zYv$LkE}uuQF0Ns1U}`wP{IW93B#k-ora_3YqXljcj=`@Ho+rnsTFHrk1jkr0e_{F3 zDvMHe>AV%T%r0dP#CVwG)8|!|*3MnI!0HTA`Ehk^IWAbkI-n6x^I*2swYa1u(sw~= zbqy}un)xeMtXx)8yJG&l@)h&Ta7Cn-mz9<+nqON;D+$~}=CP~JGTbWKNqH3XD$a6S zm^J4Hs;*sK3Qjqe+5A#hmuDMNEw3>PkJYQ$^Yt>FnT}VlJ8O3nq?)E^Hm87AlVzJH zy^UrnIW8@uVQMO>YOB{d)xug4L{U?jrUg}@c(h$j&C;q0l-4R&by?NC+6vUaW57E! zi%E4&X$^WRUUbWg_QYn%t!kqxr{Gq@Q7x?qt5HB@S!vl4rtro-iexEh36Z^Z=%5C< zl3gDt4h*b%<43%NtnfI;{mdw5)~+b$P`oambNcakE$zqSwX`3P z*Mg@&3#I}s?Z@L0Xg?mWrTw^DOZ!gRkH_j_>yz5W)+g1))+g1))+g1))+g1))+g0b zeichg=hfCMtzB6?zjj&0%4%@YDyml&qL;&i03;`FtOGM{tV0$z8T5$8Xw_VbD3M-X zh26_jbu}J{_>F=c)wp`ztg5B8Jl<(aJ5aD#R$f+HShlvT0te~zU~Vbc(nmWym$74p z#!jItu3f!!#XR&H%+IusPm|e}%n$il|aiirZgnew)LdJ^uc;KtS6>mN!1u1Rw z8cH)|UKOe45wD4w^2+%uYAfb11pa*7hO+6D2L5iG#}m}?_|dLsYc^;wV~k5<&4S?e zc$n=q+I`x5JP(mC@Ydf!XG%!<30gb6KRhxf(D28u=3Uzb$QT zogJO+ksT5_-<@}YcX#*WKVb3h1G&`B+1!?NEoY0X=rM#HzbAp3uuxmkpVI8w5nEgwbr1f@-#_D ztXD3bx3Xe>9_F{iX63d;E~!#lPzp*0;)+gPiPYD(*S70Y2JQztBJGiuDBk>OHFU7X zTJLI1-n@nslCmhRY;^L@n-(81l6s+u8l#=Oy(My#yNS%YkB4;EX-vczQXi>rs?=NR zhx=u;wF7f#O6%&P9UV=0dzZ*mH-3z3Le&1!fK=4NK)gkx1|+i34a5uTD)~1-9xM%^ zwKEh?2&l`gZS`f5x~)-MD#KzMCN9EpeQ4YV=KZ_RpLTaKH4oQ*j>^kdlSYZIUi8eV z(bjr90`k3#aoQvUDgN3=A0DLfvtv63fOKi1*BNI`XGrnR=818!>^ zn%0owt#O0MU_sr~TFb^2z^=rL0231dQal1~Cz-Tp+`B&7N((s03jrGw0#ZE0G&Dy& zhFvIpNt!GrpeqK zS_AtE+;Chu7Qje1BMqVe1p%1NP}~D*09qac1Um}|q5ufNMhOLvclfz0L@447CL5Cs?ryWVl5Ty!{4m(V47>KUdM7DNFS z0?^^Z%d{;9111&*L;(gOFrE*`YKy^wsf7hmfQ1O`Lt{U#d?;LJ3>a)JFo*&$1gNJr zaBMjUW492o=yssTrUMn@b0|d2F}iU>i=caa444zD)J*_}(DUT(L}j5cDhm-};>v2# zrTsBrPGnLyLGCm%U6*K~6NXe2I3S?JWk>Gk#eg|+SSXCcLK=te+?}AKrv$kpd^`?L zWEBb{s}LdLJaB;Gl`#NLG*MGQjtCj&eg%MQV*s4!DilUn5kknmWx@XkUVnPp3^ppp z6DUH|xQk4WEeByh6~zP;J+>T#0ab*^@ZP&X^NyHYoj{`I0-A`HnA;an?u|ilqN_+4 zT}22JPhp)1qNfDe#zat&6G2oIIK)IykrP2w6gVI(+_w_+xG2~YF+80xDiVfK5n?0e zR>FavjRA7PiMqtonT#ND(?N#|Ixl)rsFB&^R1}~fa$@LkpjTpmoM4+Q47SNM*xYwE zka;}@!-+p?Eg*w{axgfLU&mlL;Wt?rev=VS?!ckPRt*RvZE{Sc(PPU&7-^FcP6oY4 z)fLvZ*Wz1>F8wJcPbc=Mv7n$au{YU?Jt_(u5KEp?Ibk+g7-o|ZGad&goam|e9Ht;V z+z#~EtOhCy91sQ`2PZwY3&sxT3l&$M`$JF+~_0Q(}UH9$TD+!7&Bx?J1-a3iOnKVN57YaYBKL z0*9DTnBs&26$K74p)kb>1uDinOpOTzdTbV&iUNn2P?+k30u==gF`+Qk2?Z(&9MHP% zYMtsdJQW2FXwH7k^@8Wf+J**Q#zY7YoD&CAg>f(yjUBRkH~MVLVDMh+fpMaPItW-H z1dRHY2!FNP9c|U+aWN21yi66w%TxqKmIJ|FkDA+bIkpL$Frpp;Du|Vgj?OJz4gA|Y zKA!6`a`cqP39YHZ(3%<(TJ+e86k%u;BYvEEr0*=O9%p;=rB)^k730Yl$CQ>HTMoj2 zD@Ihs*4ox4U0x8Au@i^XLy$3ot(P4K<~$Ex27J(P*Ils_p;Qz=A<(=~c33TofpVg= zSQwqf2)1(Pdd;-kw8|O;2-J(HV!xF4Z;$<|qf#W-oFxSI5YM8c1cQi|6$msI!vN7k@~W4ovQ+@*?fV{20!GjbY@vuDaK4g_JsXYPjl zl>9W!_!->H-59kEZO!#~kB!si8S?Yo9nc7^W|A)1R5eYTSxRnXFjj zVy@pqTrVp1YC@<70Ac29fuXoloSO9ld5@fH#4P-4@-J!OUq?aPcSU#MvjD!AHFX}1 zuRC?y5jE^lkY*d?`dTXI#G9g|zvfVHBf>oA*#(*vtAfLCc-8zC;O)D%w4>IdD4E*U z(13O5xWH{CY~SPHe?&F5?`p@q=BUYV=;-peK7)T(WRX>fi*oiMOlI13#ClHe5t}bb zXN2&H8#)u&yp0sv%xDQWAGE|6rzH--%#i;ke?)8l@2m!zJIopgw0GdPj^djd6#p|` z{Lj%-wC`$(bZo~w?v_Yr9WIZOgmL68Oic5mPor2!Xfvbg=@IQtG1JqX2})Jwl*)!= zA=J$gtI3$`4EaCue`$H~!tKnhHt$xMb#1K;O^x_APG>MT?v}`Qr(k8xZFSpc*jc&m z+O|&6bcxQzP{U<~F#-JF-hs%=#_V$3Xgk{LYTKhbYv~MFC|jaUjl1hQ+nZ~5v^BGf z1jTTa{04(Z6l&FszzD^Z2({QLsKp~*HY1=1f~fXDDjL?V6P_*ZoVLbQtL!SH59-m@ zIt+23Uh3&}k5G4%c}5__F5p0xZH}*l%zTm4bcupQa4zPyAd!;}6O?n23v#vVKyZ$B z05ZKOR9bdg4O&OEzP3JEZ&wH}HrjW&pnV09iL#5S03#3%^rwwCfL)bN_1Drd1=`Wt ztpp9)WH4`&A+|Xu$IN|p6^wD*1!Cr9!}Xw35CI#3;ek9_z!8kG)3xKVip|8ap0x$_ zSnjDT+SM;f7%egO2}6Q5JBsY#gCb*YhB-Cf>5hCbGXnX6v9y#JnF+_D)<+v6UCo_& zc+(1u9)mfG{kydQ1H)l3rrZcj3KUS>LUzOG+}+kuhZRtG&~4vk9Uv&C@SvD#kZQ6p zNaVmYgRCU0s8oXIV{86DURm)V7(eyjAgjq5YK$S3-j;D*^D#%$yt+E0bj1dkuQCEh z1xiz4H_CmSeK zC3`kuN-xk-4zvstR#3b=`d5pG49p6u&0}+Dt#uc*dC{GC5FG7Ud@*CpDv7N3>JH;0 zGDRO0N6T8H!5PuF#Z?B`$Qy07K{jz|twCzJwB8__xwO$B5iZplWDA!f2C3sxok8lk z6g5bcON|C;;8K%88o9LHAX~ZAVvr^-wHag^mv$OtJC`~P(#)kUgS2pIw?SIDbhJU* zxOA*Rc5vx9gY4we@djz<(uoG?;L^zk>EzO>2I=C`euM1d(isNX&84#pvWH9O802U! zooA3^xO9O*j^)xt2HDG{OAK-xmo78NJ}zBhkmI>@l|fG6(gA~<$fauyauS!mXONS* z^nHVz!lfS?Y3xpbF7&f?NN205Ec_Zj3I zF5PdCbGh`OLC)jS!v;B@OOF`j0xmsfkPEr=6N6mDr6&z?F_)e;$R%8Q)*zR1>3M@( z#-*Pc>(WRNSk^mBt;$)#5eaut_;VUVl2^h<*r;L@)Qat)W>G|07F`n5r>kW032)^gDxmpG$u*$Pc*mM}z#3OMf=V4P5$*L2l&IUk!2-m;Ppuo4NFNgWSTU zPYiM^mp(JdZCv_?L4L%ge;MR=OEX}Upv&ZQX!d6`SI4Dt$><{0EvE|nVO z7hEbc$ZK4hZ;)SdX`w-0=Tf;re#NCF26=-^l?Hi}OG^#%7MGSALoRh0l?)L^p=cLOgCaJb@jZ)XlAibYtaM2-(vWdxtEdWt6ap)F`%y>`5TDE?{Uc3FO=p znmGj+D=H$;Viyo524%}j=(<8*W>%5AqsY+cDnuV>baA2&GrDHcmzjkoY4JB)1ur$i`eInaI++dXig?7GSdHM)zVI|E2~0O$+^-7Wp?dtIAKY zruavl>ff}Oo8}*)Ud=^B-^AFgTYt!A?fNq|>(`&LS;PK}%{umHY}T?rW3!$Q)zEao z(IkJZM@@akFE#ZUztq%c{8Ce&@k>p8#xFJX8NbxjtXEOL#n;zbi*I?Q4i&{Y9ZIH4 zt+ja>$O2!77G$wTn7ms1{sA74Jk zjk>L2I&Oa`6Z;{h+eUB}By%j$?Ut`SHrFY0GtvFZTB2M&kK2eIpDY`?gpFGX8_8Mh z%#9`p!mOJ$(A+^(40AbeAzK>F%|wlFn%OI+xuq!P+?Ljp!=db~M+Z^I<;LUf!Uk;) zL6WV;<|IX3rv>U-qfBIt z{g@fk#oC9=*Iv(lNI2)IHbD)#R=*!IYr59m6*~!{ms)y5CqY|NV=KZET#n|-dd^a8 zNAF|a`<=L%Ejue1#PwrYc?%-y-`QGZ=ME}vV!*khx?3YN7}yme4rd^7PEMBH)~%}*PN9?+sn7VO zrat4Bn)-}iYMP*4<958@{lZ|uvk11Qpc`Ru15uYo_pji4LU0>VmuA@{?$RtPddp-t z2vNUJjcB*eH`(BJqUXFAJJ%De=oUb&%`G`Nr0CwnjGUc1xS^=qjoZM3n~G}B+1G>H zh@K=o+kJ2|(O93g3rJ!hdvlP)oZPp=?lN<3b=|Rcb`)XlDe9I48;@{iqQ>{`N5bty zcVc^+5^f@Dao6r8-PeS(sY&;oynCH+yFz?Ew`NpeiWC2>n8cf98e}|~fUoPya7==7 zxtn`t8e}4wL{E>zgbCMaHY>s)1*DLk#CNG8F5$b>WG>;m)D$k^yVO)J;k#5Zm+)O` z8kg{0YC4zjU8;mj_%1brOZYA|lS}w6HH%C5E;XA=_%1bvOZYBz6qoQ_s+3FkE;W}+ z_%2n(C485f$0dB1n$IPCms-Rne3vTc6240<<`TY3E#VTrOI30S-=(U!gzr*IxrFah z%eaK^Qp>r7?@}wcgehiOuIgix)n^#;$?_?fQK2+=>wX0$TD8}s=o6I@^mG$uNX%?% zZR*4f4fh0*Rfe)%X-*|$6zs#9v(4TVwq|R4+ip73PE*=a$#A75m5ih&8Em`NhOXAU z*640Jt%FXHc1>b;g2$Y;r{wXu?jb9vtX*m~Wfv&hv1Y01UM?3m}BA&H^#?MPEbxvCH<6>VvBVZe4`#9$XV?$EGjy_tZt%X2P0s8X`y;O&OmKc&ottGSHMWG3N#LY?~E6 zO~nD{d#@PE*~&So%2~>}2Kgu51xHper$6MF@tuP-Rvi2zEFXd^O(C0-E1_iDR)UE*AC%stXPfQ6IAY2?nM*RV%1@~=~UNc-;JYSD{3^@ zLFN8bU}1KDAB&#XP*Wa4+t*_kTfS%0a@Vqq?%RPD+L_(vMRYBGF|W8EE03fq*D8-1 z(ik4okGrD;7*%Y-?WR}a5r@~QSWfkdP;dZRe4f*22-o^>e{f5g=LEg znpREC!<1*Ils(NB0r{Nre5!Ilc>(u2-aEW#NE3Kf{0v>7m0wq9Q}e`Ck>;j)O?eqB zMRrlqP+mocrM#m2!jOu%&o40@dkZcyZ}-O78p^MfH>mZSxK~(fO6a0-x?d5l(_h22 zxh(?JcPQ%H%DaX%m7`*kz0%Q%O?wRG_x#)+=#1r)0Iif zoc26iOg~rtL7VfRo=Wjf@k}}^{%nK__=0BjZ#;4E!v4pQ7V*OVAD)-E?rS^|F-gVt zW=q&vHQ>6$Xk=)rf@dUdr5VyPZWY9n7T2ZWS&B>PhP0BO&BU`5*WqW&)^S~qA=PrJ zH=c9&*}kc&ir|*&`HB8`?BOQ{;&F>hgYf{wrJ;t@#O;RR0f_7J45^jtM&eP3>qg@N zjZ67>DB{vML+apm6AWn&mnIp~v0N%Nqzy9sf5LeOhzgpKPJzkj1(|L)!~r090j3(#McnN~YG^eU6}*PUhIA=6 zJdGM!?MMZ$A>M=L@C)QDL%M>yoMTAWaOpg}%kZ-o7}EE-?jl3FiA$Fl(yd&&%#d#9 z(iMhu50|dOI}i6gU`P*e-L-fl;=1n{(!*Sbr?&uB8yV^k*_}hZ!H^#1XKpg2pK$3G zLwbfww;9q;xpccBz09RM4e6I$x*P9p9RFTJdW-80;th`L9x$ZeaNR?O^m{J-*mV!x z-PF02EnGnyKI&e(;+$ZS_;^r#O#O)={gFdFiRTY1keR!tJ{<~_8fu+#DxSjC=Q36G z`2c=gH9-H9kRgALt&X-g;Vyj)dr?wf!X!NB!P(p^u zBtTDo%uoKsP*3G2|B90zW|I_p@-u$&??zxrpn|sfCx*n|!PL(T>EHb9KMd)=T>2M! z@jANb%==Q#i)5((R==be|1o5V+havrxl?lQ(}MSb%B&SD9N9_d)t5gQpgO+B3%N!N zS!MW|Y{)?_r5LioCDo8KxuhG|W0k&7YFOeW)1Ah6??mqcblWg~MDGH06EJo}1(|O4 z#g8D!bSE!7C)l>AKfgAAJID!-3f~y(K`~|=87Ldkm+_+{D^X) zdrt8q%7yM7#g3>T(+!~b5#>U+a^gpn3*D57A5kuJ^Cf;nxzL@J_z~qocShnzlndSV zh#yfdbWbCGM7huni}(@cLU$u#M^upMRzv)Va-mxa@gvHGZVAMXC>OfH4?m(@=te&L zh;pG@^YA0eh3>nZ+zK zg?}C${=xd748A^yox#EtLtCz`NYj>S)sU2CtQFE$de&46_BUWotFf7Zwg&tBTA^y+ zm**W#2Mp`@Z|hWd;&+hpG;JN;r|rjrM5eTZ);0vS_1Z=(Q`*thvDN%NA498EhNVKk z86%F65YAiZ>pr^ZaT=aQ{UvMmt9*Q*<&_C&fC!Lb&sJ^<1Qr z={hOV$qb#$)X6NJ%+|>qogAf;Qk~4zNtsUO>14hk_vU@=^@iM!OFuB=0bIJlkOy<= zCM?q7z_%FkS@PL5C~q?YIe}hOx*hjkeq-eOA86X0Z1JM=d=VdV-$290$+WvuwY#)? zaN*Pz7}|a03wn5v9u^wf1N`todRSy=5A#DjYss~f4ee2Wh`ks>oMk0lYd)X;q?D%p zgs$jwT?!^Ip68RP+7sGS23f$?8EVhyWRXtFb+T9|7+A01+81?Fsgo+5EY-;}4E?i* zKkZc`kRd-oYv(ncV4QslSN}>UD_qZSySGML(cQd7M;op9XF7I~ydBhjt-WI?9ZDw! z!n1?++mLc1eXP?YGBjoc*c`5Oo=tFdY42qdMf;joL}S}qMS7I^%4xb(;Fm){TrJ0 z#PkH#O>vc>&(vq(9;oAURA@)rjulb-=z$f?5?LkaKJ2Qs+a}?yTKF2FAElR4s`xY{ z(@h20GZhtNx?>mJ3xL!pXlgbfBc9((a~k~{D?l$(H-~r5q+Ygo9FQ(`b0;! zz~e{siH`1N$B!V$bk90|1VN_z&9NgY$aG&henh#@E#dePU+Ipar^3*EzvA5kuJuQGl_xzH`f*bx&1^K7rK2HKcZad-dy~Ma-q9z@goR1dG*l_^EEokUzYKVTI>!0^Ci`K*^bt8 zGf@lUJB;_0l$*|Tve0yV8@xyWf4JYa1uyX)#&NISZZol2re4*+bLyiPmOf;q+J==QA39#=^` zqO`TOt&@EXg<%LCEdQAjjtNy}HT@xu^44Z>p|>?W@-hwRlUyQ`6#S_~$I8}hI*S0e z;cPlxfTfrjz*5W$U@2w=uoQCwSc(||EX8~PmSQ#lOEDLKrI-o8Qp^KjDP{q%6mtMr ziWvYb#rJ=f;_E+4@$H|b`0~$EeD`N5zWTEi-~0&)Wz$C(c8o9oEX8+!mg1{FOYzO0 z+2Nsv9plSCOYz;GrTFU4Qhf7gDZco#6yN(w zQMdb*jOVWwxA=~-rawwaz|rstaJd1iXPnOz0FL2WTv;9=^bWzr)920f1vCArnZ9VIFPZ7j%=G7G`m&k6Vy3T}=`YOmH8cICnPMe8 zD-YJevlOe~S&B9AEX4|VmSX)oOR@T$rSF*OyJq?uGyScZ{?1H)Z>E1R)A!8uk7oKO zGySufzHg>~G1Cvs^si?6p_%^8Og}QyznkgDX8MVlerl$lnd#?d`VX2)Z;~LL{6b{? zbd+i@?hy3lZ2Ut~3LzC^^AAc|<+x{vGVad1rS$w9DRb;WDd(URJ}3>U9GgGxpp?6M z+?{X;=x5;nG$Q>U$=1k!G-w*B2t)(aYRXN^N=dHNi9x9YKebRl|8AcW)G<Yk8l^N?C&lUkdI6lU7^CAFa^q;_~nZL~>kN<<2ie*BV( z^n}z-52-CSsk%g@FyY8AsfM1AYWI+8v`KAEL<*y9eo1Zb38_vGsb-s0OCnMj@bgP* zM^8v~c}VTFNwp^;)#0C1S5HXo_K@0TliHn#6sDE=t*IWxl$X@eHmPG0k-`i&zodH9 zd|py}ZBoZ2BDK#ysS|qAe0x02ccM+|q(r1JG0ksH^(dy!_K-ToCUt5eQm6SRb$U-~ z%1i1Do791VON!^%;6y}6}i==M0N!^l&)UDqtsoQK)KT1UEcK@XA zOuqTYh}1p)N!^z`se?AD`xBAEtYN<~)uY$;<2-@>piSzbM5Hin*)ORcU48pJ zq<(CZdL$94NBxuPQB8SCJ!X@7JP|2O-u7ElPxd6HPVm&!Q#Prm6OnqxKdI+>Lh3{h zspoA{FC-%MQ~#u1>ItcnJ*0kSllplgQkY%uxA|V}38_;&q<&$OdMyztO!W6l>Q_A> zb*hKd8#bvo6OqD71HYu+?g^>=9#Zevq~1+L3QHaQlIqcm!xeED|KJ!niM`NDndjkD)o76uNk@^N-MtjtGdP)7u zCiO)kQdk}1xA}V1PkBjwX_NX-B2rkWq`tOD$s_?Ox*EtQDLIfdDLKU^ zr6eMy`X(jyXvq2kcc9CfO-fHh3agoX)|Awv8?u*Fs!b{_5h*OJ@=K~mf$k-hZj;JL zL<(!X{F3TXpnFM$Y*JZ?NMX5|Pf|S#bT6qKn^dnvq_8f-Cn>2%o#$Flp!cy!^-V+y zOGNyV>QSJt^NtQhgze1*xA zDzZsUPDBceSNxJHPM*{>o7D6~q_BX+FR2~{`X!z~pJ9`lnTQnD()cAcrzbV#C3Tcd zsx%QPEbH-0sz-tDB~@mVnwN+aRv7suwJ`abT4a+dPeclfo&1uj=n1JyJuy{jld4KY z3ahRBl3LyqQkQv1t*}W|CnAOAUVcff>ItdKJ)~CKq}C)Ng_UQ1Nv-b*sVh9BHrS*# zCL*=TKdH?Q#NktQpYVb`;>d^p)my|t!N=`I? zimp=hTT?xH8TFE~=TFIr=10SlIqcu=K)WkciE(NB_f3ttv*ThY=FZ{YL89o=tQKjxYj2rxkrKSC3UP#YHuP^ zSm*1LRL=t4OKP7@>i9&Yu;kb$sh$P8my|uzOinP0T0L*+$Qwy!!1m2*vfRJnPjd` z!9fU+7s?mKL5_VuzD!I=1X&mhdAWQ=93)sC_)*VUUL#*?Le3`v{KKvb`2)s1Apby2 zldjN#iCuDymz?RY!zxLc)j?3Ebs%5I>fwj-4e>b)d_caD?eZPM9PT-6IovDXXXfAuj|asW#J43SZifda{gC{y3F!{k$Hj=AaODe76o7a=9GM^Je{xk{57sZf2PXh8~`IWdPAB(X4l^8QVY+t1% zXF>G~ci6s)p!x-?hc~*(;T^v@ylLm~mOF>Ke$OFG~_?99R4i7kClA1mtBU1fLH-Y^!xz>$3Gx{EY2dI+t?k3 zF4M(|wB~i0E?1;otuB9v%mQXy|AiGPJpjWHOhPzGi}p!3Mf-<1-}s_^YPW}{XrJ0e z`=@N()tsV@9fzWQCC(zgXkVCF=<>g5?XjYLDSwTk(RGU`(ih0=-}w8I775)g_FiCh z*-13~BP1gq8HQ8BN?3g$kS5M{5x2B!G$SAfc>j4T+mRTcZ=-p_QhVt(X%y|}%Q}+kTs7T51 zHP8VfrFHW}8ZyIN3d{@47XU8gfQtdR@EZbR7fk_R6$e}nz^ZQuxFS$(MxXOgH1@v0 z^t({d_$ThTc4WJCn7e5NYRq1Ot?ZTpD+8x9nr{+#QD&JF&YqeADc{+t_x&fEMs zHwvAb{W)(HI=A|BZW220@aMcu=-lqldArcL)1Py*(0P|X=N6&!9)HfQLg!=rIkyR& z_xf|*A#~p7&v~cN`2>H??Lz01{5f|Bolo)S+$nTE&7X6Z(D`(K&bx%pXZmyAEp$HH zpYtA}^SS<ezCY(@ zh0f#rIX@?Kp5V{?;PxI&eGof>dKj)td zooD)Uep%=|+n@6*Lg%CWIln4&p6k!~7eeQG{+wSEIxq0&{7a$pB7e@W3!NAHbN-dk zxx%0G8$#zQf6i|TotOD@eoN@Q!k_c6h0ZnpoZl8Yukz>oj?j6HKj(La&g=X+|3>J% z!JqSQh0dG&IsZ=RyxE`g?}g4={5k(Y=v?p5`8}a?gFoj#3Z1w5bN-Xid7D4yKMS3k z{W-rcbZ+(M{1>6~4u8%c2%X#gIsaAY-09ExL!t97f6jjsI`8r4{E^W47=O-x7dr3t z=lrqId7nS$PlV1V_;dbL=zNkt=g)-Br}%UJTSBp`1VLtdT) zym)HT?~1B5|DR@A%Bnrt$WN1i{FNB;^CTeO5JUbm z3CK6akY6ML`IZ>+%OoIwEr$GW5|D3;A-_rj@*N>$3P}R;T`{Db1mthTkSR$({#FdB zCIR_7F{GXZQA^Rl(`GFX+e-e;?6+;e80`fyKl3}nU@6Q$70Bl zNkD!gh8&#)kY%IXMZ)FT{{j zlYsoU7;;(?kY9=+OOk;6j~H@h5|IBDL(WbD^8dt;M;fKxhV~%oIb`Cjl7}LpCGr!iA2DQm5|Dkxkex|D_7g+yN&+%0hTM|` zWPdT_F-brU5JT=w0&<`ja$gdVgT#<0Bmp^C40%!#kVC|frz8P6R1A4q5|FuK$kTfc zNyaO~;`eG&@_cL=jNN@FDkB8Wqx?A+D5C|=`Tm@XgwEsqIZqZkPw?kFMd&=qpYv3q zbD=-yVxjY7f6mi{&Qtw4PZv5*^XFV5bT0AdJVWR_)1UK9q4R8i&a;HhNBMJ}Ep(pi z&v}l}d7eM#qlC^2{5h8jofr9Yo-1@-?9aJO=v?8?d7jXj?c*K40k>z{bM#^Jh0e?T z<-ADfyuzPzxzM@BpYvj&^D2MNON7pA{5e+&o!9wut`a(L@aMc#=)B3F^D?3HW`EAh zh0a_2Ij;~p*ZXh|mC7e8(YU+hL8Z}`Gw#n@10MRn6>%$E3Nkh=8S#vMxu^=^0B*cIi--kWT3spe>w^Lm-N+$JWo@0bayQd zH8=au4lL?6OKkX0vm8#d+<9MM;bF^iX}4M8Cjn@dBWafH_XVmBPnMyu(xG&kyZTQ< zwbI{6$hccMCjX$a_n>n8LFMGw=4o*KR9A-5MSrTx{FwlyD-;6YDaxrFFgt^!lCk)S zsLO$N2L86;*GZN09#AeA8+b&y=%8}hjU-q(?x1qjV~;Bb9#^ha?pA*AuyVuN9OcGP zV<`82<(7lW?T;&WLVdS#-$CU;cKGl?vDsJzBZwXnuaUk__8Q$4J^P2YN4 zdHbO98|DxU2bsg~!a~pEu7|}V1x}$ z;mOC9Cq01cy3d&driarR;NQdPF2Ia%MmK=12>`9O*&51>X`4?#p0`hCIFse~Svb>` zUnm^1^E==wKvSX^{FldYNcY6Pw1_o@vSWz-*Ccj^3u{{_5f*EPtZ)`9#aH1hS1Gc? z*}_t63r*^toVyg;LKC_-v`euqwiGG>ssCDq+#c%fEu<5kAq zCkKW0blXaXgom&khKGl^au^yO>LEG8g6MQtl}R!;oXa3ag>zjH!@|QnB*$0~U2!DG zg5-GX^ezF(;o;#dhl%0gt{n2hdF~ugm=WO-%&s6j!euuyJkqjLo@9j{6&}Uxio&B@ zcB8|i9Xr=mygM`l)z;1J>wSy&?$~01&zSHSmd}*%7*{^|v~B5q(riB3Mq^$1?Fmim zHb1r4%5RT1zq?3p5+wb|0A)XZT`EepBK?5;hkT{%mwHPhr7=hsNUNoFNS~M9lHO7F z%l+lC@&u%3%2&zPDEkA;0+B$yvOgsyrFTj{qzhBlq^w7JbIL<0k0AXp<^S-DV*8b` z%1mVr(pKeoh0=XNS{_;SKn0jYvZ-qS}Az3 zA8FDKlKij903zcVPE*bzlBVpZzn*3tLwP_`F8Uh3E|g-LIA)qh7htAOGV61Q>auow z#Omz~h7mo+#qB0K=gx36Nfmgz5xP5{V$tTzk0C}kKyI)^Hf~yrNJauQH{zk}+^CHt zbO=;8vL9QH&RhBslI;WCor7O{cP_V*UOsbi=k!f{?8qu1eZE0f?(Dv)pPl7e((fB) z>CUz%zIN6nq<;^xc4yyHf1AQoGO&jfEE)@0FH%AVCxPR|T1$o|iRHyBA;S&>o)>d1 z$vaG#UfdyMDFG+LCEYgy40%=XTmb9h(p6tMfoShgfYFBP0 z9m)%&Q%xsb>N2uRZ6mw2QDhGtf{^aS*uns!s?*fz7`V{MK(&NS!T`orqN_8YOCc-C zV09*R0rC}ev!GMS`y^ML4V@y5fo=|Tx|9RmQP649I_OHFOO@t9Hy65~^bT}o&>7OR z(9MG`O`ZVVeCRUde$Xv|E?vF`x`oh%Dr5|)lpzD<) zLstP^PRe@dDxvF>G9S7s=z6C-0^L&R`lZ|m-7@I<;+I)4O6qATL)dPdIogs zq03V{aI^us;p$z`ZG>*5dKGk=pc|pS30*C8qtz#&+YH?(trWTlbots?=(a#NhK~OT zhKbZVYnVtX#6u|k&DqoFb2c9$Qlo+)BKqiix4Mm5@v$GZSvdB??37~ybo@$fGoO94 z$l0Mq&YO(d?$Xb8>BDy+m=w|rmAxMURzhZ351(WnN%d0%EsBwW+Q3zmvs9gj5|a<5&L$Jtf6&#ubj6IJC2R#lQ`5tX#YqpqktDN$9b zV=!(S8+7M(Q&Z>0)|6xi9sfC6{4%R3*j&F*doC+8{Yh;aJ}28{K82MT#6_WUYQpmE zPguS|g7Tf$P5I7CzI^9-%6Gb5zB3Y)?@X(FL)VftQi`9Ro`^T)vt4a?uAq#ugU`o? zhIjim?H+tq&lg@b@o?S0oGXvH-r|o9jp{yMd+_<#P>$1K(IFZ2LRvm+@L9ds_u#X7 z={FpFRxkf%gU@?IrQH|EJ@~vgQOWsOu{rpxUg>M_d2eV#_c?PwbMRTc+SlOo-q4N& zfYu1h-cZbk0reWYeayjU^*UdJ&&MT-LHDrjafwE$?P1&F;)Xo0=fwEMQDTlMt3MEr zDf2HE>~n;Aqbp+fB^X>*i#>)3zUO?&;@27?zF9m(jIRss!TEimCEd4&yMFeC%DXqT z>t|nF{a{#Iz20iq<3oJ`;`-oY4r^Ou(zhOROgf945If$h@^Niz)cHq;9CgkjC&rF+ zsu}@vRGr=S>#kV4>mG=AI@7N(^=%S&|oi5-|uT^I*aU&%i}@hVGS&2 zk<$fvSYy`@Cmg#T1ROjOSRXXA$QhxT-NvCkL`%)+h2k2IN2#o_>_-xfWvjGy9(3n; zW~jtZj%Rvvyjy*OU5?hk_*24xarK$U)o1b_!dn12sJ^}0a zWXI0ka_5IuciVEx`)FtS<#1ccl<*XW`c-&}3w3IEs)Kq^lkAJ~{Lrwt&?*iWQwS{( zE_Ojo3s18O$}UP?DbvH#nXM9@?y@ZjmsqxDrOXJ=V0Kz~hRbedc&0;((VrEb#q5IN zSuVTT;n|LzyHYOjS1EJCa~Nt`c#aG8sPIt^s=HDy@K(xQWN6agL`DUs1!mye$Sr|~ z1CQbx$flIGly;=or`&_-3HudQ>8tcdx>#ASY(jdba+QK_DauF6*Q$(fA1l;4wE^i< z>Mzwd@C{_Twpgn~+NvF|;oFJ!eeGWDexz?`f6+cv_Uozo0DUmhsro{FG15(Xn~wbS z)AY;qE0Mmf|4si`*&iGdoD?iVx+J(ESc|kHcuH_T(yM~E1@A!mNvfQxSg*U;Bgs+! z?^}zeV2q3pll{MMEf*iLZ!P?@7QX24k2=R3@y{*Zk2~M=%ZbFkJ8IwfOO5@_Va9j& z#by0>|HWlS(qCNYXnrzZZS009K8zo2)*t4NHtUbTN1LW2^wFmIuzy^!uCXKV(dIEW zYCG=#cOPx=y~(0}B){0ui|a_?yNWRG2z_+2K0MK49LYTFqKqLEj$l!&;_#2m!^xy0 zoR^g!t-fy~FZLx8y$7APGlUd=3#D_H@Y~8Sg??|PrQ{DdL&)TBsi5w{ew%qJt^)Jt z=Snj5TP(A?+~0P-_|#`N_LG|W42$g&GVNO~zEuTQ&r$LnX)by_nl`9Om}y zOPNBBPpKg%q+Cu;OnIA}l=5G4vT_tTMLC6>syv5@4DXZu>Ns*bX26`GK1$BiCXutX zC^<*FlANpkoSdhpkn@9KasmAcET4@}xdSju*I-|PG1o^+XJ3Ia*GJ1>U-mKAN6Tbi z_A%E-3qi-``e<3uvAI54Hgs&RkCp=+o9mxjtGy z=-6BzEesu->!bCDj?MMa20)h?n1Or;LYEyFL2|W0&}9W4g>Eo(y#hBuHw3zzly>Nb zLf0o{19Z92^-ehm-7x6-rCbLc9`Z2#q(5|d(DheRpc?^QSlI;KNazMCi=Z0?-2esi zjDjz~O7P=v719an{8-}?=x!QQ>a@9AWn*d#&`UG?n zp&PDMLN^Jzky(gl@Ek`9xX~bfdKUp_>d{zV=p3yHx(?lR=*H`dp(}xIl0F%_8PHACX}!#Zu284-G7Gu_{YvO&LpNDJ z1-d!V73m*CcNBC}^|zoag>Fi)2)en@O$!c!t_-^3U@dg>peqTMLpL9~>B0TbEr4!j za3^#Np_>uB1G+`f%?@4xT{(2KQWfYHLw8j0@6auQZVsJF5I3WONXm9=$sShcWsv!V zgfjE_*PHvbs{9AE8vL!o-`f1UwM_@L$bCv)e*QtN4(8*qm`{ry)S7a%Z4YWY0_1V! ztoxKv>@?X;viw7ognai;%)`;>ei{_|t~_c{LKeE2Vj z^*`S6pWycAGh$Ex6#2x1+9?OM(+_HAnO`JA3q3IB=4j_RFq8blEQ*CW-+?Lg4^tis zbAbah*+0zUSeOePn5o^tTpU+7OJZRzabTwThpC8#xzvFval>>IX_cWu=bI6Yw96d- znLhlhV*M|7{Ac^{UmELwh2wve5C3Jc{#QEwbA9+P4^8%#|0>6So)7;OvH4%^_%HC` zU+rl>)c*m;e~}OWn%MlWar_ti@Lw70f34$R;lqDbtp9b6f0Yma)v^BHbNrXluZVmq zsP=vLH(l2QilC$7f?Yrv>XVRoyAeLG6wkN#VoVU27d( zV(EVE-V#j4dWOu#a$xO#Ov@=TsPqt((y86|O1?(JC2xpZ1bfh?iKE{LCrDI@cLg$mxK=4 zF6JyKy_awn6t=B!-xu7Kc}arVDseS`37dxIr{*t-t$9oXGACW>eT0*)7{~mBx-+rv zQupLM^KN6#!P5H+=U`bF1MLX7On_ld$7%=!uEnl# zb553?FP@WyOUSj&Mi#j`(M(upvY0u0OCKkmy+u*o^P;YH&v-S1#GKfrPY_S+@>GgB zxl5lUp4??uiaA|NFYJE07ORxfa4DVErB4o*x~6r_4bOF^b-6FU1O6(dEL_G=r-sX1 zsPn?}98`Cu9Pm~OeWTZ>W6TB{Z83$>@-d?PDOg3@r;3djDM%6rl%|W z(`Thur7uT%V)}*YmmqyQ{q=OLu226YL(agAFKoDypD`Y3X~v3-l}InkxGCdSq;F;X zHRB^?f2Nw*Hxo0~GACrt$()OHRc2%6Hl(*@K9c#kHDj#z<7DC2$_Z?lJyw00>+|{7 zhojgMd#;T=Ls!c?XJXH$#+`Lr{EIBb`LD?YwxZsPLhaaME$yzaF@8u_!N(hD8up38 znYj!m$kwV8D5~N$R1+^82e^a;MZhy&tVQXm7RPhR}ZSyibeW;i_IeIAqJa|rv00JDJfyrE z;^^{<9l~d!9R|j3)@(b1!-S~|n4`w&q>an@w70{Qk-Gpxd)U`e4AGzWA{%y?(cR3C z1a{oT$hKcNjMZ*cnKkq7Na1&L(XxqtN1!tOwZtHC}PO=iL9XigSnC z{~|Y0FFWslunyhz_`k|e&>K&ub2+6gWDVwW1~H>kCnK^dnLg z7(n#E1hO=+9%(CC7Qp$y?PNLjgwq2bkrgR8pE7||)4kDBT1ia`&Zpc?R;J*5%130C zg7eA*vRc7;rHQOn?jY-wFUWeelx$GXB(>_LWV8Ansm07h%r!)cy~(s1q_Edok#-?H z9qD;U50Hp6Um|^<)CYB<2m6qQ z;7oE%a4oqw^%HW5v7KCM+(9lgJ|mZ>7n3W}k0n>8KSr+3c#Ry${0scdIjtBh*L6Ba zF-2)1gLOJbF`zsT9i5}7D)*3Foz78I)NJVJ97SFEFLZQ{qNbu8I-R4Ks$$PLoz77V zs-KV|vWOHCL;VkSUMq)`t|?envlvna=EwFXOCV)pZfy~%gp{Rcz^)2Xw%!kROCjax z!(g`zQm^1K$fE*z^a<7@k4ogxJNP1WRnYYd9)#}y>*_v(qpAWZj^Fp5*?q}ocR`R& zB&Z;Wh*FfUlo{I$B18oQqzHmY3%zR)g+PD=5EO$*Z=owBv;YE<2my^mdO`^S0-+nl za{d8l96s#t-gjop?Ay(q{qS;6D`lla?^=aQc_Z?-GecCtAZKo{Kr_af4uk3N(aAh5o zJ?6_+_O7xjzBFYWl|2#usj^PWo(%7&th2JJ;hfX=lvNMsoOV%G&9CpL+f`YO@H@)7 zDSOJ_T3L5xHT?~hy|3(P|4d~)l-2T&Rn}A4Gk)!T=YF8a+>IR~e^;PylpsBKc%AOCbP}W~rgTPE>1C-SdTv0Yq zS))LfvS?)ugSsZz9i*&DP}c;zgOxQ7Hd8i4*^9v%%7!Xy8tkoXn6hTUw#tSpdnvd> z*$8DVg3FbSRMwn}RqxeZ6bo}lxufqlY?RRUbC%WsZ}nt%f-y8e7~^rL$XB&61WYkg zTw@7+O-gAjqp_^U@)|2>j4+i{&U3HIZ9qAD7zYOJQQy2cts&sW@y(`cJecdAC8 z@-W?e(I(uTrXWsNV{0)og`P~~@$B{N*DcMH?m2M(IQxO)+y{>H|99+~LT|P=$9tye z@#)^2d(Yv```>-^8s38cj@=mJ)hlLuay|KaWS+OcovtOZkxok%g&7tl7nVnxjalqt zXnC{)pXJfsah69LtlhgN*mQKBF{TrAhWDTgbcJrv9o~l?&=Wp@UeFspgg)>Q^o4%V z9|pich=xHh7>2-57zV>(1dN1HFdD|dSQrN%!zT~}b+8^bz()8EzK2b)8ImCdw!l`{2HRl=q{2?v1-sz~*aLfEAN&aWAq~>u zCpZ8HAp;JCNs$c7v^183nJoQGUtC-Z?`;4lv^ z!X>y2`EUiULIGTZ>u>{Z!Yzmr_6B>Sv1i+JjJ?QSZ0vMJwof?5(ce4O zZib3BL%p1#CeBb;WT-qcl-(Ih>kO4qhBh{B&nakAGW4q%s?H2OScYOKJIP*c>{$K# zNKrXpQ3H{otj|ynW@zd%)bAMzzlyrI45ed+GC)J|nW4bUdDCfQoG7&&J~R;~K`cy$ zDG&!!VH!+_cu0U5FcW6M7cd*w%02|>u_#QUFW=Mt<*aBN&8*GOikP16t7wm=~U=Qqteefgfhl7v? z>F^UAfDAYUhv8@V1v24RI09Ml8ytmWa2!s+NjL?kAscew44j2?a2|5u0_4F(xCECW zAFjYvD1d8l9d5u)xCOW24*U*<@CW<}ci}Ji8~zbR#qS{&Gs@LN9H78Fgv#*{O2R{^ z^$wwkJ0ujszz5;rhX4ej7!-$xp#+qKN1zmxhB8nV%0YRk02Ltu9)(H}DeM?~yifyJ zQB9}0ZSENT@E31D6tsuV&>ea~UxI!h5DRgT0JC5YBtlJC083#7B*A*v z1Szl$Qeh9&hBU~4OgIXsAP3IDMaYK&s0TNo=v@{vyDMx9JP-=u5QK+?RiD`-PzK6F z1Vlm=cnWGkU1%VzCd?W`GiV8~LMvzs?VuBMgC4?a&WuxRbBgT&FbIai2pA3Hg!MAB zM0=jGbF}U{Eu5AX1!tD?n)ABlsCUeAN;oBr6X{eoPJjiuB1>}?i}Xom*O=Y5^(?ve x1>;n8v@q7x+U_)R8e7z}Sxz0@&(I@iDAqHS0vcNK?07rDxbgZ`=V+hje*u8c!|4D3 literal 101393 zcmeEvd3;sH`Tm@9bI!R*PLg{Qw#yy}TL?=MAP^7?VGD#nNZ6NfNp3oL@t+`()TWNEN%*UY)YfW5lE{$St&JU#rou^$EuE3}mT=Rg@<>Ct zwtH!7T~|{?BR+{}O^sV7;ZGxqL_((hipch^#`Z`_M|Vr@ibzMKQzM#0(hTg09kt=6 zutbKIGhtGDq`oOq+c~LpBN9M8PMW_Hfjv87U_Z%9a+xW2f4ZN=j3l9jn~Mu}e3ygq;HlH6ozyiZ;=Atk@^ zxWR=x*7mEW=YvXk{i+cq6HMa&uKm(uk5Zlhb`mmt;$8bA2Pr z#`mAJX==w3bbNZv*A^YOap>T# ziorAMx39>lnz**0qb74i^~jPbK_$Cs^@x(Gsmizw{Y&KOTh@>6+_}^zH;++Eg48}z ztS_AClUu7&^0(x=aLOa;n+iLYs)JWgko4BlY2^(q>qfL~T#=^*hGw;truW&Eo-!!g zmt3}U;sU8-RB~wRGTGOaDh0<%Ny9pp3@mS$xUQ&v z6Xyj-WJ}CGJ1ogrw4DabXlmTIZFOl$i7z{pJR>_hNzR-a3f7~Z_CWHkD`~-OUs30_eZJ}Gn*O$Ce%Qe1jW%=3R^lBv->}nZY1U}6LoX`Bs za1!M+vZ#4&(dI~IUPH@(V#?P#UotO0Qnq+czlsf$BMZ};c9su8{@OsE|B~cvR!%i% z;nIz)JToFzdDQxinS1)B4J_=Kvl#Ur&f@i+og(+8^}dGTu1YUeK?QCR*9CWK~gnbU7@QS*SQ$MJFvw8}LqIJjs6>Zx>vzB6xKLEW5!J-ohF z+x6vxe*M0Y{nIw()Ba+ozJBWF^|)VduPCPX)nMg(DXT1f@ah&G2dq8U&fdf7sne*Z z0%hFX^mUUvtBPkWoVads{lfG;tCkKaZj0>8@{cGjnbnY@l?6*mLcVZ#Ve^_|T-SB0 zo7aHG#=oPiU+2!e!8m_{bv`^IR2YfuTY~st z^}zTfRq%EzP{Jh>Hso(+yw}XC-$=_-X_Y6lY?{7PXXT!`h}B=g9t}Jf7(BCl^Rrh^ zT+8FDX()?-b>bl8KWva0=fN!-3$|?Bw{2(oxyGI(5TPK(TESk(*T3l zXr(cK(mGrZYR-V>0Yz=Ry~bGWHE3xb+R?~w{p1#0kKMSLk7 zXGyYDyAju2roM2}+R2So`?7YG51ayCL#CO$r1>^4wBP8~0aF@)zjm92pBh=O?pc8A zwPntx9A`WL=NJnoTPlX%_ z?NwR3acb+bf;@g5^y@RWb#U=!S`V~+7FltVKbPJ&8*o2K+fc}^hrEHs9W{%&U3rvU z+3dWrBD>{Lb^<&Wuhmg@0=!idOO)dp_SG(I9x`)tM6C?AY@FO&R*|!-d`M6pGHUQH zeQ;rCBkh0IxYeYMqH&fOdJ-JNAiAUmlelqXaC7k3hR%J>t#X9hRItt)+c3U^M1r_t{0;F{0*+@aT2!A61eXsae%0s^4Yz8+n2V5A_$3 zQ}SgNHzQY13KeZ$uZBy~*A;io$;=y&wt@0r>pbr8<)hz5{FSZJ7vesa?Hg5A)H?n#n*Z{rDVi&V-v5BZ1=G?O42Mm z+J88xa+8nA+&;ggk8fG>jFCyQFK=8h zyK;e~Hl*YEaIK;!wZXNeQqsz8bL&>8RY>Xd{@1i&X4f3re{3j_hxEmLbYU`v<@7aGg(Dis$2jQSr#HzX8%hLBC7m82tvyFN#<5dJYM$8@s5eZ$JnxPIODE!s~E!1YF-)0}u_KPHg^^HatAU2RQ`wT+#nt<7zXO_617 zosF$69Xam9(xz}nhep!y%_6>^MlvLlC2*EVU(>TKT)QpY5XqU_+S-((kxYqXM4i$| zAAI~^=Or>c>SRuRduwx9OJ{X!c_Y5cgqt+dUm}5Mt%ItWp=GkVv95EgMg~eG-K~?z zsN=#r!jqc9Ee-hSv!iueWYW?|=hoJ`Md6mZrbtIld28#ot~QMf0e*Z_jSQ2B%Izf* zG`9VH(jk!0%-wP2gn)z?R0Fbdz7+IO%67XtmP)X@Qhu?)dDM8!Kk zVCCe5TUuLk@-;FZb>9+hZft2NZN+!2U7b12;oA1roGB8STy9pb^@WRDPO5M^ptd9J z_2F9jO-gQTMQvMtbGvB0K%d;$QPWh{(OJ`uTD4BEgey*_n%MiZU0a$W^uu3Gq`kehojNq5 z-eUCd5b9x%f(z}TPh~Yz*A;G}-i{-jvnmc}bVofo4|%T6vv9NyaTbTZT{-!*>iIXt z>aMn?$P`q48ZA?6S0^n|OD&?TBT`%As=odm2#x5%vsUUKQ+%^&kXeBP8w}joP;i78 zZ0k%6wt1$nBNQn4p7bV{w0R}fB{fUuFRdvrtC%m5HLfa{Rc>FV%eEYc@2oR>rip~JL94k*%_y3TNxL-X%%#!v0eZ+ zsdE=q*Obhgx1wed7}!VU%a9K{w_-)f+M0Rumsc-BI8e>PMKCU@T2zDh&`m{E**esf zpB{2Cb#C=sC$M?Mf$5PGIE`A*tu9$uvtU{IJW#ieIa(ijOivZmlvT`|zlISo%$Opd z@n>$vG0n(6E{Hl`P~$#d7@*mof)pJPh(_^Oly>%w4&_>pb<~=V765?xTGc0e?duAH7?xh z`72heTwYzXV*b3c74u7RMWmILmXt1ecA^`WVhk$E(+wwX+FQ3{y0lT|l$RGL4h&Ml+Ng zmzGgCH02dFRqO0(VXX+FsHqIYf~rtF+OCFXSw%TYYnH33v|?UOIqKgw;2oODq^i24 z8a)*+y6HuGVx#0{wNaH_aI@j4mR5w>D4?>uq;v^WxMLqhG8MFh$lg4(QIolnT^}e8 z49t4tN4$ir@Hof)j3{T;jwt6)ye_!274gi}h|ACJ}2e%z_0eJAb5qjk~sN$sNRlj@@Dlj@@Dlj@@Dlj@@DljgB1r z3J*m5M!}A%9X)TbVp$E3cbd`;6fBmPmDUuLt}QLcK^i@nTLQN9(GJgL?3kgkQ|O9o zRxevI54{HSGcOHh$GZn+SG}ydq?~r#XgLaD9h&;7pzToBSt*{EK{>p^`)Rpb>6sYr?y4 z4hns^(#_N*Ns==Okub+3slKtLPL~w&HP9qgB7>sb>AaB@T`kq9sT@u6Bi5HLo42xj zeh#LvL}%r+MJ_2)YO<6p>4+;jJ0)CK*Iv`^m(p=RXbZQ8nOL0IS*KAEqf7my{wY#lX#nn*k(Lfj zlPRgKjdXN0;_Y1`)13HGu5nQZN`q2R3xn|%jT(^1LMIR}sH5cH1$n46jMmO@JRzVi zx3<=mhHJM*aH))lZkU(|$MvCcAD9p9Ie*&SMb$i92iPh%Urib#x_Z$wr$k!ntO&?; zGsbCy45Zj=AANW-ji0t{_yFXWCb^w))^LUt>x|CcSvfc~tRcl(<2K;5#-U*iDb^Y{ zh;$azjV(3oy8_r1yAfbwAV7*m!0jZ17L9w?MOtV9=eQwYV?aQPg_w@!sKaNM&Ze%K z+SYddovE&|BaEo^OY~vf5gRZzupq@^&2U@e(6EM-MO&I*Y-XQ~8*`ciJRiq?Bd8z> z91v?6Mp2D#aVRc*Gk2oE$V7oCK%qgxP@G@j08||X1UnN5q5ud1g#sfJ z1)>0j29lc&2ig<`1UnN5q5udnmgf9`1~T}j=BgW*m>3WR7>KgIF`vcg_d~z5H3|!+ zCKf~i7Q)Tu!^?#4hAuuZF)<(tFc4<3d^lEn6c$WPEQkUu1YtjVvBZ=Qh1(eg23r$M z7`p{gu}hB)2P($qUVvD17M&iO4#F@kK+G|^G2bFV_v9!rJ5;HgAa{hGD|b683xrWw zfDjW`ROzI}cokphP5-qU9kct8a1eCb!$o=9dFgp$lgmG9vG$vcqYLFr20!NSt)|W%2tc6g#M>y?_oPCyEXSdOr%t4z?-6 zV4D&ZZ1mV{Fk!GwLG-xl-wrH#N; z+G{X?$ObR}2@99Y)kcP$I-idPnD$u6jPsje%&t zJT3~v4y|dz(3%z%TJ+dtFAS||h#$Ki>Ek@BN7T8Cyd7}VK|nq#;HKFDM@4}HqAI%9 zwl@0Zs5qQv#~~GCaf+hikRBTarlPgUWb}$wRgRuzFCBmYC zy)p{Rj!Ehz;1v~3i}qnyg3TX4ovDL$Q)soj1QG9 zHJHv2@yqx==i*`qWRWl+r$+@OJvIW8im@3^kGj_AvFRWTyXlA^Cnb7pItYVnIt?zz zlNdcV9UyAW+t^}1yjbBAuQ{{IUId7*a(=@EeOmrl%OqyGAikOl+;w@UyepOXGJ9F88o*uF+VQc(bU?~z^N`q z74qX~hKqIiT=_ieb3RPlBW+C*88)`8r)-Q_XHpm+-KjC)#@giKyXo>J@})GV%TT;6 z?TvK}k(!1GDznenvUQFMFz3DbI>Vsv4{zO4(~0loXad^_`3`a98|$vi*T~n>%&tQ# zp{0`Qn;P5jv6p4l*izfnRTr5Q-ql!ByEWX>5^3r{oh#G^$RpjzLTg>VS-yqh-%8(? zK+)!gD`;#iAv~$O&5+SxJEK?!UA|qugXVB28jsGwDlI9;jnEq6u;!tyi&7ogd09rz zU>ma8n!_vx2VMT5d@rSXA1;S2ooFvi=Gq$Wz(=>91KkKExs872b2=d_4*}2Ib@`zD zAkFw8+^U@zHTA7cb$G3b(dFp!Bi!Z3Mz-u5HYb}-&8=gYKE_QSH;UD;71I}4vGSs> z-@{xlD)nkasQUn6}$j*{4?^iwD3PeLECpkcHjd#MwS{oyD<(@ zyA4sp9`tCo$y{GU<*Zm!l=KA-^&%q7b)H?I!Dtm6UgA~rGQit+Y-vZWMNl%ewZ0yU zvT=c1O4$B}gTIDqY~Rt28Nd;P;qb9#F?|Lffn$+XfQxeWVN7OOb;Np3_Ys>}Nas%Q zDGq)nvKbCZw3*QoPCjUfadt}_hM6w^UVewx{=2LOnmUXc@U?f~mWbjT8WjJJy!aoW zr)b~N9PZeL8PCn(&RSd^#c|`vU6`omN1sNqkkDpE)zc%|onlU;GZU1m)Gn0;$wH`y zBUY19+3E71<V;sY`XkU`Cqi!|BZ&V>V#*DJEx^_)GE8m=u>s1r54YJ zsFyl=-6Pa(WsZ&|y|m}_VH6w}B|U?2G-kfYZn}6uA~+XyTad`fM+nNk$OXAtbs#uL zI{=wp6e=wttp=?lQir0}Srx*IjrOe+w66d%UUpFxp!-sNI<4e1c2(NdUqj!oX-8|d z5;SO&0B@6^WzNYlbB|pGV;pmV7X*cgmMHtUAwioRMRxH)kui(Gm_%-OM;@5zzQMjBw3I{f5EPAC7pV_-HFf6T zEyXu>9A@(k?9l=YbeqArGTk@AHtroiM?vXpt!eB6)qTYr4o#u*+4uCp*vXJjyw}NkvVlTj0~2sv@)G+;?feG)NpC3PBwFCnNGr7s?^CAE>-EImP;#jQpcs$ zI*D*;txoE>v|c9-T-vCUtz4?nNh6oSIysI@wL00xrHD?NxYVGNW-c}Aq=ie{bkfSD zW}UQgsZ}T2xwKs;?Of{6Ne7p@bkfPCojU2_QnyZaaOrrR?Bvp3o$TV$2|DTK(n&hm z&81Uxay*w#)5#t#ouQMxTsli9`?z$DPEO#`c{(|fOBd+mBraW~lasl0iB3-8(q%e1 zl}lIX$EIg?8_=;SOe-K3MVxpa$8&f(JcbaF12ZqvzmT)IOi z=X2=?I=O&LckARrF8xp^7jfx6om|YN`*m^&mk#LUQZ7BHlgqgDBb{8%rAKsf1($xJ zlPkIOm`<+Z(i1wlnoCdVEwG{dR-^q=hE+VavPW4(#h>y`n^u>;L^J~xsyxp>Es7o z`lC+n;?jpYxtmLW(#bts`a~x`Er<}eXWxN zT>6Jj4sz*VI(d*w|Ix`qTsowaA2BIO(#gYI^6BIeE-54E_bn*f{wJ73t(vF3r%%Z@4s5C$Dko7@hoas&w)mmsaZJeJ-um$sf72Rwo~DX}wN9edk>g01SZP&>c zT3EvdieT&WdwC`MOMHydh#_tkwjmnpCqtWzMBSNy5ka5FN zJs8?c*0|lsICgaUGq)PngOzO|WKY+&9kz(3QQRU@qv#^CCxPg?fT6W`j&qM|W*1<* zsE9y|UTPN~lr6-dEATv-nMLl2B15C=^*o@_WqcmY=;}UCW+s}j=}|9s%?U%zwM39- zm@TSdZikhF+-6KX8)HFXJWKaFLvA@%fXSX4oy%6do925rE%0ty=-t$)Dlf&F>K%2O zche$nntPafHJ00a7h|Jty&)U5>&@7xUvI`n4SO>->e!pHQOn+pje0&@L(`=>lfAVb zHT4+3)YN1AQd5udOHDn-FE#ZTztq%Y{8Ce+UPb*DUx{iiQ{|O9TomW@Q!-tY#7#uE z<)}rihcUF5O>)B?$yrNaxt-{~>sT4fjYPd_^n%&L@UvGK9)_Q_@bEDF_(Cgg)ME|P z@Aii?u^v)-Yy^AhF2@qxZuu%*V?{4F6Wy=O#k^(nxQ*!X$+V%1y||UIk?du`+-Ra8 z%z9WY%pF9nYFf=zYw6 zzY{mJX=et5xPDA4cR@t`J6pAD-$BJq3^-?0_h@7U1G_@R;S40s$Ic z>M?$)X`FhE*&BlQ3qu9ZBG|}*ZW+N1L|q!)>4EQ_z->fbnrRciOEazLEtB0KMEyQB zqTN2xs3!_XcZEQMV-6qJuLNHNJc24sIv96I&a4 za1&9BJNEhLxhCxGKYHfm-W7z~6=3+>oKb-(PW*>c;%_UdlL=%ZM%R_Tm;~i;H+GlQ z$s{tFo*sY+6OPktR)kLSNdY~Haj8NsVO(komoP3hl}i|xn#LuJOBHbm<5JVPgmI}E zT*A0iF_$ndHIqvimzu>Tj7uHEC5%hW<`TxG=5Pt)Qpa)$<5DGD!no92E@51%luHsJ#-$c<3FA^_T*A22VlH7^Y6+JxF13_P7?-Nx62_&LaS7v6%ejPcsY)(k zTxtcER?=w)cH^+g>N9n@OWuJQ70McS-LJqztM)pOo}~1rr`KbK#H_}a#?IO92_ma> zWuvkwg^W{bFg4;hYx~sdt?jKl=}bFK38#>eN=*tGO-<6-#-#OKEjcZbopf3Uog(d+ z#BTF5rtK*?e6D-I3@UR!S53k6olGm%Of}urL^&>5X;d&W_IqD8C67h%qcFW8#@5>Y z)!0iG#SJN~De%Pv7YTDYoZ-g!=t{fNkwOM2n8fEUN&&TY7X`B}#@jVw?!!@rjb&@Y zbf4Yoa67g~nZK(x!nWPjlwF7*Wgum|8}Md<`DLIfdobq(_H08EK2602=ev~X%0A_U z6lJe+qE7x!_luDg%;^uZFkOGN32F5@9j#sMwUNe_`qoKROe}9~K|EgJC&`qh zgQT$=O&Og6gLu9=MY&SB1{0ndoB8(0bmN}UPKS7va-DL03Mk#+iBcKZY06Dl&|uQ< zVM}IBxkVz`RtWcC#cJex$;z$D_tC_(Sha}MX^zXj2S>rC&1kUOl{->^h1vc6OnPoZ zO}PtgUx)o(`R+!Qj%67=w*xJ-J-f|~=ve$>Tygg(KTJ_BQts8IaXhB?JEH|lQ8eWN zL<>mZPMcHLxP#qrH}y18??}-)s63dW98fT;KRKti73)}7wwR!4*3>*qdA3X0+iVe# z4=azPC>JO{#=VaB4v*^6L|zq`^`B|x*VWnBG-*}1sj*H|p2SL#9aPknr_o_4Pboju zr9$q5HSS4UaFMyYH^x?1o>P8Kt$%@gg}J7Lt~91Q``|i#0j^E0VW9q!qQ0p7N|&Z_ z)R&QCM<=$o(Un*DxmW4zel*IKaJxht&EcL%-P?Mxs@aH=*OcGV=6K!6G~C>#E5GBq zH+5+SC;7H6&F0cOxb^bN`~!YSitFA-rwhVeE#dZVN}H}sT5h-Jkz)FR@*!=`k6e}F zp5mEsR{Yrr74R|5>JvP1@WTFCmlpBDVv4*EGyHYsbKY8C=*nx{`b%9}!fpPJXDaUZ z4W62~^iN%?;Ab)0+v>(?^k~Yrc#dLLD$%7XW~It_8soYoJa2JH)ulE3tRK%?T$iFt z8@W!`r7c`a$FmSW8%R+O;R28v_=zk$8u1f-@kqv{{&+~@Qb?DYxE&q}E4XfmE^X&J zJQObDIy@B4<`NzXmvd>9F74oUV|3|wE{)TreOwx^ODAz@0v-_X^fJM56FKY+9q|#JFMk-;2C(|R9uy~WnNF~f|WinD}h9M)B=~hoF z%M5~?k1Y8S1UVm>@*@axKC3-OPs<9)i2@Q+4Tl&VIWtUBsmh>SDGC6=b>}4+ntY2AHNxmvc8fOUiT~9&QN1 zZCIpBS8>A=sG-@8RB#(k*QIN@;iL8g1@u$-vi2AH8sw{o}hbm=xO zT|iyTh@gT@_r>7=F%d!EwfJRvxh~zs0j|`g`?z#9-n#hNYjx=$*Ilnmk8tTmU3!#D zH|x?9T)I`4p5fB>@pi_2Z`Y+?aNV7FcjLOdbm>K|yGNH^;u0Q5Ug6SyU3#5M59rd{ zTso*r?{VoNUHXVi595uJu1lYB-IKcXIhUSx+}(FJc5Y=$Y7qI) zI9Ju!Cs^$NELnY4eNLCYxbA3ongyhVkPNxbt^w>T787a1-6}(bP%g zbmw!k;R=JT(Z8zU+-lRy&YXvNM=^4;_v2JwSKmlcf2;luC4LjPF80Kl(_FhMr=yK8 zyKudR@@g=5Af<($K56lSu9jN*<~G^zo2jdBtG~}AlKPHBrr5oTvE+(lSWd3pP|bHJ z^bUVWL6g0wOaI_a_D5Z9@K*qO0Bfrx^ELdf*{iknD`OBH_(lg_z~?c=q^d@hzc^@ z0*N2do`CLe#E&4zbe|%AM7hw-hWHWXLN^WKN0bZQ`G+4-E_8<I0;k0=+q`wc&$T9QxrU2iF!~nZwRd7j3#WBULNXiXkbRuu4ap zK&Y*&W2^C-GrG1w>5~FIzGO{+L}aauzG$Nh%F~vhn#!=pq+=O2yK&mzYxsRs zTdGx1&t-lxNg@+txX1m-sA((k?gA5hpqTFLk&Nrm@f>G#KF*IA=EbU2Yb#T=Ds2^B zdROBop3BfK*pJ8YdQG+SjOA7{b#0Bd7N3(eEbi}b)u8#MioUqyuqwmSqAYDAHvPq; zI3zsS^f624#M88Jinc{P&QJ3Fq|i^MVCe??z@_1tW@OwCTx(>Y4dSXu|_ zM(gyGseUrePm26xI@YuB>e=llGyJ63PiFebEI&EMPiFhc96veMPfGk`uAh|p$vi)q zugiYcS88YJaw?b3(dBe5ou|t|E?t1-F&y|JUEVA2qd|Fz?o)g!l`fNr&O3g-^MIyZ z!Ill$_s`gn`wkl3Q(TpzU8!A-3#TSu*RCbs&_nDZA=eb>+70~hMtWGNYd7;ld_9tD zrs&%D_#r+I1vty4bd~pf`m;xxb~{~5=eQIMUOdk`Q?xs@ALwKOTj8hO?I(-;q|8qi z`w2cqmvim?ezMe0D*Oaj>2iE@XAd{pk8~fAAE34Kh@arw^i-~X)K73#TF)mtw?rxh@bzHE)V6> zC%Qb6OMlkov0TFUiQRUG%L=rvk~(CbY0%Sbpc(j;Zi2v`D^Ls1@6He{s5}``}q4(&wjdG$L$A5q|B~X z_g5!(B^%GMX2Y|S(LKB*`SDIY+CNB_8#(?EUFP3j{KIs)mFu!~xt&WR@i5aAX=&)( zs{2Qw3)SZOM@xkG{bTX5o!*@BvA@1?S5z-#-jG-6{#^ff+<*O8Qyge(ZCeq+j{=w% zl0;Ssx-P3(t+_&a_fPasqEy*aq4_jPcMO#2E`a>$jy_G&E&liseVU~E_pu`? z$aMQXeng)p=`MQw2!c%a#^XoyX_79x=STEulI~B(kLc4R-G`1JL6GSla{P#Lp&P{U zBg%zt^Tv-T7rKWVJEDS2w_)Q)lndQSjUQ1ibWb#XM7hvi&G-@JLiZ)(N0bZQbc`QS zE_6pRenh#@J;C@9({k0=+q!4^M)kd;#x=`dbO zBmC79PsByeCO2OB%vaY)9XAuTDaKpeFL>N^o}Go}$3xO30{A2S?kadCb}^25ktP53 zH>LQu`I|FTKOW11P3%3~L0$K^`5QAyihnzL;Ht)kmM|_8+$EAq+1?px?74nvxUHJL z+|!#ahQ%tnnz!J$9=Im693zxXtFQ$LJvNj9Uhw7S??QCou26<;soL4tBmIep6h6wf z06!#(O$hLl6!gbCRyDGpXDDfDY3*dAo-hocGvPnn!7-uAsG>hjQP$D~F7zgf2W+MR zeUeRNl7v5%__2aDlg<{vO+S-P3t%Z`0I(F}|18DmKT9$8&r*#1vlQe0EXAllOEKop zQjGYs6yyCY#b`fEG1kvgjP$b<q>J}|Lk zjPSD*Q;hUfBR$PXPdCytjPy(+JvErVU2kY%wiq-Zk#aeroVx>JxvCf{QSY^-B z>x}ezBfY^$Z#2@IjPzzBy~RjxHPY`H>GzHFHY2^=NbfMxJB{=QMtYZ#-fg7!80im< z^j;&q&q((h>HS9ffRP?BQmmL~<-vM+mSVL$OR-j-rC2G?Qmm6_DOSm|^e0C8sF6Nq zq>mfv6Gr-^kv?UlPaEk^jr18Kebz{SW~9#<>CcVy7e@NLk-lK0FB<7Djr3PW`jU~p zY^1+7(pQZ1RU^fUcUB&(cV{V9yR#H)-C2s2?kvSRca~z6J4@d((zlKD_eT1Tk-lrB ze=ySbjP!jY{iBh7V5A=!=|@KTCnNpXNIx;sPmT1?M*5kN{>4cDYNVeV=@&-&HzWPh zNWY?~^cqQ~lU(q0+lf-`!5xCW3Ql-fN+P6ueC|O>TblO_QS$EHFQw&XNg3l0N?8Y` z&_QX)(($=@2c_)QdH2G>=kLP*sYLn@$<)ZdG-<4V2NWv7b1A9!@5KMZfvn)ymPbe< zp-sgvH|I#B(AOe2vOpS*=ht136$u@E08>jaOTjCt@rjelvq(*dM{1&XQj>c_s=-xL z`4*{yc%(2P!D~$&0a8;eQd8rRn)ZK6s>mWWJsznU-bu|&y!mEXq>hP4YPNS$$0kmy z#3D5}9x2S_@ETL|dqZlgE6^8Mq!z{_g*g{qN%bnw8(pNzEK-Z(k-{VoucUfaQ*Kh_ z7OAE2NL6?zwY)dYx6M^kl@_TL@kn7Ri`SZ3*&9+#E>f#3Qmf;U!VDU(q}KI@REvw$ zdW+PCc%(3e$1ACt-jHf_k=ksL3dbXb*+gDR)%Au{n~PM$B2^!c6lOAcCDqs)Qrlgm zjK=0L4!UZl;*IA^lk4FmAEWMJtsW&m@CUvt#>Xvwnvr0(ersok!c`k_VY-gu<$^G@pi#7RA1kvb5M z)Ism09_kIL<6SlNBa76-@kn9nu-E200;GOyk@`tIQjh+hl6uS{^>{o|m{9Gtrk+Z? z`JT2&{WKma%Su|Qdd?#C^LV5%x!fzMUVU}h;|lcWEmAMUBlV(pQoRcFy)II} zv`GCb9;uhSlj>DXxk;G0 zBK0SW)W`8iVS$QQQoVYfI^9L;Q;XD}m1jGpNt^%slOU*nPb+&igWz4x8v zBK3tu>TmH#Vfl~O=KFhZ0^R-E{@Dc8%W?6XKE#Uq6UOh=WJ>%C7_EmB%MQdmad zl~k{K^fk^dK~AmsFFq|)M%O7~8xS3UYV7pV-3R3ILypm$Qe z>e1J`NM%~2vf`1#ta^{lC->`3kA8`ZRDX-qfOw=r-boEgoYY{8)R1_jhI%J8JaJOl z7O4^ONMV74*XA3QIH}PVsWI_LVXcK%Qn`tf8gG%xi$@CUExeNIRiIz$3iOEbHjQdRLtVfmO>QmcAH>M9qh)fTBW@kp)p zPO4X(=QS=;>nu|1YEwK?Sk>o|lpO9&^SMcFu}Ia%BZZ}d zUP;v_PO8BowKX0otT*&Zs#i~**SccLnm;ASn?FUDK6)f2^=f3)O{&?dsg`&(g;kgy zN%bzK+@#tpQrqK^!h%q*qT!BgJhZ3fqXHmhb!bOV{;h%kbJ$@9O#ND+MJqvwS0q}!&S)PYL>%| z@=d}VzIViO_`ZCbk%KEdejv^uwk;`fD?B*qyX3nKNN2e27bCjDl`j^Q??H^+FFz2& z3o-U1F-k12186df*8x_U4~rpxk^tnRV#p^FfP7MZDyGTDBW#}&W5$N<)3oF)sDA1U z+ous!KV|js^B!{erPmyOVde0=GlyRwhv!)izY~jE%czC4;(!UjB z##Rdp2v#kxI!Plm)fMe!mt)ZuR%;P|?x4XF9+Lkc&LWrFSRIC6rVH6< z&HH7#l#O<^e)(-=<}>2@cdSThJ{SgI62M7XwD)=_+K1wNV~h5_)gG>*y>AumBl%B8 zOxZ;npNFFTRh&g^(LOe^@XMdj+G9ogRQ?=Aqif7iq>qu=C;0o673mB4Z$^flM8iKq z(sPkvC@G|b)Q9B%h_hY9Ev*_&m%o(1Wi^^Ee{y_=SZC?c4i!Vt`EG9yI60Hv-LUBMLvCobXKO4Y> zvPt1(e#nnGrzFa-a+$-@urQaeUs8hkV04X2>o) zelfm@YU2@0FH7TM^bImHrWc`kK_cVfzHBsX)U%dvl=x}Mb@PJrXmQPt6La=b^Q1~} zGkw4}UX1ACW8X~4N@J;YmsEIz@##xP&;##Ct8pwUXm;Wptrylf8r6MK6*Q@%7 zl-k1+X}}0`$v4ecBmkVj0cQbl#&-n7J~RTrV>w_c0FV8Sfb)EKWMoCQABx5w@QuF@ z1&w{;j%i1>vx2enfp3A)OR!bAl5e4JQB21(=zwp6cWC=@oI{e0!q#2vsddRFp>w%6 z=Nh4Ng*WHTLg(e)oWnxr72ce;2%W3FIoArES9x=;6FRT)<{S|^uk+?yFLd7E&ACD7 zyvdvMR-yA|Z_bTE=Pllxj}toAd2`+-bguX2+$40~>dm=X=zN?v=N6%JlQ-v9p>vBj z=Qg2pn>Xj}Lg#jG&h0|yPH)Z~LgyXcoI8ciySzDf37vO)bKW6z-s8=Ar_gzyH|JeK z=M%j-cMF|Q_U61>=zOX-=i`OWr+ahWBXmB~oAX|w^V!~<_X(ZP_2ztn(D{6C&L;|; zFZAYolF<2LZ_XzRoiFv~e2UQda&OM33Z1X?=6ssa`D$;@rwg60_2ztr(D{0A&Swgp zZ}jGTmeBcTZ_Z~6op1H#e2&oh``(<-6*}MU&G|f`^PS$D&lfu1<;@w}D#yH>KH$5@ zn=>{Y7C7JQ%^4dD3!L|RbG}&U{D3#-ON7n`y*XbhbbiR2^JPNkhrKypE_D8}H|Hya z&X0O?zEbG?xHspkgw9WTbG};W{IoacYlP0vcyqp1==?Kp&esW@f9}osdZF|4-kfg` zI=|@6`9`7hue>?mBy@h+oAb>==U2Qr-y(GWjW_38h0eeA=KMXO^Bdlrzb|xt)0^{c zLg%-=Io~dHe#e{h9YW_ncyqo}=={Dn=N|~2Kk(*!m(ckmZ_alMoj>;Ge2>uiQ*X{c z6gq$A&G}xT^IyF=-zRkb!khDcq4SsCobMMp|J|GO148F-yg45bI{(v~^Fg8Wzr8s> zD0KeToAW~==Op6I`A0%$*_-pjLgyrJ&W{M4Rd3Ee7CQUAIsZiHoZ`*-QK7T$&G|8* zbGkR@$A!)TZ_ZB$oin{TKPhzXscP&QA-S2Y7S-snB_#H|J-B&V#)dpCQLg(S$oSzdqkMQRFbD?vNH|Jjnokx3feqQK2)|>MSLg!p>&Myj`^Sn9#Qs_L< zoAa-P&Xc`4za(@n@aFuo(0PhC=U)q*r+IUJMd&=;oAawe=VEWpzY#jm^5*=S(0R5u z=idsQkM-vKy3l#9H|IBm&hxxE|4!(o4h%H zBy`^F&G}D4=PllxKNdRId2{|m=v?p3`BS0uR&UOK7CIm2&G|E-bCWmczX+XMygC0> z=-lSb`E#LjyEo@AgwCDboc|_t-r>#pOQG{FZ_Zx{op*b3PC8yZk7;r5=P@Pi5kr;J<7;-}b zkf(_uHzfdhx)^eE0+45jA-5y|d8Qb$E&<51#E|s~K%Omz+?oL7Ibz7;5`a8c4B3cco}U2Z^hP*cc$RCO!_a^{(uNd-y1R(DdLmo^3a=#ezp#&iB7ehXr0OSK= z$R8&Fc|Z*LXabN2#gLCD0QsO8^2r1s9}+`8odD#I#E{P<0Qs;O@@EM^J|c$vc><6> z7DGOt0OU`^kS`_x`KTE3R|!BqCWd@D0m#S2kgp^F`GgqqHwi#KDTe%Q0+3IMA>T*< z@@X;Tn+ZVvR1Eoc0+7#$A>T;=@>wzD9}?$_7;<_7kbe_H z7AFAtr5JKn0+3&cA!jE5`FAnou?awaEry(%0OU7f$ax7s{zDA8AOXmKiXj&z0QoO5 z8gRkQj1B?;#Z;hOABiQW8V1N&r$8L#{~x(kF&o zmjGmv7;-}bkct>`Qv#5x7;5 zE{1GM05VMs*^&Tcx)`!80muw7WP1XT0WoA}0+2y5&Y4S=XC<-4IZ3Hrmw(PXgEjk!x{895r*fkEq{`4 z=bw@L2pP9F_d#Xz0bl<3r*0$a_@^Gb50_IC=}!h*Tl}XH-@i$JjmYyfWlK-j;&5ZL z|4d(Tk6B{Ff12e;nq}<)-;5)cWl4`&;wJ%UmZNEwbq9RM9+@lyAtj>J8@u{XN43)5 zNJ!tWH02&tS`I4P4=P>J%@c9_R9CvvK!2*s_?ZBuArJuI4rM0?%sPgokO}ySs4swa zJpMM|mn4;w9#T#j@B4{z+Ck;4+eq@#yo1Vlk3Ftj@VIi3vR}FU5#`FYS;|#``at%B z%C!fT8y{D0hI+qp+d<_A?C|b`%6$~9VgduZe}V;;TAUP0>IrUI95`3Lqk1;{kX$WLQwat4V&)X*>l)>`*V<^LsUmz5) z^1HxMfUWUj@J}woVLcQ3)FiewkQqhnGlLkrIEsUzAS3tJP|!gxGn5(Iz<+aO-WZtJ zbLL;U4CAq64V)FqVidj(WjQGH3H1@yPh+60=bWAO(->ITv!PW#jWPA}50LuItiIy{ zeWR-HUyO6#P+!LRKcT)3&iz9DIA?S$hfIiVfdOs^RbmkRL;Wd)>I?OEKnw^Ch=phh z42*(M0P&ugLvx@{)M<_75DJA@4#}aABZq;Zfw4KX1VT{|sSILJXb^))3k`BW3=R#B zg=mc?iLPl#Xb5x92n}(#4-E~q-E$ABL9-xjfqpg%HIp$I78=GN`hze_2os_+hGY&%jy6ws2}q6#jbb^B4UKZ-Fgi5anF9(lCNzfG z<%Y&M?8b)1T6PCmp~r>BF}u9bIEP(s2q)>CF{)SH5txZ;>*2=uhRJ(Jbg{r^e2CqC z)rled{+n8y7c%a^M)T1&%5n4>I|I{u&hINTzn$*^_50TAsmr@AN(5dsOL8 z+LY9q)QTJ%9~2Jnyb!KXCrM^_o*i# z{iXVj`X17+HNTdsbZf)3$yy=OGqfwUYrv2F43xi>WL1P1#E%P3b;_-#Rmo zp}as-PCJC(FG?~@Y%|TJ^D)x{%=$c{I;@=@(RwR`5yT(m;&c<8b7nY}qzJs72tA!o zGHG+>yNTWdkP|GPjg!_Qk{$=miFi0WCu#!;90t{i?8TO&^OnApWO_h%=HS(yS2c3s z8SN&0JZ9v~?7R3li}eH2?>pq?%<;SWSs`6a27JdXo!R!r*UEY=8Q6=go!R%+-=a`V z2KSPJlSU#K7L{paXaZDBIty9XR!oK`h~>sxOGX?4JU3=B$vHxpZrrtG^by2$V-F)^ zk1)20e+a)-dNi;RUWZsn3?sQmfx46a(ZWXui6ZbjUk%ASN~JJMLN6fplaq@{CceAW zlXnRHp0d@~moz3#CC4RIlWj>?kfx+JNORIZNQ*Lyv??c%HswjOT~$cCnnOC&2GXhC zNxIbM$PVo!vXg$Dk)Fn9mqA2TC#jS1O~+3LtNCOyzU^!!ezgF)B(jnWRSTi>k#C`! z0-Z`eBH8Lx=oD!jbkm^oOIgqrL8nRUpqma|iZl~iUeI5bRm3i^s9@Z8>~>yOQ0L1 zTm@Y@bVHR>pj!&v5amtiDxe##JOkY_=!U7Yp<52!2z4xUmC$9YCqcIYx*T;Ij;f#= zslEqYHFTrZ7ob}S-6$;;x>eAPRlkC6HFRUNLg>~&m#YndZY^}SS2VI_a8g%QS z8&5x%3BIbS8_ch2QURW<=}+{YPG27Q7d3U0{X}nlQKQfE`_)=%#Xps)5#gsYW~Vgy z=;uCltMNb|BmzJ7x8o!>+G&QH92=ex>xl2yKwvdx1^i$2Di^peg)^;cJIlHh&bBUvbK+eJ=f<1>#Hz|m<5lHlW>xm9#|TnB2AEp#QR)gu&vKQxI$a}3y8|P8{&3|O zK~k^jsn(<5;(Q0Y&+)-*cVJA<`C21Ly8~JFZIpifRIj7uGe?lr8$6F7sW*Mc5hV4N z?>2&Te4wP~0y#&Jj*nMzKHy`FAgSN;G=g+|U_;M2b3kJRNxjX}2-5L^wm5+1$IRmc zQKNh69aj4oBS`8GJdGgji5G*;5t2RehMKGql07k_3wLv3{NgZ2kklW3w-Kbhfyq7B zigN^MZ(NovevJ_%^*&D{NP7cIdd}HdKYQa1HCgquH@bclj3B9Zo9((U&>y)uM{Qjr zNb3EEA3-`HdSoSP1nIzGN05T##ON^v6+=ks9o7@}NzS1c)-|}tkRCkj7*dd&92n#- zs_Gs@`q5zrk%HuuXrAbv%u%FAJdGj+$*E2rYz&BYb>gI_&%weX-#KTPV z$pRSWAW)E;9+SrtIByQ&1j!kKJj{Wnr^EwI##l%{;NUUUoRknGX9i~V9IxhJ2sNXV z7aSvBD3v)b_0zcHQnYrSaOQYcpx8@}XSs9Sul}4}jpk6*^TMGj^~J~47jqw0-zDUr z`u=Lu$sD)(Ksat?I(_VRG6%6f6%JyVPJeYfnIlqP2uGw$r@y8bSJ$`ilA*MyaN2Wm-AXW^JE_(Jbv|?S9N3>DFG;KGHr> zy8XI;h<`ZJ68{SSO7k5&;LlWgyG! z8jj8&nMM6*4Pu$}j~2e8_K)@m84aGJnTMk&6OZCxpE=-1i*vN|aTICtQ7)3RSpOG! z8AW3s_19sB86mqdWO_V?O!r{ObT5WX_hHEN1Pqy;nDjexQqsT3$;upZ3I_U4Rh}iM zDIb#4)$!yE3v*_DsrCoB01mhBNzCm6ZRQX`V`+CF@&o5*k?=( zp=wF&GbV;mHHCe~#1N{cvd@?pLe(_r*bu7bhmH-QYRS;CAyh2|IyQu=r9#JsP&FMo zHiW9BLC1zrwRGs%5UQ2|9UDT`0?@G`R4oV{8$#7Gp<_d+S{8I{2vzF?9UDT``a;Ks zP_=&0u_08gKXhyeRT}^u8$#7W(6J#@Z6I|0l@Q7?2)coak7R3up$jP+p&J6-U}Yh6 zL!ld_TnF7S=!Pn1KsOw^A*uvjHgv<4PoNtC-7qx*-AL$0U`RDv%YiOieGR%%(B-I4 zKsOq?ky<%)W1t(YO@nSMbfYko?bpUZH&(+?tCkDh80{c*3I3JP>L%d@n~A8D(7 zsxbwtm01>7cebW@so-%4TsJpA59xEUnJ)zuNJv@6%zy7(V~%c~s8K+*qKceJUPYi_Ls1 ziXmQwV`Ofu*U~=gd8mz%G=|i)zxF(&<}UW?o^$>iE>5>G9R}=yCN;JO9WRMqU8g-} zR*f+pr+p5On?Pbn^ zT;pD3488e%!l5@4L$NR}7ho78aehrW5*Lecg#g1CnDZwK2j)x+yDV1bd1Axc4)R`%Zo54G>6%Z2+eWW9UD59-=+Oyl5QkeD5@>a@{jwGe}YW#P=OQd-gMBPkCE9y zN{g~rIT0hJ2b5=&=a7D@W~iAMDP4%^)$5h+A)s^GM%I{xbP%r8{M6 z%7Ty^eyPh-!>M&hk54@}^#Y_Xq`sZ{F4C`bRZmvB^$YYH^;?ks zT7O^vQ0Y$VpEfpaJks@PO=+!2Z%Dg0?S7>1rG1%(e9|-0ho_H3T9m#heF@Uq^v?91 zNWY){VEV&IUrv87{R5=`%t*~hSGqGsXB1@=nd zWPUWTV=hLvF7yaiyIE!C80^u)@8P0l1MNqlIL>xCntAkmakH`bqf|0y>5g_jaXNvE z__EofSV*&={CRRFA6h@k`9-Tc4Ffh{e^5I5aCW*C|NU#tyhjr_NH;D&sN&JQ!TKp9Dj|H~EQ ztepP^F1XQK6?8yTUu5x9OlJKLRFhd%yq6hCX8(_HGI`M}{(p&+y9YS-f2g*cHTJ*8 zE2f8-`#)B3&dU2=v4ysNY}!ytw&VCzNcSOqn#?Dk zV-i~uSs+bEx`Zs0PC$AwStLD!^mS4urxCwAoGg~Bkv5PeGIq6)?;+*#?~r~*mih(} zzi%R`@U2JMLYDb(-gggK?!$TCXQVO-=aVLq6-hXs)IzF~a6aiCQjIBm{-n>yN(JYY ziDZ?6^GYLGqx_JpRlXtXuxH46^=z_9y`0pj?~_g1R7~+IMp}Zj8Y%3x7NlKB&qR6w z((A}(?G6&wz9yUfi-_O96e)Q78<4gjJqhWVNUuS9GtvWOi~m)mACcN*Kk+B`Ba!4; zq&s;nxg_Ota;bh6xlDh7T%HyrSEQ{ZSEgM>u1b50T$6qyxi+H~e%Osg-bLo%i>}|# zc3x4M$xuJrc|~~+I=1tQazDxTvz=GeOz7CoE6Ts2V>_>4Ul6~a?Yx5BPqO`N=N0vH zQb-n&0-~$`BIEG2rhuer3Z5$#LrT{&NMEu9QieYXc1s}z{pql)fRyPU0J~+7viu`p zw;WQRK@9onPA)@J)?&LBttuJ(RCzlCntnoM|X0`Pos4}cXFAMM(cp??~}OBH?=1av%1$6GFFj zCPejj1d(PTB>3KqeMtz%gK#_;=}kCzd>ZYGxTc|qsI|?=DJtn?}!nXg;arI-f_=+0xjrmjgGle8RyQZ+MxFlYY zx5l?_t4-NSQQU5wMZw7ya-F`lDSsD}({2PcaaKFc@VRf}t3O;TVCD7=_UogRvNg&oCZS zFaZ-W36n7u(=Z+7_#89v1!kfGvoITT@FnKrE6l@ue2oS81`DwWi?IYtu?&@1julvm zRalL0u?B0g4(m~cYHYwpe1}cgj2djg_xJ&|_z_#N4L_j{KVv&~U?+Cr7yOFf@H^_U z8+))9`yy$D4|Km{0}kLI4&gA4pbHLtc zN>_(;o@p_Trzb*CcL^#jL188+#RScQpn4GWZh~%2P>l$JEOF&jL{K3}tOT`_AcYaM zA<~KIq>z@RrJ<=0)D$5IdOblQB#3nc1)iY!(Uji^x+6gkD1L88G)%JlvbG_+KL(F- z-S7cQP>K)H9X;?7K1NUULT~gzU-ZK#=#K&T6az5`gHeVd7>Z#Sju9A%Q5cOe7>jZE z4C65Y6EO*sF$GgG4bxGM&oKjEU?wUs3$rl?Ut%u4!aU5!*I0mWun>!|7)!7eE3pif zSdJA~h1K{LYp@pUupU*Y#s+M}ci4o@sKFL|j~`GAMf;oveNHt#r}LhxgO+-3J9c0v zcHtNNir?@%>aiPpuowHV9}PHwgE)l4ID$qT#W5Vm3Fxcl6j5^;ra9HooK9%&G_*K# zXYd#P#y>b4Y3eo>C8iV^awtL`1r(zhF2cpQ1efA6T#hTy99N=6B<+%Rjl>8@Q>iQb z5&jJEPlCupXottp9v#pTuc9-$;zo2sDSDtc`e7i-Fao174y`Z&Q&Elz%*6sMK_ymV zEpEdGY{C}Qq7J)IkNr4^BWR6dIEmBv3uhx~iXs%_Vq6wU?lLvURcMK;aUE{JO}GWO zml>;Iu5HwegP4T4#48dL~KM zCc8VyVrC@Cin4sjT4YznjcD=m%`SHXSG$mFO!cPyX+ucs(w{@tGK-hsHSr$LT4gsU iYAi{1eSBPy4GKCsK?5j=;-o#(UZFT%xFL&s3jYOxI31b* diff --git a/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class index 3d8565056137835f38a06ee04ecdf7b430c3d8e9..6ff8a6b618e90ef247aed274d9de21eee17f0f63 100644 GIT binary patch literal 9644 zcma)?34Gi|702HsyIFQSZ8m9}(v~(YP1+=FNt0gClpbkQnv%4o=>Zj4w!8ndYxZIf zC?blAfQZN~A|e7JiU57h|j%)vc}lhH^hlG&7qB_mO1M=}#h#M4cs#ZA#*I_;t3 z1kHV8&O<&yQyEy$^c%mka2CLnPeUWhHkcXzDw@T{-$xw7CkT~B-r6OSm?R~i*)P1|quDUYR5r_p~h#2$j zo1%%(P?15(7#RD`oPDl1)>^N;r89|?Q{;29{5uu4~AQ4DmrEmN~BWC zszJMo#1BTDfD;M@@C1oMLpYH=U^kT9Csxiwu3?-9H24Oi+4LbiTQ(`kV+sgmQZ$^- z1j5;1G!QN+0V!&OrAGzr9L{2h_C?~MXg2KVfsHCWr(3nc^R#>?XETv#``%zQ5=L_? zQ@ystO>!s`$aJlP?bNUn!t0U^oUh+=?uh&>H_jCBv&4S@@N;xeQU|lGn1AI)_yN$S=7q7rqq+|@?G^jkjI}~<>)Q=RQu@gR>4Bz-+71I+_e&%m2#3(u=%3OG z#9LZ{7$)Zs{5*;%DFY}etEbTR&f$!cienhUVp2F3%uSe>KJw$Fs2bx$_oI3c+#|1p z&g<~)#S9t`#1d+L@V693p`IZm9Zxg8R7HCQxiXlF(c69KQf|J+{pyN%GlNK)MYENI zH%U6dXm6G@$7lgbbBz|1)M&I&X%u>nkTj3xD<^}JPBhvfNehhj7D-J;i%M!XT3pgX zqa`IRGFnPfi_tQYT8(zTq&A}+mbBPtZaLL=e zm~G(SXG!g}OgVhFq)v0|_eff9w96%}Fxq=1tu)&EB&{;q`z5V5+6N?^Y_w}7ono}> zC9N^qjgq>I_CZN&jrJi)ryA|UlGYjRBa+q|?W2-580}+{HX7|tN!>>KxTH-+`-Gs5 z2|R1*J0)qedE!q=+G6~CTGDAo`;4TmMteX~uhE7jZ8O@#l1?|;sH8rleO6Mx(H@nw z-Dsb~!lLNMD}FB|?Vz2izdtYO3=_u}B<(WV7bOiC?Msq&8(&`*RI@e`k7U+i_HMDq ze^)DBj+&-K7H_AZ#$1Liv*z&7SCAQ{@>>hd*OPqYrxoQpl!%Kgse+pXA(p#8!a~7pbIoQvj zfBRtnA3g#B`r%P_Z->9$$}|!qXP+17|Ob<9%>8(eccATD@8YXo)p|mRJF3iTtl6vcHx{^jad#Yl$SU zB~rYWNbp)By=#f&t|d~tmPqVcBCTtQq^>1Wx|T@YS|a1(^KvotD#Tl_@~*B&*3}X@ zS4(7EEs<}vM7GruxmHVLS}l=hwM3TH5;;~&WLPbcU$sPb)e^Z?OJr6pkyo`uR@D;u zF&|$K;zO3y^~jN0B13A4{HP_eqn5~xS|T%QiM*&KvZ9vAd|D#!<^8MYNA@czBL|k0 zkqN=AB7t9Y4_cO>)Q*yXbhkZB`yPN&C6?k^qbny1)zQ)z(3x}=N&>@RKLej_!&6xJ z1QtHWhHF`P4hx@a!+sW?%fbh2xQ>MzSvYLN^(;J(9Hzq#+VC_MK9PkZHawk$7qIY< z4L7iG6AQ;|cm@kMvv9(OXR`1@7Cz60XR+`i7EasnY!+@|;j9hMVc}L5zQBg(vTz#< zUueUPEWDV7FS6lzEWCt;FSg`w;VW!-5eu(i;VW&pm4#Qb@KrY4#=@&u_-Y$o!osUr_!=8t%EBkJ z@O3u4jD=5O;TvqYgN4_y@J%+{$--SMe6tO&WZ|_ee2WdQV&PL+_*NTU&BE(g_%<6} z!@}!X_;wp!%fcI2_zoLh$HE&~_%0h>&%)g-e76m6VBt+He2)!pWZ}*1babx`caMk1 zrlU_{M%ltfaG&jD^LQtKPh;WxZMcVpx3chqHoTREds+A)8}4P{Z7e)u!`oQ+bQV5h z!+k8=$HI@;a6b$8v+z+H-p<0?S@W?+u*{uuh(`ot*iGPIj{$`Lyk1|9B^OVV0kbN zqx9OSpixm>h(owX=->owA_W^)88<_fO=1O;ve6_8Hs$VgUS*SXWs_`WlM5=FTv*xU zqRJ*0w;dIpvyKSg2wl=PENX|vw4G z1(R~oJy_Y~p~@yBl}(ORHhHA7$R7aO%OYe4?Mo-dodW9OqBvM-~ zGsP~NC9*VI+)Q)CQJO1WqDJiS&#S`rYSn49plTO2SEXoS)s3{M>Je(KdXd`jA8wXZ zH`CJUURqWiqmJrpsk3^7R#v}2tEyk8)vo!p#??b>T@hO6x{B7j?xzi|=V_zsRqA%v z&}MfV^|92)&I<7k4$FSok%@+**+gSwB$-M!w)!CiCPsI~GhU*-<-}Yvmhk+j z7N{>VUYdzU5)pwqD-%B^2(;zl&OHN(cr>0}m+BvgC%m44Y&@0BG>#6gOGGjmKMoO? z^VXanL4gJbEHEWc4n(56BRjpuEnUfMqaU@bp_SxEoq$iLmz0?2`qHU>qMK9O;<4;5 zKPIs&M#l+A)eq6uaDOuS_W9sQ>Lit0CYwroC3)8B zu8xIck!&QKW*rJ;sEV@)O(jMQqN#LRSxwbh#gjV|Uf7F9!(KX_Dkj8IxgB=GsB-$t z<&aMplLHfieTiIV7u%K_5b&D-tKwHx@%H36YP#deXd)N$^jKpQYwuI3w4YpPRxTS) zv}}ze;xQ&Kazw8hmCeBJY&hGonzjuwFUk>|3!kUpa5{2+I3^Iv$EN!FGG10k4aB4U z;r>Wwx9L^Zy>3S?6Ha@Pk#1y+em7|^lS^d74C)a-63lmUP!$%5#nLL%VnB68A%z_f z^pdfnSs|dz3IUo)^XBz?W?G%9cQ%m=_opM#a9^K5KxOLfiK^;^;+b$J9`n4uJ};Wh zs3tqrwD6g;guGy|*XprIV3_>ViXK{gM0{#+9Hj zPD-LVPIN!2hOmr+PP(8o?*JFIWVk;S^Z4qUilflXYl$hC8bB3J6{yK_d1QBYvrk=X zXoti!V|=>AbfaySm|?W75;KkVcD~e-g7oB;n1#bsIcG}DHrhKR<`^w3G1q7jiNlQ+ zm6&HVPh!5&c1kQTT3n*hXk4orSeQK>B^KfcRbGG1|it-6pSLfeEYP$#`}(m*6IQ40kNr%Jjxmj#ErvZr-_-@#TK( z=W4&HXZ@D$&PIWGg(anoJ!i(3@K^v}#Ftr0Z#Er|W(Ag)ba@%j;rE%>SMb#!^Lkuh zR#Tzq(WY#V#3Ohjh#GvID^R{cUCDu*+9P~}bFhG#NL|UN0{AALE&=p1K;YY@fQi(= zvjIGV=jh!c0|SW*B%a5nAY;C(npY@bJw19yA!OIIkf6;}7T*uD7C+!Rn6I`j(2pN+ zJQS?+yBEEy(RKMr02A?3Ry2?0P5k&7cMoGo4gDg3pW~MT3!1u$i(eiby$hoKWc)fv z``-wNZG7=1Z!n%Tt8)uoLpqyi(R(Qpzr*i?Xuyl~JjD)i8yCwTSrebfAA@YtpXl=P zO;Ra-{Fy$%TGIS@MWDLLy!Y3Fcolys>4^=A_`rY{;(1rHKcP1m*T$(PlW}Wt=b@Vvisk#0gUV3wcJ5bf>DSka{jilo$ zJQ5k&@!!Wi9D~wWI^qlI~YaI$tg6 zdbOnE)sk*kOFCUG>2kHCYt@n-k)Kr!)T^+F<Awo~JD&BU7t`_dVOr9I zX-WU3CB2uH^j%ugb7@Jxr6s+Vmh?+Zf^ycf!3kJkbeHcfwn2xXuaBaKhVcIOK$9 zI^pd$T6Tnam%X3E`uh@cA~pzzHvQ!WY=^LMOb$314KxM>yf7PWWOQZgRpc zPI#{kFLJ_1JK;-gc(D_1b;6h0@DeB7=7cY|;iXQv-3eb|!>vwunG?R!hTEL*F;4g@ z8*X>P%boDmHoV*kAM1p#vEda?c!d+b)`nL);p3d}bvE4LgjYJ@>uq?I6Yg-rH`ws; zPI#4bIl9q?SC559mZOhzMOp2v!A*81YsO|m_yi|>iw&=J!fTxHZ8p5#39og+x7%>1 z6F$)i-)X}eobWm)e3uQM?1a}l;k#|P%L#Wn;d^X&qZ8iXgzvNAZYO*aKIib7_uFuf z6Yg>j(+6$%^s#V>*WBpL=q;D9rCh?catYf_!V81= zRp{_x{B{_B7{*H_^>}#*XN|+=?4nIgnQYFfY_g+hQnog+qD{Gy`YN03s%&y@Ws^kn ze!RMUA6_3qiq`)e#GCtZkP-hI7F8piD5?(#-w-mDbITP?Lb6OJo?l{9?tNV_4x5Xb z2SsoY?)NSZJpjJU=IrlO6njL9uUlX7jmt+L7O zl}+xfY;sp+le;UM+*8@)zUKWR#Gb4l!u`5m3-f*m584qA*%3pG;F_UGt0(yNfW3%rdwoaG_Db&f;RO1ClPOj(=@u--@&BipQ`2-#f zg(#*oFrR0c0UXMsE_K8oVv_@Ga)?boz`khQR}E z_2B1&;H4cO{9sf?@xjwOeF`Ud3rdZrVuE*WOyIc*FPGGV+jqgcIchwV&>L~Rp%%RS zWE@X+c(?x_o==#={j#N)?S9-2##J2A3Nq3tAtsFBkZ<}dN-E8iy zTA4b^j9ICLJ5xuSRn~x5W&bM2Vy2l}uwZ^-Kx~FtSy~yhV)IO^suH4es*8$Zi2;fI z7R67oEqg%`#Set|Jlhw4tQS8@#IK2g{1_ge8VmAM{PE+w_<8>L30{0{CfHQ~dEuy!d(k_-Ze{)*rvzi{Ijpul3^F z{qZZj`2GI)m0o;ajt^(O7eC4$zs8H7;*VeF#n1D{Z}8%4{qdW;_$~hUEna-PKfb|> z-|vr4dGUF1AO1!!ew05x?Zr>=$G3R#^ZfCxUVN=Te!CaH#UJ19#kc$8JH7b*{`j3< ze4gvW-|fYZ^2hgj@l*WqyG6V`q;%x^&Y=}OOAd@!(r;vrH9KcmWyhAej#*n|rq)+< z6(!7Zb&j=l#hikoDI4R~l8FVCQ`VdDz1916=O1-U(jLZkriRTpxn*!u#W>q)t)xXK0Nc2uuzT33td3kp&lo8wmf*aEX;gjG0ZFk4?TVotMN zSF^2S*u>_R!NqQsIcL|1*s$@V&AC>*v}PPU`MX<^!z!naD6{f*E^C=OV($D2v1*Vz z-W(CzFt{jZ*49m9dv>?4oYmPnc;V81%PO`mS+;MR)CI#*(^6Kxy?=FOQITmm zvC`Dk4HYMEIcjxjQIU1BT~ytYe`0y}mYk*`TPrJLrzK0bPUPt$d+SEdZQi-6CXMN} zIV0CiFMZ&+f& zXv?$;6RFCjTgqGN=S*HVb@;5_{jp&M9U}`0;bTTpDZeB-8`$kU_zqx z*i;Pr_kx{^&AbhDllQc37 zhAp7}ztD6ldbS@JF{EYaoRgN6ZAKhNXK+l5rO+QvTC(hvwH@or+SiR8H!&zSXh5mG)lAxny~mo#_LjB#X7)RAR`+tue|<$J|1p{T zYhtZD{|$xv7Ec*AckBFRlSda74Mh9w9Rv1RHD+LC(b_Sctx)dD<(YB^SYya<)AI}2 zl9CP6ni`f3+*30O>Q$Z;^_nuEGKbe|Xx--N?aL2Ls%YOZtvS7H-?+}9a{y;=2Iqz` zCPSQy+c(c`+%S0G+V%})CoPBk>b6Yl2Ky$)3Hz2VGxO2DY-IUK)j7pO+t*iMeFuvA zX7ZU?GGf-YP(B@yPqie7C}Q;0~7J;g38JT_NY`v z$%)gNcdo_u8C{TwjT*FaRou1*)E5<{j8Z%uJU6|3Y*E3^Rh5fkQXcY2jmhM* z+#ba9**PhZm<>*34bRy>sB{hFQ&A7k<)+48QN$nPnZ}t=qUoQI3cNv+YB!cQwbt!hn-6~8=Gmu`+b4hDx~ZFI^m2bq9p~w%xu5ma&pB?%)Q!`cm*nqT zTRUpbj`V@$Egi$~`L7)+>@s0mrHjwMNaudljsDqR_-DZ}-2XOA1OGb!{+HtYBP3#-Lx=bl})Hq{k1Q4t{4ZNE|$U0Op_TrMG(dZ<*1Aez2e@?%8cdg12-1 zoP9YZo668{i&if5+IvKd`{T)54%kCGHeml)kjRWb&_DL)ez(4SZ|mTJ+onSQ!}S-D zeq}0Y4Jl|F(w>=bY|gb~_2z=2)M$J1n4+SUi=p3`QnYUBhH33B`7oXw1^sJcQDLT? zCRWDKt~s3}=3>8Av~r2SRW#Nbz@MktZLRn`*b7Ew>N^;w)i{2orWW(@A$DqJ+?z6> zsA^7A)tr56J4Ti_+p|6YXQSpc&!5S5jvhWMEuO!fX&4vstIdAP=M?Xz=guBqvT<6s zJujrU%o$K*mv>ZA|CF{T@cQgr2mNYZHI2_~%go;SGxrUy+bqVD3_r4f#?zr#j{$oo zkC<@kZxW1yu9>e9jTNaf@`!&8QZoDF5X?Uv2@o4vTy$Kts{3& z-VFY_cI5hYwAY}8vta(wvm|HoEbv1pclK87Z>sZg+!Ezc|FQ?{Kdg4_KnSrv$%T1P zc~48mUkaT8mGS8(rw?@Rt{Yk2v;_Q8;1~TN;Ezs^kEx6|?}YxfV@uiA?RAsEZ{Rrz z;GI)sZz&xD^QrWb{I=bbHB-E8 z(pIu);l>%=^XKe?@kxPy87qxWhx&!=5BU05ft}j7%sHtx2mJKJY2B5vf>l#D&DpwP z>~SqqhnLHEyS8;m$ClFe`lEW*f4D)P_QhfVLC5B;Rc=jT2-F%K%K%*Fm{G0aEO zRjXJ_?dHtV+Bi=t6Z5d)Jut5=uqNR+v%aEv(}B55`R|Ilg+uCw&uy;G zDP1sSbLmN&2Dj~QI|}i^?~_e4T3QDe$H$oQp4Q_B;`4>^i>FiudFVg-!~A$0K5u{v zp2uk?Z^<#s==aUBL-J09d|`e8<3xd9PK+l7jicu7fN_L==PZD7(4O;Xyj{Mvczx}t zSz9+87% zS{qw?syf?uw6>*J?C5Fj?C35IvQ=%V?(Vpe2dj+HdU2zlX$(*(o5nF2%^j)6?WvY@ zadTH^dv!<8>du;0SQAabLTMqao`$62#z52P9~SlD=#$&J)~23qabt*S$Z>=k|0-ZMtV$XVcPDM^jt6ySS#ab9?WOxG@~^j~0y^BTXZRx?Q_C6UYIVeY?9sU<}Veu%8|EfBQ6tLt({5is`R8vz|!;Y?WLpwxBnKhu_N1_YhH?^l4c5Lry zXflm~Qo6UNwXI}rs;#vNbS5aGkE?0zXl(0kO7mrO)bE*lJjgO!^+vY6p|!)uc5xQh z-5b(fU7Y|BWlW>wka>IJR6+vt=;|&-z`V51=4M!cM>fHu8@f`vO~dt~V?sK&xwoy2 z%mc!C+)kcUfwY|$*Nkzx5G13lWA@l2^Q$b^Mw}X?+_4HeyXuC-QC^L zoa$}kI1AfbyTP_C9qA@7GB3TSv7sH_5A=4YQENzZYga>4V`F=RP$xq`%5Y2pzfvX! zvVrzJNH&mi@N6J4h#4aKVuncGo`{C9L3ws2r46OfDw&A->WyGL7g-{67pz|3&p5+T#>n|I&O_-1t1Fi@EM8Hw5THw*avO*| zCY3c**DYMMo&ykKJff82=W2q-f;_$~lwQ^lOfL_mm-BQNizNj43)WQEENrM;x&Rzy zHPkgJBGy+=hb~_-4Rw{PtJgw0MAbK(uxd3LZ*k?Cn$<8+abK&fs#;WE&uueJ5eHW7 zBUh$~D_6t;l0LdK6mc^ZaTVZhete7SDi_o&0)&cKz^I4?l!{ousfY!nidev^hy}EY zSil>oYi(uy@~}NIE^JSX3)>Up!uG_tusty@Y)^~}+Y{peU;e_Xs@jGHm37M-7F4gU zhe3)WINguv4XYP5tgBv#PZ!1THV`qC!J?|Fj7Tn5qNV{CP z0D|&ZwP;E8in`pSi?B+VaX%826-;PV#NRyHhHvpCZkB#EzYsD=lYWf=5$EQ8C| zH^3teW6$Es`ql8@u3ofi)tVDlH>_H;uzJ;^DtIFDs;erimM&^2Ln8sU{(|?}EZ-l6 zom3zKpW*_)gs_}Hqxyz*m4InLMr=`~ugjBy7)ut*jZchP?8SMtZ`_xwOyADJ!xCDNB#%qQc{e<{qD{Uc3hU zTljN^5&oQExjz?F*MUEW`tfia_B-J??03R(<%&4$cfxYm?}X#9-wDTIKkkq7`tiQ8 z*pK&(#eTeREZ|hc0#ZdR_TznXz<#`MEcWC6SnNBo9}mZc?TK<>dtzMJo){OlC&q>C ziE&|jVjSYDSy8#LVfBiJHT8=cPN-Q^4_M+g^=rzYmxGA_P@dmp7~wY=mitXUa_FOV z3ou9gT^ERuy*x(O!-R+i3eK(e^}GdjD;mh(F~klOP@GU*)lgQop{fR$JY*JB0$QBf z!Mu#~JU5;MU2(&@6{{9Pufg>)j|P{c?t#m#Ua`8e20LzOIm|-x87t(kS_Bim)$qiN zX;K1Vi)ScT&}53F;K&lIt7{jnYN%PX1oB@5!%!jayrKn#VhX^< zX0sN_!(`UpQw&-I$NPoEB)z}sz$yw&?YnwAdRp7lCG|aBy^XNHE7ew&YHO>9g_O9N z1Eb*9&d#3hp03mm)A*tvLBz6$|3?613#$qKn1JHyy*tv;iGKJ(H3M)iGhH*0G~;Hn zUyhjz`_fW7cC_s^jbf(ZV zOBKh>f#C7AD;BP)SyT)g^1?;=WucV8=8%Lr$Q%mZg+KfF@9rkogq5NE9jUHVdm4U% zqZzt+V{P&^DK#=PN1CG$)=|*ad%w46AZyO8Bs-jHUB6% zPc$c?btc0+0c^Rgv#BZtD<9zcqr(l;$HU<%iIWb94?lSM*y)8V52{1Gs36|B3Eghr zXBhft&jZ?B6Z%&_Vn`NXfa`w)?Az5ex)wgUI z9sFehE2M$yD;=sAutFNBzS8*1fIkzh9kh-F&j!qx{N;g&$N{LnIm`;@0QEZ|m;;E2 z9DwSZ!)zENG8lHWHZ}l+HNgx(LSz8c0Bml0;xgScjM0G{00PWZ^ZX78BCc>YAQgFl z4~EugAeV+vE+CZ21xQ6M;5-AG(v7fZxVK>!E{)Yu4eX0g*XyF=N4R3;lB z71@B-1Y zvOtIQ`ws9K1*QL$q5t_5kQWL__AQ|doF|~*`4uK96u?IU3ZDCvDcz?G+=82-u?$w( zVI9sjFAQbj`49>#$^^d%lI+WnbJkdLoR~6 za1kW?7F!N}!0??!SGomW5p^P6&|-;{$Z^l$BM=x%LF zr{VopV^23eLidKU@q7mr6zD^~Q||c=k_rWI2Y<7ad!B-%LLuZS<({V?sZa=cO1bAL zNGcRUo>K043X%$i*{*@hEWVzg-17@0`|3=e<{ArwI@3MZKvJPo>KgdN%2&>G&liwX z=zuRQ4(WKlFkR^j)5~20R?GtBcnv>Y+3?e+y9O*D26Vi(pRR2C>CnIlnDKWsz}tbe zYyK{z@3{g>D(V(;h3TFvAgNG*_NLCUG}Af$DO9HC9MhG~F+JoQ$cu*&Nky5^`~jiw83koa zSA<#``HX_Hr7NI0y&w7D?zKT9V0tD4Wy@D!%VQTL_ba&8s!$%DGoZA>E+J>A@SFij zg+j;~Dm-UEQlS7Xo*BQo_~hQ&5(4SDM}^WoDnjmoe8w_L_m~xO59Eacl6^tW3b_aJ z83m<#%nG>&@)-rCd&~kKPyR!q7EUinC*E5k_rWAdImq&8#;HvxD7wTT<1Vjb<}=P z3QZluksUhDXF@PBO{%M1qBQIh7$J4kE>RkG35<|BYL_Sty97o^9kol8hFt<9q>kDp zO2aOJ5mHC(5~X36zzC_Mc8SukOJIc5QM&}LnFiblBQiQjD_jDXO@lfC>qr9`2i-Xbi#gsOQW(J zwIATJXRsWfA7G-YoUk9@Qm8CP?FYE{87#-=2bicTC+r8fAS%mI`vES22FvmJ0Vb-- z3Ht#qi^_7;et@f>!E$_lfQgE7a22$WuM6>Q*NvG)J9}IxFBHs_kF+YUuz%oksnk*X z2d<6=Fk^(&QTqq3js|sn{(*@qY+?Vv|h=~2f~$9 zMIN|b>Z>qhf|~;UlTVb$fJa)DN!W96QB~@wJqOoKgXQ=<2NPB0ggplrOJzA~&%q_o zU^za|!9-O#;2b`qG`aTXa3(%i!gWzqCg2>wf_4HoUmiyYNsqm z?HIVe87#-=7?`Lk2bwylUB$Ya9-@s+jU^zbDz(iF! z(ENcNFi^!y!`b-U1y@p4*@WE%7fdBwwY%UVXb`T?T`*BqPS{;=VN{l*b{AX<4VL3` z7fe)@10E6j%nC+3cy}Mn$mc`2TB^zjeaJsx;CiV9to9dN77gfNL`DZ`MLD=g8r1Rm z3nr>`z$N%<46a$DpS$*5;aq$kgv+X`T)-pvBuji4*Fc}ex%U0xynJqitE#HJ!fwPD zS49txv`Q!JM!0|~t)+G&Trv$>%jZUzs46GyMz~fg%Tc=#u7C#1@p%j;s>%VUh|_8< zG{{fG8Ti}>S56ff;G$@tP>jd`MOvi;9^(Hv5uE+!;aq$Ug)6G6T);#6!$!RJhW1qV zcKXZ_a(XG8lh3Jesa2H|_(!k~KIg&JR+UcJd2nS_)?4j7xRx3$$LBnls455igTLy@ zI6O4dTj6Yc{)CIIs%*mkglnu4uG*h)RW%6L=TDfZDhIqIsN-`X^%`qgc#V}u2yC40 zTTaa}A!TDktO`Wz#&@;Iv970xK3Kfx9$ZHSIpiK? z(>(X!v_dDm^jhXydgZiAC+r@+rPnf~RXSn!@U6F&A+6F0yN7SRwG3&MPS`zs>#b!- zt8~Kd;ahJlLt3R1b`RfrYZ=liov?fO)?3SvR_Q>q2mHXd-dcvVN+;|GzV+5Jq!l{2 zq#Ec6+g&GY7T*$VnR*GfEW8BEBQj5bdI`1+S6Ks9#0aUQb`4x{4eI!M4op;4G3*++ z>?+Gqy9Tbf2Frm6siSs{@DgkpMo1mCYv77&upEexI%?MlFTs{!gw#>H2CldU%kgy) zn5eK@cnP-5w*<>+l}@;ez-8AAHuVy08Lqenu=$o?d7`SEupi*Et1L(D2e{%IEXU^u zn5Zfz><75)D$7y(0j{_P%klXECaTH_`vESy%5v0xfGe)Sa(sS(iK=qKet^ravK+M^ z;A(219N$uFnR=5fvt+S(rYOxGv{=|pDFJsQR&UvWwIS}ni?`SiP zqsLbttQc_|kC}p3mnaO`jrYJst1;(`&V^Xgm!NuEVJAULx}hZvb{;ssdZW((nNxx6 zo)o}$Q`@#S^uXtE&^n$Hlsn2-ZeMlgT<%KBfWz9Dlq4I z=LReR76;;Z0!vk84g3m{Uoy%gST=^DKHfuEM9F}5n1?p=HKoA7oSU6*Bh*{qN!i*1 z?FA>dY)f@-i*j_~O4g1>c%J-9P?Uzlb9Lt2;oOM@-vy7HKaYmy&bB64KIjuy%$$2k zG`6w=V5PgtDzbmTMvaL&0YjWuMJ;d{pgZbmZQZ{5E%FSd3)t%v4HkeV6 z^PUk=`5!osV&xyhPuO;)ccnWT-~-aup1tCrr#L?j2#ZZnJU`58KwnX)>5nO^pMZx2 z()j~g5RL+cpOR^wg3P;iZS4Z9rJ-gy^j#J_;4%_qpQFrw24?Kq)dgpyr3J!c##i_0 zGw4HG?pbBk>jL|c+VN+_%T9wPy(H2;Bt~5XW(EnLreJaKub*UTH+AMnDZ;= z*J%Bhxdqy~g#~Q*LWWmg#6b05qw4<_dWx=HxPSp?!nLP*8sYJn6X{36%7mI9`ZUZ7 z0&He5J#yHc!U0JBLZDVvUae%7+=ULpaZ84ZW6mF(KVp61y9DoeHZfZDYwYZ3Zf${& z#c^YM%Wl)y?p3U+t+R3aTv?QN`G^;%XgVpcNDD!O#|rkR!M|PIJ@6>N8D=ohc6T+x zhe|se@L(v2Y)`kg>~8GoYHQfh*~T9dJ{8DB(f|WH?J%MZ9HW1zMqtGrg%yu@-I((a z=bvcye?h}an^3VZa>^K=RryoKzkKLugs)e?E=|}6fUA3v;FTZp2RLR(armN)i!b$> zF3L$1X`x}kG|u>#vhp5sMXAyTiZtv1EPN<(u6zp?y~>+Epz*yMTFOT$=kbI;|V6#J&19+gya9Dvj z!96^Yaml;n$r#egYibGyI?ufPi@jCRDT7(XnGN-y%L> z^z82JZiJ&!VWQi$E5m?O6q8eo0pD%k&TnmkP17*v%h)#X=(|D$$}08D+2`ITI4MM- z&SDc{6LXE6*d%6bF-}YvR%{A0PBKzRmB3s)a|9n8LJ{T(102%{M{+b2^JAH{ltOd=t|AFXSdv5gw7h8e9y)iL8_ zqE2AOcA{1>qm8K5%xEVHbUTPz&x}r@HZo%eQJa~ulc*D!(M8lr%;+X+D>HhCYGOt& zQO(TQMbtKC>?Z1DX6zxVjTw81>R`qxMD1Y4sYG=#V;@mH%-B!VE@m7cY7a9`BkB}p zoKDm}W_+5c1I##ssMDEoCQ)ZF<1C`iV#a5PI-4116ZKhUoI})k%=j!(pJ&FoM16r7 z=MnWqW_*sQFEQiuL|x2`^NG5Y8DAjka%NmW)RoNmB2iZ}<3ggo%#1G)^;KqEMAX-q zaWPTXG2;@VZeYfxM17MPml1U%GcG6UW@cPL)Gf@olBipmaTQUwGvjKa?qtR_MBUAd zFB5eyGrmI9{ml3(Q4ccXTB06e#@C4Y9y7j9)FaHej;KeOaXnE#WX26dJ;97`5cOkb ze3PjEW5&0L`YAJRBV0NBMASc-@i0;UX2$o3`Y$uSPt*s@c!X0q1~Yy@l*NokiE^0n7*RRQ z_#sg)Gae@@$&4q6V$ApvQTfdHF;V@Q@e`s7nel%_4P?fXL=9%fPl+1JjHiei&Wxvt z8p(`jh&qZH&k}VEGoB-AG&6oiR53H2Cu%G+eooYQX1qYuL}t85)MRG-f~cv?_$5)( znDG)(Wz6^$QPY|6YocZ{<7J{|G2=Hx&0)qXL>X;@fJ~a%=iOQCotoWM6F`RpNLw`jJJte%Zxu0wVoM& zA!;Kt{z}wlX8et)6PfXMqE2GQJ49_|#=Ar{G2=a=nwjxFQQMgD528+H#y^Q_W5&OT z>R`sdiQ2)8{}9#1jQ$Jo*+ z!3Vd43DvA1p|LpBsDxI@LvKlN%s~Xbvv5i7*a$g1SB<2OK8Tbjp+t{|<{q+&8KKB3 zqENVsd?paK1qjMhc?o-@m{);GswzTm;WK=rGvy~d;?cfZVwox*3?k1B4+7T64bKPG z5W{1GwZt;HDVrYb;#p4VYJszdslXzYg-H&MBqo`0Q8MDB;wZ`B0mdXbUXdrCH~P=R z)E6z)7cJ8lE!P(nrqZd_4EWfy8=)^P;0)e{!>)e{yL))N*s z))N+1))N+XK2$^FnV-}2S`S4v{TD?w{TD?w{TD?w{TD?w{TD?w{TD@rT~+-S9dVsG z|C)??jLOe(*&0tfB@xxI96Bxg5Q5%$%p`bFaPn+zl2eVlz9Y9uNY$%`PvSlVKJVz_ zL*SDq8Xp25o#0AB2emN1BRG_ZoTMDI5xg_ODKFJL7{m^yK9G^~-5m@=7$&J>NShutSY<@??K%qJtLY`K7XxkoN#kHp&(hGSQ=w@74gyO4%=l zFB8xgjhKA;kI%2RAoKy zY7LT6%_IUhZjhL2tuJ#CM|4K=evW8N{^9Uog?U5W!BBgbdhqsCbxVr7KM0ws@q<@_ zkeq5HmN$ivh^obX7l<6(65hQc2gek=ZiM8@d^-$aI~BhbvLyO~Pi9OsCc)eF_)yqz z~;d@^IQF$I%h8wGA-&1^v6J203r)hNM4crP`LD7eYOzs(_Vx923EzL%iB-IE!m zMj2)eFSVu<1uwN`5H%k6NqATemX~*8DcN`BOyA6T2bAE^^$h$oEWwM5VC+YXh&vsz?@Ii!CGy zUW+Xv3SNsXCJJ7QEg=eCi!CJzUW-)|1+T@H5e2WsmJ;I-IVqTsdII-=mU*m|Piwb%xt z;I-IBqTsdICZgcA*k+<&yI+B?_4wwPxhys=HXb&Y#94!PJA}mnT8v3fP3dhQ+VKLI=dJ zHjS~FLS@&|-PzmKm~QQ8?kuV2B;3>smj1G9B;blX|K%{^7Mp6^=K?KtZ8H9q_}Ad8 zg-n(Qxpq1pzYgpwK_5hl*Ybu${Q5X-yU)R*8o|kC`kd?_ii(S==d$>>;x{HCubWJx z&D)K&5ccbO8_!R=8Ert!3b#rd{#@$BF#qxiRz(9*YnYiI0&ZWE8+3R{SzA&Td3oRz0yI~ux6zWLtM*Ss}1RLywNoCOgP|}0pB{36%6iI0-u5Rg?s~-Gc~WOTx_fXnZh!3Xed>aNeL0 zk3S9jt+%%JbO(npGJ5>k_;bnlGx48+!)87j!B2pEgGW66bI=T*PR`;l0-*Q{@n3`i z&4$OEU)mBZkMD_Q@n6M%jpe-zn=gZHFpWN;IA{;z)d-%^=~Uy_U>|!Ud@_{AyQf(E zcMuDCzYhCkX-EDEU1`|s2%XFCp*XSYO~mns=B-5h&G;W+Cu3_nUHgs~Z;kaoxZ<)g z|FuveipBpFe>(~Kf7a?6@KK1z{|fViOcMnkhj{$&u!*v%vmw=um08hj8Ydp?@%xw_ zxcIyA_mc73;_vrq3wR)AkcI!%C#|ec3~ZbnA=@&1XEQ!r8_0D(=%YE^G$wh2RptV! zYPgKPG1UY2b*xTx!M$LM_B5tXKXf^4ucS$}TkMWWy2rX>0V8-8 z#|UAlE)~q2PZ%eG9QYBJgD@mFi0t}^;;*{KI{2rfrM@TCxV<>;!Y4Ch_YKz0Q5_zy8B=z?7}C)$41Vb*R?kFY=cpGn0p$^ z!%bo4I{ZE0*+4c2oUYW>(^euh+%w!Wlg3c@EYm3QoK7w5Wk&r({3`csn3=oqt7Krt zntuFo_gtJ(zL#{*b3f-AQ(Xg|l{~*B_{;_H_(-2YZP>aG&T(PF{i6FN7)&za-sA(6 zE{5N^QpuOXRWD7rm$;X~PbvRw3U6WSiMv-M-7E1|RIyjrkgs^TL1uaG)k(?tM^qjA&1{^G{!y`vB_R@4_7Y6t70Xukg5~rG0|jVb@(e zV?5dAXx$!Vnj3M@iVwy(j` z6H&i|3NX^DI*OBlL^gQf$qta#=52@Z*mMaa z;eMEaY3fSffdq%$9^%m|PT0^M2?rYmrbh!5)6sY$4tCxRR~@fPH&=FbrJ%DvZoEP; z^CeqjXImTGPY1K>lJ?#nTq-JA2iiN*O$%n;5-j<2}G>I(U0oQP_L?Lg9!~oZr4~vQCryG1E97&-1*NB}OHVO1gs+M?*hFGqE<5V7NY8zc?VHzn0XIT>zMfhH$=5F^S4BGGV@KMcDhEjYbK>>nS+ z=Rti}jKki6&U1Dl=)uIv*8$Pzh{TP|%AvTMnUzb_E%3ae|~-ycJHLV2N8{ zUIqEz#;pD%bO*Br5p@@SFW;VGiF^3KmAIE#!ztx{_<|^Q6k7d3W{sk_hu~ESIq>)3 zRS8j#Fl#iWKFX{yME#Ii4-4!a8A$FDbFaD^$>{ z%=#3i!Qm7xuJMxU>!kVybljfldRp7?G=YzEnoEOP;?2Zc*c^XgRu!T76MpLq&I@Zj zEb(Uo^A~0+6i7Nam3oRfBrvgs5{I$)60%GKE=Z5LLn~n#UzenMG5yWI3~FN|1y_8iw=W^iKN! zNKS@n@|CPe&Vn{f&Sn;k7fJZRTSqN2k69NH>iNvNl&DH(T|rb8i+w6q3D0115w_C% z%(|KqmNMtl&Ka1n47?oHu^YF;1jF~Mnff@EtV!0QR2{RfB~9p$YrVOTcvmfl(cteM z(NSh5Gd$7zEuDGKZRRCc!Mnj^J+rQ(GS)Eb8$_*R@h7QeH$cm7EHoIl?9G(8g<0Pr zs)5B{BC!;RZ7nqNQ0#U}Ov4*(zM`CLfrX>qj_%f$4wh`?k96{6X5B?%@ROAPvZDjW z(>qzR14Zsnb~5W;64?pEbmqt3Za6t8&63?D1B)3B{s3XgU6k)`W<5Ykds*U5{9XET z5{4{m>t2@JPa+4H^$>}`szoxBZ}%xIc?PsC6nrMLzE1+5Vb-HWLA4$y>Rg!c_*T5- zkDlb`DErSV)7x3{3zU9=YpiySHLkIiSwE&MFJ{)0L|w|Pr-{0pSt%|&mRYY7^>t?bjwo0g@cmj0I>=$qy66FMpGH38UwJ-G`Q#HFq;PVT$j1SNh}FsxrN3+6r=GzH=o%w-skp*O*0%$ zZXvVhb1b<7nZ1Ch!OT6HG8qb)3@bDSVJ3?xaU`>s5rzA0D3fEDP0Q@LqnW*mQj3{8 zkurhbVY%Z9jlr178cLkV?Da%VX7(ncrZW2^qNXvsktld8QtkDy!R3|U;}hM#W_|+a zPKVw#cLuYYDgO#)w-Pm**=a8YCCh6l2|*4brc#S zQS2H@+{x^(64lMzS`zC8v0a76C=~lTCGKVJ36yv$B!EEZUbFX6dFDdRCX45aP+^;bEHHy2I*{>7zb=WgRE`2@hJR<5F zuzQH8Z^7;%qHba~{Z`BUHtb8HxbHBV`qbRpm`#0Z?j6jgJ~j6)W>cS`zKEQ11Q**z|9O^xDA7&2qp1I#=4t15eKVS}ZmAQ{Ghx*0b$C)#L(Eo@zgNgbH zbA}Q1By&a*^%QfCCh8gHj3(+i=8PfgdFIg1jNBKPL%mP#FJPw?)$Jwbh<@nT%n_Z= zZ@hE<@d}H{mNU+5uL~%nX{DS-e%5nqW;31I->r@oRvhq z!<^Mby~mt&ME!#~8;SZCbG8umALfX@MkTECKbEhMLnavl)*DhihOyu~1q1!IF5zBgk- z@l_&po%AXZ2n!DxCk_Y;j}Ipf2n!DeCk_Y;kNhSM2n!GMCJqP-kLe~32n!G3CJqP- z&(Y=_5*D72O&kywo`X#sV!>0bi9;-Sb~SN`1&^sF4zb{Q)5IYbJX)GK#DZr;6Ngyv z#Ao6V3!dxDIV3DRrI|Ryf@d)khgk5GW#SMEo|#M>V!@MOgh(j!R{}kttu<({C;t&ho8ATjo!JDCoLo9gw9dU>SZ*U?G zvEV&T#32^El8HFPg7+yAhgk4dB;pVY-gm?~BrLquh&aT8cN7taSn#SL;t&g7C`24$ z!RvvDLo9d+5OIhFuk;}fvEaQt#32^EeTO*2f_Ld~4haiy$srE0;B7a=Ar`!^hB(B6 zH_;G>Sn$3X;t&ho9zz^r!8>AzLo9gX3vq}AuWum^vEaoloI}FG3s#6jEOQPzW9< z-~or=fdU?o2p%Zl0gK>)0v^x^9w^`ekKlm<9uNs0DBuB;;DG`jPzj#n!_6SXBW0K3 zUJk)?eApoip5wzVS@0YmcFKb1_^?|RJjaI}v*0;C?3xA7@nPpIc#aRdXTfuP*e?^0 zlwFElwBR{D?4$+H@nJVDc#aP{YQb}S*i{Rj*z1<&ze z*DiRD5BqfDk+Ms%dlx*%haJ4&IX>*-1<&zeCog!854(B6b9~s*3!dY{u3qpQA9nVF z=lHO@7d*#@{XFqV*`?U!3!dY{PG9gGA9nkK=lHPW7d*#@UBBQtKJ5Gj&+%dRFL;g* z2LQoyd^iLMp5w#5pLnF~QXB>Z&+*|vAb5@shXTQKd^i{gp5w#eK=2$N4hVwh_;5%N zJjaKFg5Wtm92Nx6@!@ztJW_Tk4h@3m_;7F#JjaK_gWx$n93TYG@!=35c#aPT3BhxG zI7|qh7jt_?q!6QC?MHJ^b1$+h{r-0Ak;}q~2e4GM4gO5|dXYg?f_zXTy z0iVIgDd02sI0bwLAE#`7M-k!U;|ITgNbqPsez%a|(SH2iAi<;k_?JD9PK7k> zM}Tdf75-n3V&gz&KSR2S#8f{I;X4<4soT}t(F1SiOGtE~S42Dy)-^9uWdCt*Xb5a~ zpnVbn#3J3>-C(+Te@f>4G4JhuIeCAEw=->gbA}H(%lm8ITZP8ad4Gd9nf35iBL%;> z;gzFP#SbRWy0SOV=pDKO=Zj?>K zLUA{|7paCjKf3sa_B60b`r-)oj6tr$R*_}bwsyBdCY2o>ojrU5JqY%P<;tG!9@x`E zc|ugbdMGhf-O&bEaC0BLN98d9-zYLH!-2mX!-c~;3-R+v*uYYV-$C*aK6&IJeDKIa z_)gI<^WFj4GRi>?zE%wI@Xca?hp!MNUjg#)Eh5hczC`39e22(G_zIDS@C_ml;R{3_ z!uN+fgs%^I2;Uy^5WXRBpJcO?bc?jPW@({i#O`(JcL7{x%@VsUWi91 zbN*xzZWm#j2-`*2A;L}(?hxTl5q62NTZBC#>=ofI5$+b@9ue*p;VB|KRfPLQxL-RMg z!g0o&zfOd3lriUT5aBmO_)QUhON2Ly@Fo%7EW&S#@D>q%M})VE@HP?NF2XxRc&7;O z65-t!jMEIZxzbnFrMEI}>zb8UCl9<=;5fQ?1#GHRrgm4rw=YJ@| z$3^&r2!ABPAB*rOBK$uQJ}JVVits5BJ}ts$MEI--pA+HFMEJZ2e=fooMEIfze<8wO zitr^7{z`2;n$k&i_t?aFj6T-w@&NMfj!&-xA>;MEFM$ z{z-&yi}24P{EGE}w@osZK?c^UCvB|gIZVsC`z#K8@ zZu987%`tbI6KW?-oP4)AW!>akLBY;@5B|+H%>NmMaWft_r{}#75jh4FW4xC45BT>O z$T?<=H>a8<5Swd^Hm8}TFqT1SrfrrPM&3Vxj+v%y^aoHg^g&f*1M0T{P_rbc*-@b2 z-Kwsoj>`tr>j6;nB&g$~K*38GT~L+TfO;bUYJmh*6$NUcKB%lL^``)+MH1BFC{RoE zL1ksBw*#P-N>J5Npx{-zZu8Y-(|mspfU1?C>Y_lwyMA3zE3*OhmjI|$5>$N@D0ok( z3u>spjx6pZPN#J^5KKpEtMblv9b$p%z9(0siT z)UGH{u)|dsR8~F}1hq$k+8YJx6n#+pva!^*fTi|JPzRzwou&`!(}xf03<>JYC{VC7 zO1Js4vQ&G(QlF8a&W-{FJF<=_sEz=r&q`3|Mu9r-2!rYjfcl&S_4z1J=j(&YYLeF- z0QCh4>VhaxuxVVk`M#8mqwfiTx=4b$I0_W(%liaDT`ECc76s~ZeNb0sW2sXDmbyxU zx;hFJY)#a)R8~H9Y5>%iC8)1Nfr4FAL0O}bD>e(nz&*_8uSvH_P69DzR1oiVMP%r3%dNCVNX9qz2 zLW25b6e#$VPIv74RW_i`34r>w1od(hsNd*=dLbl4)Z5uu>XLw^{wzWLB?=ULB&6%;S%JDV0P1fN)Ze2( z!S_l>6x3w_Q141m??r)vPp6J3sLKPO{vkpAGYS-Z#dSnMT@e8FZwcx@QJ~<1GF?y~ zWaH>p1weh60cF7nnFoDci)UK6s7zdoqK!v{4$f*KeFYLGstA%_oYs01}E3e@mV6x0X_YGf2B_-0-A={q_b zOMNx)^c^EX6-9x94^VYMW##BWP{&G8#ZjQ(TUcFCS@~2D)L02>TokDBpD3sa64b;f zP?J7UP?II7DN&%{6J_1z%gWKO4LEv<1QoSa3C~~B1(nq>69iQzL6t{Y3O?M{1@$pl zYK8@+eR>`k?BvnYsi)t&pHjhyn#?Q|MZ%J{wRs1T3{$f?5*=YOOw~th$6CD7pR3 zirW5$$KvT)Dl111g4!r8wJFL{oAp7Rm`(G2Gthhu64Xgipi)N|)VBhlwn|WqQJ|WR zFsK^?pwbdla}=nSBMj=M0H|#eRBIHdlaDZ{n**TaMnNlTqaYsosQdI~wNdc)04TXp z(2Ck9i04o0g34;6U=WntC}>4(6vU%2KS5A(qo5VFQ4mk%`~*RrDjj`al%wz02X$ID zooBH5PM4rQ9R=zPeNbm*1L}@|qsxtgR@6p8JT~=+HeV2w+$d;8Z4|^4T#qQII|G)I z8wIVXje>ZXtS+dm`l-7EpyWnDD{7-4o-V5i%B;x7r|t=Wx==d$m!cf~B5hDsR-Na) z0ZO%jR?Qa#b(sWpc@(HC^g(6yWAwg&rLL5qu8IP6wLYjXXX8^rP+yUt zz8VGUT5V8fR_~C5puQ$SeLV`)b=sh;teWrsK=WNMLER7q>Kpo?zLib$1wq{?LERJu z>SldVSy}3VfTg}ILERDs>O1`LERGt>fR#^>X87b`y{CQqd+}yghBlv0O~;r>bp^(;E-C~SwdFL_hIYGv9zDXKei#7tm<091C{T|dVNj0;Ks_Nr{U{35kM%)i z^*O=Q0Z>1Yp#Cok)RX$4vig)R2~jTdw2 zI(k;CE-wcxC3jidQM;`1W-wh)S)COW1a*{bzN4d>?-+ehSNUDkHgE^E96PS>ZhdI|Yj0F>NiZAb00#tZ3m zL1i^{34)TltnH{>)_4(~CMYYbje>y#A#J(K+K$>~jd$|tg34;@5(Fi8S=&*&tnn^D zO;Bc5#~i*M@F}^=+K$>~jn@)tg0hdx=IIN9nkT!2)LIE@T@sh%iH_3DGl%FzR-e%rewsNGSZ_UMDkYCaVN zwO4{VB?{E3+Mw*L=2L;A$nAX+)czm*~}6Ghql}2NlSe$%2J=#29=#p1woxJ zL46?#)CJn0%&ZP=51b@#e^G+EFbdR{^g(6iQ$bJ{Nl+I@fx1K+lzmw?J{36L-o9Ld zx*`hHmD-@P^Qj=Ht0btaqd;Aw4a&^w%>BOyCVBGUT083CTKgj$T$`0o1wmaaE%mi1 zOMPA2QrR_M;C2H0Itl9fC{QNc^Qj;xd2p>Ab#Se% ze{gMf)8Qbf??_AC8fB^5^evUuHx5Biw@XlWM1i_f8*0%mGYb&cmvHlYPC3jidQM;^d{ax1C zy=@PIlDn+!s9n~!{x0i}1C-olZAb00w)J;ee;lCXE^9k#m$j|G%i4Y_o2M_>d{4_R z;hCr|;aTl2;p4EB++}S??XtG@cUjvnWMirS2A;kbrKNrmWvO3kTgv{`;e(O~*V<7B z*V-T9;M%Ny%>*s=inP?LQI>j5+fvzWsSJYptpxSEC{V9!gEF()mk{fUA)R)Q*y0yX9cgJJznwy~9q_-eKn>>>bYLHHcXd044VhJ5hUw9sRw-SuO5|K*_zsPSoCE zM}P0IGc%j9FKDR>`Si_-dirK-KYiK#?kfy5-y8{QZWO5Fv_WO{v)vpJ044VhJ5hUw z9sRw-SuJpcK*_zsPSoCEM}P0|#{o+29d@Gj4mDhNvMvUZ|&SvwzLmvvTa z2|-YDm$ehM%i7W3Wu2X+h6S2W?y`2Gc3C_6yR1JBP;!^G6Sd3Q`3SqLvueKK0ZYkU z)=tzeYe#>Vb#|5tf|9$eov2;b&PUi~ot33V1S}M@+ugxkwH37!r{+k5kQ2&AGrRfvnI52@7oi5om{tolE+b zF!EmKD%}z;MceWcE_1H-O1KnCxQv%@jq_z?319ozO8B~SohTvT9^X_K(APZ>xO5K! z{VnH4ktH-UuU~B9z0P;kIWiI;v**17#;}~5z_zzKxAnmVK6bY{m%g}ehbEJ_Zs*3l zN1f&Uhmqw2>MS2Rj4U5^zSpP8CxP4kP@QLAxBWiWoIBMcez*NTIMpNE4v!zCg#Xhm z;R#v7kNhP(0VVv1m+++8EKjQo=-YU_fJ~nQ*@8bja}dzatMlw@7VZ$-EYJEugKId? z@)CaTykOXQ?}Eq)h6Dc@hC6Y@$SLpmcisak#;Ny#%Hg1fK!1n7 zci`_`_zj#&Uqd`X*y>pd9k0n8OP%a6qL$2=@oE{vd!rn7VHL)F8C#)<0ID zbfDNEb*6nBNY>1iHFK$E!h!ttfI1A04e9eRPMSRG-q=XZ0{Mf7-5@@e8IQ%sQap^Y zp`u`X2r~~P6g(<+6f`XM0=~g3Hd;Mf3JhMLe5~5?W7U|oEI%$bUV&$_I>!(mV~b)W zzB4veL)jaNjgu4>xidCR&myI1Pe|%|MpMHf8J9YPGJ>s#IQ~ zr+l(fd8MB6cBOK?o^qQ~d5xZOyHa_bo^pp$d4ryEr&4*7p7IW*@)kYiol4~fJ>@Q? za!OCRTdCZrr`)4dPU|W6DwSLGly@nWTlJK8E0wqFDeqA#x9chIRVsJtDW9TL-l?a2 zs#3XIPkEnGxmQnlzfyU(p7H^u@?JgV)0E1m>M5VDRNk+r{As1~X?n_MD3w30r+lVT z`Aj|Kvy{r8(Nq46Qu!P`<+GK_=jth+qg4K!p7Ljv%IE7TpQ}{9Ku`HRrSgS(%AZpz zU!DSuI^e2t#+g-YeG=qZ0mseG-T@^UPx%t1@;CI9FI6gkOHcVSrSeUB%9ksZzpbZyg;M!DddgQSm2cBizDlWl zho17)O69xsl&?`L-=nAeWu@|cddgo>DnFp7{8gp$clDI7RVqKMr~Eag^7r+WzphmN zfu8bpO6AA&l&@DRKdz^IgHriNddlBWD*r@J`I}1RC-s!SrBr@OPx(fr@-uqMHz}2$ z(^I}#srOvpwVv{AO6A|^Dc`PCepOHT4yE#M z^_1^aD!;C$e3w%B_j<~AE0y2UQ@%&3{6{_IdzH#>>nY!-RQ`*e^8HHXzv(GIpj3WG zPx(Qm@_Ty9-&HFALr?i3rSiY@lpj_q|3^>xdrIa1=_!9-sr;dy@*^tc98*vE2TEmI zPx(=$a!gP8F{N@`Px*&R<%FK{<4WaRJ>@5q%6WRqKT<09(^LMjQn^4+`6o){0eZ^+ zr&J!Kr~IT+d5E6!PnF8U^pu}cDv!`pep;zKN>BM2rSj2w%Fim5i}aMAQz{>;r~EUe z@)$kk=atIi^ptlAiL5O64hf%D+%5m*^?~QmI_3r~Hyqxm-{AS4!m> zddk06Dp%+!zpPZAt*87OrSe=oh=qbOg zR9>g2{AZ=|20i7!D3v$qDgRZeyhTs>Z%X9`J>|bEl~a1k?=YV=2Q*HM9m~u{2XSw1qvOHa#<;ugz^3&=p>klK# zGt^nGIgBjNRA;&FFtR*Lo#lqZ$nrDlEH@oSmS?N8+;SLMo} zAP5Q~C@6Li#R5_+AP6ET{Xmc=ML<+gK$;>dhy{E371aNGliOrBndAbY9gP3ao4GIh zzL|ON&70ZDCXrL#9RcNYa>{!mpqwG6oDc!!OgZI!5l}uar<@c48Uf`Ca>~aepnOqIIW+>xm*kYwBA|R(PWe;>l=I}2Pe(vGUrzaK z1eCAHDQ84Lxj;_&d<2vW<&?7{pnO$MIX42zMRLj)BcNO?r+hgA%GczS^CO^KBBxvs z0p;s*%2y+xTq>tr90BDrIpvZFDBqA%E{%ZlO*!Qo5l}9dQ!bBya)q37WdxKf<&>)< zpj;)VTpI!9YB}Y)2q@RcDc_2Ka;=`8+P_C0xZi#?$y_|Ae1e9;dDYr*J zxj|03GXlzua>{ojpnO|SxhDe3O>)ZjBB0zXr+hyG$}Mur4u)pxh&;{4xT{ zy>iOa5m3G-r~Ens%6)RmZz7<4Urza51e71hDbGbf`JtTh#|S9*%PD`3fbxKx^4AC` zKax}a9s%XYa>_p=pgbt2{3`;=LvqUV5l|kMQ~nbHB}P8k&e z4MdGIs=&U&$$X1eB-clzAhdJR_&f9|7gpa>{}cP@a`j7LI`O8#!gs2q?dm zQx=PW@;fe-!)d(nmlT%iYfbw@aWsL|Z|BzGGih%M@Ic1#)DE}v?tQP_0UvkO@5m5du zr)(4f<#{<}Y6O%QDIH8s*){@7O-|W90!o{l zvSS34QF6-85m4IYlwBjBjFwY&kAO0ZoU&&Glnyy%?+7S$Ic47nD4lZ3+ajQJ$ted! zKp7*a925a%tekR41e9@d%3%>uW|dRk9sy-GIpxR*DBW_(Q4vta%PH@SfHJ$Ba!dr2 z33AH2BcRM7r@SWu%A9h_2@z1{l2hJy>69$VkvskCaDCr@yvD)9_{v~>yKfms9*J_0 zuWa`Jvf^@%{1WAY;k8^|s$4ic>0hgB9&@oUls#Y5>nMt`SC*2LxHyt3Qj$^^ zN0KZh3Fj-L(}$CU;Z&0vG@P%FhH`bOa``ZZ0p%2_a>ekJYe<#FmrMtK_p*Nk)|4tI zhu3l~sdBaOlxs_sQ^HfOBUKjPgPrl1>q?bthu3mFM;*zOtrwnh18K|R+qN_Ac0;Lh zqwrd8BvnogPr0#FxoLRHsZ!Q*JI*ZXcd<3#oF) z@RVChl{<&0+)Aq4H9Y0kQswU9DYua-_Y6AYlT>*~c*>on%EQ7_?jlvbJv`;EQst51DR+}9j|xw@yHxqk@RWNe}KR&rexoOWMea zcTHO7n6x&!a_Q}hS(eh)Z+3?5&CaO3&B5B+ zDrxUEcWMUl@>)jiZ41`kc1e3nGHh>2M(yng*4{2jd#`8M-s>5)_inKE_DI@WnqhlO zGiq;du=e&z+FO=kd&@Fv?|pB3ebVzwvM0ZMnC3XN)$vK1y~xK?Y53G&NaX*5v1oQ|Y;MDszck+Sk+Mm%jFpS-(tkoLS}g z&YM+_xVxj}G{=uy9X|s%(kuS_HO=woR>uL;mG!KBJm8;ykC^({SJub>1?%JAmOlRN z*TtMU$47LM{Uw$({%S@ z=4@FeP0z6f3;b3+m!vyixN8S=M+0kamPfax|MplhX8VujVK!{f9J-xp4jq3-d;hjM z9Mc?ntZ6&)g%|<-7GoZkMbE7#V*BD?`E<_8ipkVM63=>`j7F6&{84>*B}Vn7WmNgS zqbd+;R0X}G`WBgle07i2SB2%HDjFSS&Mc?n+5JINi(W$F*wgOOm*26cEhZ@GH9@I# z6Wk+nY*I!hC=-?m&Rnz!&Rhu-oUxdotk(qPLYd%JuL*+8*a~tJ$Y$*KS0c8*w~VTy zcT|-^#dhV4MwJ}?sLox9QJu4ls;YNX)k2M`dPbwF5&o!txDuoK!7{3v-ci*GHLBVf zjjC?=qx$hmjOs_rsOot~RX^0I8e}x8M&Xa@rz>X8Vs8Kb^XjIL@AJxxS zVpKm{M%COqsurO})zUjE>n=%eEm^&Ok#yVo3!%S=J_J9X(A#+13zBo&g>S`Q-Ssd3 zV*0DaitW8t>=4w7*m~WYehd{=nIp5NiTnrCw(M^JtX?GtMpkL^*LSF>2uTcmyG6n zyYrixe>p*)m#%qWt)o~-%|8B`^L?5nLTdK)*L=mNS<0;G_yoOOVCro@f6awH%`)kl zOK=Bi?AVveW3i}j59sdit_%anZ!Y!a#MP>-*!{08>)rjwq}aJ#?Em%ETlLjxdKz7q z63wHC!Abi|5h}TlEcTI*y%;J6p7!FL>KqadVNNZ%ZE_qM@7^plHd#9ipY} z++k`-=eqZ%q^LW_w9|DDaF+?*Qi)aiZg;F8c5#YkcEK_6!dk zr}6LWH2s?d{afG5)zXK#JB#Q|Hl9uN=6jya)EhT<2afYc;fUw$ID$rIL5!s*7E@1e zcc+AK4!rJZG@i$cMt|noPT@Icjuy+?cy>mN4bZ=z1-5U`qG5ndJ|KoFFipPmg8+q6rWAeZ#cZr~5bVlPs z`zFWAu2CL6^MoB0qIGAM_FJ8Lnlm=dnQfKRMzhzMzQ*9q2+#a9c%AX)J6`vw5XV#& zTA!OnxoZX=LXHGyPM;lWdSV@+?k;E^%xJMZ$)}++OZpHJlG2>H-LWR)jSdkYPNZ7O zL*8*h)!Jmw;Jr56&o|J~p?rmbCi(_iTTIrRJSRn_GY`*cip*R*mpOGf^BGI+o$f?* zDgE@)StvbbifwRKWy~~cyy+CY=P6qqk0dy2r1#{JwT^nQTCFr^?UdX+x9Ch=p4-%! zM4l)*cCRDj3@Eq9%r0_$}uCViQn|7ZcaD-Wceue*l~)x!{drXrPc>dGohL!t=SxHl(lf3n2UO?Ph3Y_F-K3hr zQ@pDCeX5Ntsx^2Gp*on?Fsat$HNC2)EnBKZwHB`>REP3fCe_-!wpaCtuhpg&)jGV6 zP#wma<&g;y_CC-|EY*xbbt|>FaN6F;ssN z+;aGnO!Fs6zXhec$F|{;Jn2s|#@Cbf;gUS%Pcqg=(lK0;>HZ{l`$#%VNw5v@x5?A~ zB;$M}U8N)v!#?9ra*vOsd$=Ue`jd?Jk@WPCI46l!IhCi1czb}Sn&PbqZzAFitGBa$ z(gx>ajHNlJCODt)(e$>^H04bN&6B*TiKZEEW}$f|o#t5|O4^94iH1XWm&{ zx14u2UDt(o@m=TJAjGp5+;xNY+_}nnCB1to->>WYMcZ9@SJCzw-qqB0H{Q+Hwz#f4 z?=G%OUHxA=7Trv>C>E>?DJfk#L#>5-lEklytk>{mRj6#kzwPGM=ea8c zZ~RX|-k0|k{>0xyJ{kcJ8%yVqDNG(_?iIA0#@lj}J0+VlW>Z*ohA<)bZ@u zpxl1Fh-Xg+y;R@tjhA`u0=Ue$2lUuz2p=N4_Yogr>fTU3)Y?7qD3I{fFg{Eu9OT1H z3d8wukHShE9E$61=eLXN4)fbh*NxyK%*2+kpYLuNbQIPB&lgY1g-j76`AE_H5kAt? z{2lxbbMq44&vy?AyAy+gUTHG=e0MpM(P=@MkTQyo5}iB7N0~Y|nvb@24n}u=DirSI zcM64*{7#d?UHmSq0!|>EUwGa9ia1g*-0f+33?CzizT#s{L}U3_D-pH)wSQt*Ahw!v zt2=$!Yk{QMyZPOs*>n7EQ?ujvxWHzATBVCa^ptz}JwoRfeve6KJRk4T@dTPRbuA1L z7uH2)p}T1a=TTZT&&7rAzCo|{=j|QzN`1eTlb+=WV$EN%`%XVw^=;nKtKYLkSvca^ z^xz~}ESI{=2E9_J(|&K*ER^_f0-qp!@h6{P^2NRU-a!BTB?9(7exFb{&+juSOym;{ z=jlZ)r*!e`Rd?0k9W3GP-K&z`P2!V8WB>3;rpE5)_j?=5C4$O{XSaNDy2#xyXoF62 zK>9heZ<8-bT$+_+RA`cD&uOq9339*#9JdbodRG*Gz|`rQC_(R4h9V;z8i)XI{?V8IH8;j!EmY|mkQ>4eDX%wEv&tWAqW-@L(dpIbih&M}L z-CZu`;t)stmPlSCcFRTrr2Wx0V=wE z-JpDwKPo7*@<&aSkMYMWl-UC)m-tg6!qRVI9_Nn>${hT06Xg^>#X^}FK>4~qB_cna zaw?xHDD&{CCdw!H6Bfz>0hCJvD7PDw)A%$&S%^K)K9Hx!CnP;v5ei z(_3Q!cRs7cGAd7(x@TAV6n{$eqd0%c)Q{&++HXq>`PI`-wSSsf7xuOdd`CL;MUf?eTI?Hk!yV^YkE1L9GWMYeS>~(;jqfa7yp~@@4+A=xZ{6+0@s0 ze4fRzmgAQ+cbnh_wH#ukxmyLjQcOX7Ajh{_rnv_Oz1kYqY3}|(ucZB@*frvPSS$P3 zpgOJ;`A*ZZ-MZ6E!^g{llHgrb%V0vBA6VAswBTOy>>}s$`NBmt`FxX$Ug578E(+L1 zu5;H2+D+ZJi(Kd5va7b|wgaE*@wsKac&uN(LWI~M;t=0k&uL_0w{8f{}BEHBlmvsSLAI}yAHI{XUh)cHzz4X#{h@gBtC}qG7 zalJdlIU5C))#M?bH?l6^^ue7Vr+ z!k3$LR`3-<$Jkx>2-p#BkerpQmwTiDYw|~;ui>~IY#npZIYSYzg_!>*g z{R3LwC^;Hi%hw97fqborD~+dlTK0VSW;*O$8#y!z_j%?IyivBxl$8SmxWWDR>Zv0sQ_e2c#&njg*IGBv+}Z!kAM#>@9kP(If< z!MBlb6nx|PMibxL{A~-Lc`j}jE|zC=*S+4Bw+C<8^KRKDzDczF0N-S4c{AT^Zuuee zgHQ2nhr3$PTt};?a3qQ>-ZvD@J>MZ7oDS0S6mQRW1ns&0qp;Z)zD4x>5x&LL^R0Yq zh@S7vsOKRb0gPum!|VCZ;649M^n4rNCVKuD-)8FhJN%sxJ>Qj4&(jxPxy^Tl*YjP$ zdwxOmd^_JRdOn43H}!l6-w~qc?`G8V5KlnHvvw zC~TGw{8>!za1Rl&u#@i;u`q-0G{wR$zDvXco+HMmInxin-{tR$OP}ZOnl9bVcY7|y z+Edh=A@+xO{>t+ldCDHXM=;LjdrXXb`Ceb+l;o|fd4gsw+M)SA`n=zr!?appRdakP zM*JRsPc%B0zh`Q6AK&L|)a%OwW)9a2(EnR-ywBek953VxR)$%IUEe}9)R^W^Pspt3bNvO-k$k{ zeHRYiIQ_=_K#jHBXPl|D`;bR9os zy7UYFg`X+E5SjXR=u0`5zWh>v?1$CH#;C!~DCzY$zJ z_%|l5Z~3=@&3?zf6PNDd-F*fR>N@wM=^u(fsfHcR_f9|864uga082(=**kcOA=Hv!8}Ih!USV5Y&J2KLzzE z{-=rhfBb(YYJHRSUgFabM}Xoa&hx2+^j#JT-v-z8$qmyX#it=Yr{wx7!FAfV8ye=> z4W(~V{^Ea$-ha*iGWGs%{*~aya-@C$}h5X2fu;F=rUi9KS ze%{oJ3;cqo7veK=t{(z;LpbnR#UkEv__ z@_$9w%pV-1J-6@J=wygvBQg`_#DDxhLH#TL&r6+cM~cRFaE*EBX_M$T?33;gk0+FX z{eKTo2=SDH#ZD(Pw$mRzJB{?%$&($?Z4KSl#DM-sHOr7}Kx|@2MxMMNCU1hv^eL!O zWEBua;o>Mk^dHqMM0Ox{uWgLn45eti5KT%k)Q@P3QWhYXLHHy>dh0RK0jc8)%ioGvF5Je zGhIvI9%0Tnycj1GF(=h5if$loLy=OTV%M$!@W4UXOhpD}9;MySX~; zj`zFgq4-MxM}pwbPc;jF4j?(a<3{|MElp<1DHID*&7znKNG?Osuyo;cOVc=V3&o;T zvnVD4Ni-A#EPWo;LJ zs{}Yo2>ukRS@=r=De2+2I;#|3C?%9?Qq7{21Oz)lnX|qL$5|AUr3H6ws#&IRKW{Xgi;HtS(K82BwM=D zCZH?lq+O{B&8mX69n~zX6wK9RU8#;2stctKRI?~igr-=!(j}lPKS;Y$1DZ7iYd5M{ zSSf^S%DPeuFVqrBJ*Z|;qS&l$=}Mn~uKXzNN*!p{5v={FW?`jhtSjqEJ-kp)DD|hB zMTtVLzNIUJ1G@5)v?~pu*+8%krJ9A6VzQyED~<3%BcU{$Y8Iu&KpI=Raz{W{ewKD6 z6`HAnbu`s1tWAJ4k#(ghUT7+m?xLDSiK4%mr7PnCy7G%(SLg;d{dOGlvpE==3-<9; zv#`@NX<=cXDA*HXCi%APcZw~$DVMi|R!hP70M#svt$?&L28mAuq%VuD0k;+^4^qvd z(gsKylZt2cZ40!m(0G_?77dz*?Sw`^{`@V(X9)rpyY}E|FWPvNYL+%=+IH~u%9B46 zVjlC^WlZ|mI^xQXf@KQTEG(UXbTU{xpM{4@Is@%2G@hWEMWYLlE?y1nk?rQ4@1G&Q zpuzN*%b%7b4dcF~E2z7QW}l>*rCG`+-Mr1>K?kStQTllHmz1qLG`b76=~T0@QKIQ# zW)sUxPoO=8#xqp2X!HWo%cEgkqkH3p-a_d)s#%o!0O?~^GQIH(Gxx>IeTC{ws##Pi zY4rL7Gwkm%+MRI_w*Fp$B9vAmON2+$!y<0YzDG-zEI>ecW+9Jvs3QqdQk7`++>o?)Vm zc~rBsLCeT+qYbMIFND}II#+qVQpCHDz7XO7QO6h57~ym~+Phu!h!-V8l4KW$7mM=K=#)xz!Xo#@k@qQ9%CX6Y~OA?}j*S96EkU(LV2B7u(q^%&9Y z8md{E9SdZvsaeykxf?ItE!5JeW>FglWSmFMllMgmcMVH-%sqJd9-+FPY8F-6&WsOK zon-by7nZ@Y)yu8VK5-c!DSZ6cUEY`Un$o&D4B)X$` zSTwSOYL-SG0rE&dBi4D6B}^x|g_E+Gos=ciM>7JQWSvS`F2PAz!gf-0JbNT)UbA|M zZkHYv2HZt8ivb@4@>rk&e?tHYbC|!QEnahk`kpuQ+XJ|gK7$e@hAcTDOXz_ZJ1yj7 z^P+6*@g7J29~WcWO*PBd=mu|!XKdmrU{l~u#YUHo-KjkpsA|a>&dagLt?)|-&UiLCsJ(-&a?Y?zvcN&MnS1 z6AnA!J4tvEBY9Db@-wPgMoDKWFL_4k_w2D2@2(Qm$DU`8aenYJ^j{X-pHt1kO=l?c zyxcfH5I33gfzB5iUr^1WK_?xr_%zHB7a#V$ZM?-C)_ZZ|^DSoP>>(a0bfv(Q#wo%A z^lpLZ`Bzl4^qfvl7Rq~`J?x%m_wTtd*sGv^RWy5sYL;df0a;{f*0f<+jF%P*wX;;S zsJ#Z{HIJI?u6zkz#zKjkoNuXSQGFfA>w&74^q+7!HY_LnHY^F3usKc$d%v<2!&)ka z{5{nyLtX}CS>TY3{mL77`3<4^1Jx|5blmi2fT}eO=LnGy!rrf(@Y}ECxP-g%9AT%} zgz)dmm%{?fg>`-Om|wM(3m(JY(~}E8mEhHVU;1RI{kj8R^>rCh^{tZ^Fx)gzCRkv#8Pm;^shA zOU_8V9E+U)4NT&t+?6MWy~=IDxVF%kV;R*fW2W=Wt$|}UR=I6>d7DtRQO%-CM|tlA zs9I+)4-rUVuX6r(0Mz! zooW^X(qZ84Km%HqxxC?cX%C3@h(=sgvou0ShkFAWu}+k{VR}h&SDrVLyYjr3;-$P{ zdkH}h>Sorw&b&COpap~6mFEllt~_4|t6SGO*eTRNyz5+|b)BPM z_=?SDpU1K%;qk46*!Z_%bK2Nq7KInY16XOXyz@$SM%%2tukF`uHkXat@}aC`YiLVF z`J(Mj+e(ywL^+~dx-II-sF$N&L3uXnuc!;U%|6!tsC^2`@9Y=s|LL~q$D`*&zo^^v zqIzY$D#{i5Hhl-mBll;>Rk#%Q`NrhH7jn1(33#|)1diE?htvY6#4cg1`Xb4<6zW{J%m zi=U;9tq@y3wh_vEVyDDTL%Ak)XY6j2KgO}RDBTv96jvjzHp&5UW8>~YIWKNy+!~aJ z;Yx})5e@M*&5x-Ccj9PM*} zC&$YkkoYA^1XXBimb9O`dUd|IaPwKW@Lvu~YH4)|9T+4D{yt%gLI+*Jd z-Ilw4?)JH%pZjF)pK|}I+Y;T0g%e@F#IA`$6K_YkKk-!JSGtYcc}||F+wx4$Ge6Hl zl-u%rl;@Cc%UdpQoxJr?ewp{TynpJpd^z$J%U4pjI2YxKg69hUq}vMhEi}3i^b4IW^j9Io zN#Uu5Unu;tZYz?tNWmgSP_8esr^r6tRn>Zk zEbLZxZ`tEzKhtfu9=`SKTM-B4wes1^=S11QeE;&`DZjh?(efvBTZPyP`70Dc*`h+v z3Vl%CUE#3`Q&GNBVReNxlzS^2uK=Ek3o5Ru2>(~gU8!WH(kSa!YF`Qdsx-9Hgi7#d z<$jg#tUMOww8}44o{w^E{h2toqBcfcbzBd%&)T$<+eJ9>m1c>b@SJ)Shotw2kOqO3%}JpTK9*# zKkK%7)$6sY*B0f7dJoon1m)g(C+mHo+v=CA-=KbDloRVeTYo0X)%ADRe^0kHa5gB= zpfJkX4ca&8gz~utOByUgxu?Nr4NmE{h6Ni|Zdes%hlWEM4oCTV!z~TpL3y&_Zw(Ru zjjA+i)(G*_XlSEJjV7bq+UQWDBPf4w>}njR+ZwlTJh<^Nl&?14(0CKdBaP2BM!cuG zQVXWS{;4BUA529*Q`e;KNkx38o=H8Q`mb(l(zD6vCYTRRmNwbiWIM`FoBYz`ciq-B zd(#q4lTfy7+OO#VlqZ`0-1Ily)~sK%yPJ*IZOxs{3pB@gn%8LFrg?jmFE?M)9P!km zbc?zz8lZf-#iAC-KP~TW`9w?Pn^q-S)ofJ<7wLRPxerT_?&()rz9N2zBd&Fml#U0-6utm3Z ze6ZuJj+oyaH+1~4BmCR(_fD=(ut%qFI{n*O(`}t^>0G^YP2JX|ewWT&x}jX$w329Ki!ya>(;Vczit>`_ouoq?7mpH^%&D*N{?wM5A-a%tAD%x9d#SNyS>~1%%1^m1`HU0_#H5Hz`Owq zblbp51D_lCJjx9NKOBg04LUOD+#vXKaIL}Z219Si#39cPL3|DQa>)ONoY!r`iVaH{ zRxABy&uz<2Xb1k&_IrQk-2Z3K?c(Rq$2#;y`0?}qSR>{E?9BHY=?)7PZBqD5=oDdD z(tlH(bj*7GxaV(AW1l%3D}{Prru(UzDP*rj8C^h!+c1|z+sqK`b)z%Xjh!$H$ev=c zLCDO6p|0^WXIqvv1fiKUqw50A{xEZAz-{Ia=UQ(Y>E;;DvWL-vxs`CQm)L#=%MoT{ z<_0fDC$Lf5(=69TG-@=QDuU%9mUxl6R{er3&n4&^9RD%LCF|Q9dM+`9>MY+ScERX| z#}u_#fe3i~xe7(dW#uc#id+UhgOkjDx%fktjrZ^!`e;}R_+S6W3)x?zS8Y@-CvcJzrsT@hUE!|BCO(7 zXwPVqOnJ51GnusVRT`o(OvALvSFv5AZ8GuIZr5w-I;`4N9h<2wD0;gfeZDtiZLV{xnk}0d`f9dr z1J*7RSl6(yXV)==b;u-rw)VRq>y(N5ZS;QU})W1{jYqCfbGEDC0rYd7p=B%KG0RUNU>?MjDgZTP@hY8^~K`kKJhFGJEY_ zHuwhfn%Q$V;@HgIo5_aWfZj7a=vk{vvf(%A7>#k#`h6ph(PrH97GxuCU{{*md83b0 z9FwNsvW;bT+~8g{d-i4+tJ%BHvC%hycMT64OU_+4$(W6C(-L$ujoG^-)n#LEB3B#k z_JsKeHtr@HyLJ4f+4!4q{Duu^%HOQx7Ym%{gMQkB?B1I+3XDiF7QKl#u^kLs(5$%G z?ciM;`?LFR@{lmX!ZSsdvB}CFmd`aSdw4$Q?Gzs*#V5x-Z(f)y_%wA%_K=Dj5jVzs zqBN^);)xxyi7R;gh_4pV8nQ=J2zf)u97f76zAz%Yn4e&5#vW5qV~HAbE_yn|1u=C}_c+yGf(K90@nG4b0JSFPnK2 zM}s*cZgxAEqhcbPb(2ShIWm+j=*ZCbp^G_ej*1R(gXQ^xV283t`ga+7-xeOvUQi+8 zy$3hKMA^i5ziobibUu4YMT<9D%uA25i!WlxF5U#QfX!1OV+k2^*eKgr!-i~QexPp- zdqqW0K=ha+NZBVaf-DK>ceYT4kTitMVWey%45GT?sqO}Bm5RQLjy@v-Ju|o%Tcd1vNj5a>NHba4@Zx7O z*^mB-H(k@e$GZVbQ_*coRpoUX7c7`Z%C!Gw^c|I6({RGl{~8KYWApxzvg>8DE7{pd0m`mYvtD!xFk;vHeoGN{P=&12wuY%Lr8L4; z*;Z=WOAcG|g?Mf%{WhQ=JFKEtYT`?YTCd{GVNHtP@yihd!%6IXFN)fw+0>kqdhlBNDp>o*yieDxliUN(wb_z+P+BLXtvn(S~q7?m-1t# z^&`cXkyVu+rEa`#x268M!J1uT)vT1d)8A^>^WVNKTSm*O{F&+fY0kFFpHg>bnrv(2 zjcD%In*qcy4yT6GF#nzBa}<| z_lEN?#iNm}m4Bt~&9vFt$ThMXr9?HCrOq~NmYGXqJ}VzfU7YFXGsR|*8>u{MT1$PN znWwY4@5apL`{2X4BQCQTs8({>MOrX-F`z({lMu@U+;odzq59W zn*P!_kWHN%1v65B^1amcH*5+J@$1_K;Hf8PePjRMTq~|JfixU$lvy8a97Z-!{+GJ{ z#?A%-Cw?VW7LbNPu-S7X;YKDgt_d|`v$O|eCq+xJV zd=tEl2a#Rcw1j5s$|6crcJu<+W+u-DB} zTE7davdS9L@VHr`K{ihFs*oJdw1V}2N`j*1g$nB4TMju9u;)u*P)D$;N~Mt(75U6typEF%pYV|J<1dX{gjPM!}Z_+HCwc9J^%GJu)Q4p*zc z#ww-aMjm8W%MY2Y9qVeOTHBnhe8b;yF#GCyZ^J>;i#gU4m3^Grs`C_bUDvqRKq*k>sW6Qj{wbfdvtRxMm zP%}}zW<5zXGLwoWX(*}86lP|kd1V**Xsq%3LyfdnDnUu3N+ls{63WOPMv_wTBn>B( zq%I;!nG;p|H+&b@+NeY&jjW6&rg}Xvkr~NK#gsIpRI<9rWJPl37w2=xdY;5L zS?jM7pELqxlU8AuCjlDCPsN@z^i=Y@LdlQjuX)>dk2X+cKWPZ6Om}%QT`)Tu8BoQa zH2hQsyn-3fKMT&$2CFP64Z~owSK*g0J5nYz9)23C;!wrm70!I2=B}|St2mT~p<0!% zQfzwPh3l*hS1C~%i=n2mdhPNp&tyv@Evi_QhN4P~S1B!0EJoA$HGRBnC!!MX+)dI( zs0=9$OO*vLZx+nRo<^2b@hA;Pl_jrYmZVq}@AOfoTqF`@SM3g!D5a5^(Zp7-U;adD zBuf>O(vVci@+v1wn&aNBB@(9R>4>A+Xq7Xi0jhH1<eAPr}pt81=Iel_x^icM)~s{DD4@~1q94%No094ZY|l^?H6 zehk;Hlt(>JZ6s;qRE(+^y@q)+RLmOdy^2w3h^qDeI*41(M{?5N&n%~nSLsw5tD&a3 zdhP0M2aJ@e;#3-@Dy3crDV6epIL;T3iFgk4&uI6m%qk68l|8Rs_RPrEMs`)PDh*YY zU9Xeu>dmmGXYtBu6IF(lhHXZ(UcG*GGq90mRlG{WRb|=hD9ciA$YOr#S!`#ucl?sb-MDW<*81EwF%cF`uQj4KV_a58|p=IUoa#y4PXWr92_o?pD$sQpr~u#VWC0{lprs&5Z=CVpkfvDgj@o37FzLaQpj+%D~bv z4mTU9>#j|vHnOmaUupQNEPNejVagej?Qmu7F_ny^(X5i}wMnuUV|ODVs~DDsuu90+ zc|xXG_un3Ws7+BBSsKn4lNr>t*DiZgRyID<^Ms0J70a0*3x~=V#x6s}vNV*{E+Z4g zx8G-ko>b{s8q=ZDfqL!QZ7qV?-bm3Zo~7ZeQgkLt(G=tUpE>$ko31joG_-?d1chIJ zS=#W4k*!rsOG8>^>r9rdy&2o`8K!4c#+HV+kp)zF{bp#HZ;Y(1;#wNkDr;xLtW9w) zc~?AMdrl>9X_U(ngTgXLA~zDaifw6Vs|21&6FB7`|98>5Xfss?mxj5@)|n$)2lJDW z#Z`Pu!&_zXOq|6j<|W(l%GxZI%%#yDEJ-Ll^CWpAp{p2|hPX=TnLMFWE(+Y9&rum& z8ty7{XP(R*%2!5KS8*;4bCuO^fUHjOFWIhF(Oyu=T^jYF5{7y$b0vNw!K+x8hPq1d zH%Nk~yyU-qU!{HnS|0Q&>aRi6v;SCe?IpG4kcOn%R$TpUh13y2K=O~Vl~6G(HpzC0#P9Vka_IQU-qzYYm5rsLtRngPk7RR3R&gv1W3|n_7Ta98E2OBAXY58+_WX2J zG3^zVm_r)OFq|r{e4>^*A_!>qhp}B&u`D;1*;cB4<>Q!ad@=u-eG+X-(7D9s`3-HM z%F$ADn(e0Q*LPf#e~dh>;#nHbY7?DFHql0|G39Fdpf3(OhH8scu9gP3VKY@;ojgr; zzL@`HexZDAd~e@tDz2sGl-W&TS2o6_jtBw<^M$b&QE@FdTrj&S{L00)+!Jy%m>>MU z&+m1Wwx#w9WTW&y^%@lTJ<6Q0uIhv6#{2!4nr@g5%xzv;ySyH`z`J-Oyh#+7% z_BXP*igCFS!?B^d=JLk5+!Jy%9NSAi*%+^_P)S{C(Qpi^uDQMgp8R7Zb`|H+Fjt2y znfS29oPYec>s_=}Dzi&N-fT5hzq}bdm_4PA2m%JDP$cD=DGsCghabTGRq{L0M-xhLf4=r>zxNc=JK-2|0Q>Z7O-9B3|2}773~4FQ?%Z*Y!Oy$UnwH zq2_~hI;g{?o8WNCoTvP6C%S06)Jh?p8fL4hdU%;WQaec<5d@61Et$TtTBtc8H@eJb z3cIp%L+%MVdL?`zxxJ{Y?N*D1)B{(-0g5y91d}=<2zaGzX)GLSUdRo9rR=VFgU=1Q zC*6WT9a7z7hg9aACAs~mqU}?Qh;*iy z4X5hC6aVG3jnolAz{}aLjLTR^)clY;KxQ+AUD^|2hHqrGJn-r78?vI(l9*AXZa_lhXjJ<O2Iaf#$*g}d zXSP`ORJPhE+p_7|9z;2lJ)Lbg%H!-AcN}xN3$bV2?NAP2Gu-H_dl{SQ{v746?D_Z- z%o$&W&5D1F&5l3L=4NlnUdU0Iy_BOSo0qFUo1gnAdnK_wTadVyEzC28y_&ZqTa>>8 zdoBNBwj}@G?DYaI+0p{@*s=nDuvLY}vDHOhWowF@XKRbLVrjSh#?}=Z!Pb`;%ib#a zFxyZnnr$pKiM?IwEZdxvn{7#I%(f;?XWNptuy;ylW7|tV&UTb(z;>4ThwUo6j=fuM z6x&^H9@|syL$`>*7>~NKN>_~EMb~O1(cC4z-j#r(`oK+uXpH`c~oYh`rpH*MVoYgn8lPT~)${BX5 z#x2ZQqaypF#wPY<%_i)0tySzyoqX(U-97A^`eWJm4K#MH!4vF~(3~CL(43u4 zXs#|U&Do`j7Sna5=IVM}i|yW7i|IaDi|bKHi|x@|bM_dh#rGVoW$#r+OX$^0OX&TC zmZQ%GEuqh8EoZ+zT8@5Gv|Rn8wVeISYq|S>fcjrr!hm=!aX7qbD+(wu$A7&CAL% z&6bbpvH5`6ZBAAoHb1Z^TPm;uz_QpXu)?tgfkoR^0xJYex6K7s7?>l<1*`}#m+d!T zMS(e^UIBIsu-K?4fE5E46LkStabQ`a&HyU`EY3a!SV>@R`(40F0n29p4_Feg?DlVf zl?E0c{UWe3z;Z-C3al)!1idP-a=>!wg@N4)ET_H$Sb1QH`kTNi0L!g^53C}vJo;f^ zm4I<)IbfB6<#Q$is{$;q^Dbb?zzR5f0jmluzjFhyYQPFP7Xqsetf2D?U@5?gIQIdo z0j#j=7GO1j-Qvm$tQN4Mt`@**11s)I237}HG1rs8>H;h28V9T%uo5vEu=>D~T;Bm} z0IXC@LtqVom5C__tP!x%F(ZLB239VnE3i~xWn-2DYXYo%%xqvyf!!K&3|KQ@6=QY) zYYwbJY#v}OfK`sQ18WJa5?J-vR=|>ByTY-pfmMl}2CNORYO!|%YYVJu>~3J~fThH) z0@fZ_^|&Zt9e~x0JqN5Kuo`i-fpr2_JFXZH9N3gz#7M00M;8=Bll!reSkG_4+qv4SgLyuuztXr zxmN?b4Or87H?aP|TDboPHUL=j_)fqE0&5jt7uX9k-$1;UkB_CU|q7$2Q~^==Y&eY zMg!}XkRRBcz`7=M2X+^*9tn+rjRDp@;d5YPf%Qt*3+!%SJ#$c>#{uh;gZg|Au--XV z0~-&lUyc`nO#s$6XEd;Tf%VVvBe45`-IlW(u!+D1=4=RT60iX|PXfCi*x;OdfIR?g zP_Bu`c!3eX76N;sKo?-I0-IW38?Z&do-D8w*kWMQ z3N{7y8nEdFD*;;q?5TotfxQmwnSu`kTMF#yfAWb|tXq3%?9(6|gyl9|yJ?*z6)jfUN=cLXlWtYk|!zvJY4qu$PLY z0b2*`#iHYYtp_%*Xg^?Y0eiU^jdugESBlYiHv*eqoCA9s*uvsbz%~I}P`nwi&A=8F zuMBJpuvbe=2euX1YbC}5+XifL3Cj2H0DHXz<@@cxmXz!YYzMGqB^v3;3G8!VyKnsu*ePJ|mZv^{0c>x1>hqVt_LM&X>?>gV%I^Yp z8rXXk3IRIdGLcBCTZzn_79QmHhsUw|E}loQymz>Zd;yzv{b z6O|}${0{7RCCWp80Q;;G<)J@;eOh@eu>S%3ymB94e*rsLc|NeefqhYVDzNjwPF1Eg z-~zC(D$^S953nz*(AxbkurpO??fwtg=_;q09>;*4t@0r&9H#;MI=KQc8?bMa^8$+k z_DymRV0K{NCpQKb4eYz**MMaK_CxYBz#PENB_9T+1N$j?D=;UpAFE<+#kqj}QWbM6 zE(X}oRoeiI1@>Fj6ku_{eyxge#AOBcM^%g?E*r4lt787fxqYEDtbS$~jg#E-x#qX*Jpb%LmL}BNz@=L0JaENjh&ft3IjSMwOKlEBbOs04q|L1FHe7aNXI!Y682Z?tQ>&0V`VfXJEB~6|Z{;SRG)+>a_({ z7g))9Re;q4R-)b`!0H1_sy7r^17M}_0c!-Tbp6J_8Urg=KM7bWu(I`M z0&4=SeEspjngYAE{(Hch0jpSlIk4uyDl{kztOc;j4Wfay1Xig*Ct$6BB{xU`)*4uq z2Frl80amTSbYN|PRc&wzSUX@T4R!)+53G8_s=zt`tJyFwu#UiLG#n1B6R_G1+W_kf ztX9K!fOP>@x8WjSU4hkU_$RP#!0IjA7`qYA)!0&CD{GO%928aEmU ztT(VmjgA281FT7-w}JHqmfAQDSU+IR8vP9HHegK~4+GX8Sc}H3feirGyzwSr1A(<_ zJRjH~U@aT}1Z*&{HjNJg8v?9#Y7t;VfwfD`0&EztwyET!;lMhil8)A93*f?N)nq~!d53t@%2LKxntY6cnz$O6e+w?bJ_X6wR^a!x~fZf(? zJg|wt1~%&jY!a{m%_$D<2R67l#lZu>1~qRFY%;K+&8q@?5ZI9B>wrB3Y39!e2-O*wiu*ZRoZ21(hDZoazyc5_|V53^q0rmv2yIK_m zHVxRFt}2EMWJy?E-8zut{xcPR{{0xh>7iOwUIRA0(`jH!fIZc@Ca~9mJ=3`mu%*DB z?$Qm|GGNbjsSWH6V9$1;zPt%+W*6$qa$qyMF<>iz&FcCUu$91`??&;m3fP=(6fdiR z&F;P!*cxCjbe{@rEwH&grU6R>_EL{gz}5kKvBx*S)&rZ@<9%Rn0eiV8*?t4CS9*}` zHv*gA^ANDNfi3L$7O+jg7W8TXY%{P$y~+dI0_@dZ4+7f??6qElfNcY|xYuT2?*Mzf z*DJub16$I2C9oa9mi3+iY$vd#y}t*x3)q{z_XB$u*c*Laz;**$(dP$Xdw?zPI}+Gl zV5|DJ2lgJYmHn0j+Xrk-zh{BH4{Y^qIe>itEUn-FfPDyTZU2tI_5)krzdEo3z}5|@ z0PG`R8wTVA_A#)x2GII;5ZK!TXni{bY~z3hzzzf3Jm67ap8(r5@OfZIfNdQ(0oYMs zTLw~W90T^wK#Gmyz_tydJahusjzN@%J_WXYF!kj#V7mrWUrqwsIfU~4=fHLkp?rS| z*t!?d{Ha7LTJ zH2jY-N79D4Ul?0~Dq~sV{({8K6U)lnEFOoo2`r~L%uPhe@poR9pA|q2n@Uy~1VvHa zg0eWu5-3Xvp7^-GQQC0$bRK04a2Ni?+=<>@06vf5{EKN?w6ikPAxk<-Ig^~Fon`T_ zR66#M^I zIiGgUa=z?bbPh%%GT& zF?Yw@7xxbm>N$H7a(*-A`gjz zB$7znN}@7^V?QF&iQ^>xA#rbXGGl|I3otf> z#848$NDL=&JBbk_Mv}OL#3&M@N!&@|E)ru%j3se5iE$+EAu*oB1QPd>xR1m{5|c>W zPvQX*lSw>C;vo_blX!&0qa+?9@i>VoB&L#hg2XfuPm*|w#B>r*lX!;2vm~A)F@wZR z5?d)6#787PCUKC&Argm4d_v*~ ziK8TrkvLA`1c^^cd`99ViO)%#BJl-@FG+kw;xvgfB)%qbmc%zCz9sP;iSJ3ABk==? zA4&W~;%5@SK-ia%Lw}_|e)CDEEhGZIZmv?0+P!v3QDCB|yepLOZaarS!{ zE91yR;vN>A8r_6NH;Zo0vP>i~iNyUR9w0H9#DgRrBJnVZM@T$M;xQ7BlbAwcDv2jZ zOe66miKj?RC-F3iXGlCt;yDsCNX#VhJc(H(W|NphVlIgnNW4hmB@!=_m`7qhiC0K0 zAhD3dt0WeYSWMzI5=%(DPGTvEWhCAp@g|AoBvz1ENn#a=)g;!CSW6;}#5xk|NxVg3 z1Bs0!-X^h$#AXs(NbDf7mBcm@?~vF|Vke1RB;F;lo5UUxdr7=UVjqe3Nqj)!LlXN* z93b%#iH}JfByotuVG^H^I6~qmiDM*=lQ==*Qxcz%I7#Aj5~oOfLE=jiUy(RX;tYwe zNt`9|4T*0_d`IGY66Z+#K;lOdKau#E#4jX%CGi`H-%0#I;!hI)Bk>oBze$`Yae>4? zB>pAwAB2OE&`8)wM3JzQh$fMRgoA`m!b!qKB8EgPi8vBjNn|78CJ|2}JBb7mIY{Ir zkqd$)(=2O6lcXbwP9!>zs7|6Xy;_AtHTts=iFPDfLPVE{E(zfn%UF^lH)Az`X_{tZ zT2~0$G7`&4tRk_N#Cj4NNo*#ujl>QT??Pz3sJ55H`y}?0I7H$IiQ^o`r7l{ib{)33Jk%)%S22)KZ5kn#?iFgt@NaQAwheUo7g-8^I z&~B$%aT29Tlp|4rL}e0HNu-ddL!v&3MiAO)sx>3gnnXJi9Z7T{(Vave5;T~ofh2}N zXm?X>IEj%Y1j`tzjUzFE#6%Jgka&p1qY&D7sy#vCDH6|+m_cF=i5E%CBe8(QA`(j> zv`JKZlf+6AYe>9Bg1i+)-ijh`MUl6n$Wc+`C{48c5&cQtiaJK(B#AFboF;LW1i2@Q z+!ICa(W;Q3?naTLqR3HE7Q>_Du&Lp~#=t+WH zW+#`~$z^tOnVr04CvRzFaXY!pK8F6BMS^Uvk?l3Iy+*dzQb-5~knOeAB-W95o5U6p z?~vF@VmFERNKnVM4@rDX;u8`yNwkwBz9ey$#CIgf?3yrpL#mO*HL|!y7Po06vXF=& z;U>|}1GH4Ck#%ikT^m`~R+o{h|DyPL##68DjqOo9SQBOlo)Hf-c+8~M%l zEQy&UW|Me<#C#G9Ni2r2PqRPCSWU`nDG>Gp_N^?sdUT2wT`M|Si>@18Pm69yHCuEP zi*`rHGy6Z-utdkw*5zGXY=56>pHuC${S31owtvE+b4BN7(fP4Gimnh{QH!oZ1Vw3| Z(}f!9N}?OJ_JDmdi~ARM>QT{*{U6n(ijDvP literal 289117 zcmcd!2YejG)t|jRY41)W+md?&WXoN)EXlT9Fy<_|$d=sXCKu$hbdqJO*eWhy41pws zkc1AQg%A=*0)*ZIfsg_TC4>}03LQQ|3lLgp$@kv8xosM+hdb;a$n$3Q{onj&=IzYx z-0aN5|NZHGjIn9CM;okfYgbP({MEO1HPknBw_40F*vN)$EnUghvf`HZ?qp|sqP4g> z*_>$DSJTnh)0(uHX|SOwl~u`IJuRKdc-Ox6hE>U~WVgk#3}&^qY%PXAi&+NCbJ?HL z)sSdS80@HOAryBen_80%-Nm(-T-(yzp6KrBOwKV_*4BO9$u1-R!)zluve^g~tv6aK zRwhOoS)rAcyAnqk6`}rFM!$-1C~O!xdGqH+`)3U_;wAB{P}WEzR1uFDMs`&}ff?-| zJz1u&35U%2c_KY=6r|^dNMEG)chX0?(+4`~lT+yy*cnXeGr-PVnZ7v$=|e?&L0?F( zai^?k?)0Ob^poA`1x|XdN&H2=qn-4T?({KE`eb+dI46CsJAHzaUPI~8 zH71m+7}Mj4L0F$|{Srq%H|*k5=A@5wr%!j%C%ej zKiQqW(n-(FcJZ%u(nq?}*Es2u-RbL`^ttZzIw!rxoxagY-|SA`?4-B3)9an|lileF zCq374@o$jn=HQYM>pO;&cP~0Hd{N&K*`bo`q4D<3bHYYVfst4@y}clsXsQW^PFOTM zFHuxyh2~AnGbXPytbJAc_w+gHn3x&myAngEZ*LydSU%1SC6{K;GK%X;TPuz#8aK)) zjb)9j92cKIZfDin#&tD#eSTg+`$j9YbZlOH%CJ!Wm_dAf@$lJA=CbN-?L#LvH4iF` zR2VZmhiB~r{H%~wQax_68S1K!4UJD3UK+~XwWN8<@Hz7)WK96QV~ycivj!Dp&)m9k zZ1gM(hqjqjyRb=%$VT`ywxvsRytlKqs@}}t>%ln+v z+~jvHrQy38RLEtgN-&i*h%WMF(aj^GZTn4XDt%QHIslymtSL$u(2k z=k;kUpOPI49Tgv1FeMQ|o~vr&W@X)RBg*@j*`cvnlM99xR2XJ?G#=VCd-uFPyt{hy z)W*6gl?9^;azZ?6RprIweawRo=bh!0^Q#BW5?D{i01N`+ZXON0`%v{kEzDqX*}n zP_iYtHZLzP5)H4(Zp+^qj~~4>R5W;l@IKtKbZgQ2ig>)NATK&=T)~JwSy^KW z=f~qS%#l^i?L*6VR}HF;7bHf6wnuG!v@<(pXLr^FVJ89Yv8!*?GP`2?#wQBEPFo?g z%e^nQ{|YMwXb0E3A48(2k}L1 zL&~#{y!pH`hSP?|0PA`#YdI*%$ouJpBV4>=K|rMT}zi0E$##NK|QpS@SjCF zj{lfgj+{lg!)6P9yVf}T24X+Cz9d<-gz_zN@+}beU3TH3n$1(YpxyUPaX6b-&}+BT<4UdzbHr9zC~2OjSe3pzkT!6?%MpK zbv47LH7!~-Vz0O_26MZ9jIihj_DtDSzP*L~b(@2)nvd<<4E-?lXIqx`8L_8m*zB(Q zCHn_0+f<76GIWaMxpJe?5AS<*tZ~td z{R?y9di%kz3%~0;_;t~L?RKuObnMUCzKHzbWT)O*@8dE-c+)sZrKPJ7v{9(O~{LmO10B+BPROi%`&&n-+Ry!Hy{Bl?On6SH z68R>k6z=64jGU?&9LkURg!_}9iFR(EH@15)wVxfg#?bgkesf@H^AzgO?RcN6-u|^Q z+YtUK`)A@^MdhpBJiByWKBxP5$%rA%L&^%|c)7D?*ogJHCrnMs@y(9Mv#JU@HV@rW zb)f%{wiAq;#Cq?sSWiJTr z$tqh?5>E_XpGD(5@|c;sv8-`j_DbQui$=jXFg!2R%OCT(F$` zVnO-#=IqA7?Zaj^RUIfBJQ@3YQD358EAOZ)+1)%y)K}B4KKs|UZ!X=sV_A{Nw|HnZ zJP(Nbe2~mf_A8?Y8`iY#&`-m2L;049J|ot(ZJwPP&y(@2m0KqdpS@-CaZulNrS0>w zCI3MK#=~#1`MQ^a-^43De8={!GKSQQ9RT-3Y2(HNIl!Z= zyZOMRamzQ)gmHiymDs=KL%y4)Ze7%;sJuh=XK!XFGTVZ$$Fp|% z>c9VxL}f|iqCq2Ob_|)ebw_C-jF)+#G1IHdi-(qPUp~7C#$lPh*%+{K>d;N_kXQ112RhbnjbA-k{sa^4`0?}`|^k%e;n2r9hQal%z7Si+5uiIcH}Q?=MWx=PSMOaQ{T*?4J51aKF)W+@7N0GeZS6h45UQ zdd@H3Qac;^$pvmbb3E3Ixxn*$vkUUU`s_!~N$}o9?`vC<*+#w?H{pG+c-ZWYic<2o z1#3s_t=?R=)qW4jHb(iL8^`vI8mu%m#kOGY&eoQOmhOslq{TRPgi3cb;a z)6mn;xVMn4lS=iLs(N@*oy}F~i1ttO$FgutJQ-(e* ziw!VXKfkJrM=xvZS{l2zS!}Su`q(g$X0S2a6T1_|t%>$#n0(ybu_IYrlkDEs(YQF# z-q@P#Dy;74*wM4oV#C0Gu&TvI7%ZFg4VZ(Z3CY6F-3_ffwl#JZS`4N?qjnUhLT#1C zrluq)jDm^G&fOy7v4C@(U0t9sMp%$hgAMjgrWO_^+B@0{ODs0tU<2C|Z7uE16&*0A zy0^QqEz!`~QCMQIsnx0av}apMvv`>s0L_r>Y)UlX+-Rt!18UsH&F{gwf%Ir&TcUpF zj_&$KgAK4R_jI?k7OzdTwlspu1V!?()h+D}tv!uNF%gXT?m5SSF2{LWjJDOcw7a66 zB8oG|^~ui8j!x1sSn(lkJL;4}6m4{Nl_23hHhK+|HI;owQxnW7W;`b1-6Co1O(!O_Y~wl~s6C#|nQ*cUJhL^W(nHtc{qq&n4@b|P@ZXD2566ahLA?}N5vTm2pc*LQ7eY3fcw-SV#P zj!vk5OoV7(SCm}5pDSf2Xd(@7i(`IUd%Eg7lL?}R400>utK;=G3u@}CtClT5SEe5~ zR`={|O-=*1S?@_Ww%X~rmT%n-KYz?gF9mPX$m&FM{ko1$D34JEJhpp!Fy@#^|`W#y{+#lXOcRWFBp#I;qc;&t_v z3s$UN43&c^ixz`&ymoOtbbvgSP+PSDDldXj5_9ISp6@oCijiTAxee!{_WafHMfD4p zS62dcJ5JUHU>q-%)>kd7T(DjckZK~KMDQ0d8OMyAc&YDtX}$M)neTd;xE{f5i9w(F zYpSX%>*I^(gQKj5vc_b>`l@Nrq1%!AW%1QjYvDR1)z+_AwHhmLVSG*XY8Zxuuf;1W z7Sz^?x|ym-gIevSSE@)WQ=|ctUfAi1v>A%Da&R{{zXi+U^Q#vCLq#fZRHOn+MJn)A zqyke#DsWY#0$W8Y@b#3nCSJSLU!R!fuTM<#*C(d=>l4%b^@(Zz`ouJUePSB$>r+`# zQByxZzHDjz{HoQpFi25?ko%Hcw|YVSx~fXNyC_ApflMI-3o0s7Dmel~O#?0_Vhh~} zvO)I<nf^4i>u&8SJvJ|D-c;zZv7Pm4P5aaW!7aVKFXA*6t>VNmXbzr+}#@%a<3ujV2SxE|!tYlSBp{Dvu4NQXKVY|wd z<;$v}w5f8{RxGQmuZH?}6sSW>F{xc0UkyDK6+MN*o>-PVRc)B$6g<^%n2HsVY80Td zB3`jnBzS!vij+#g5<>Q=sADxsiQ@i%;($V`-Y7;T6orRtgp*z_v|V0Krl>9mYCdUC@aMd$W#G@ge%zmi{f<8k`yGE;nIaAQ9lsv-JN`86 zcl>GCkGs>He!O=o_T#-%u^;c93Op65z*Lcn{djK+*pK&4#eUqKihU>c%eWISf zJ~7Q-pP1&aPfYXIC#L!96Vs4i_40US{p#iQYibwNuc%&A3tX(~+BK!n%fW*HNRHp6 zBGPYCQQKp|qQT|IB!vgP&U?-*hS3M^JsRn(VO z)KyeNBo`y|al?cljg#Dz6+3A*C?b<0;(La!lksar$nQTHJ9Rxe*2uf~oWS`JOv z@l-AJS+xKj_*TOmFCUYlNL$`RIZ~#oh{+h$#OkV=1*__-7c2t%3t$+^$Hhc6T~J5? z)bZr;u4ij8Nit`GDNV%#@gs=Qfg#w3^Rr!Etm!B>%4E#G?{S}G*NT1n_Asq$Ge+I z)_rf2?mG4P4L6Q43S!35222_COSX5x8n(EY7iihqnl#u9w|yTp&}yMECI+=I7W@)w zz+j8qhNz&fl7Ak{z0oi_^bvmB(oSVBQRHOxvdRu^z zhvve7!_Jn5dZ19_H2@mY07$(J%=8%m2CKXVKtmb;skZ^Bao-#Ym{{jE0XotINd3_* z=*~=a<1m){W?jI>CSc}vT~LZhw**mP1>CEDW3mC3y7tuX#`#d3OvD<2^{5_8pqR3R znBIKQ>Cj4YZ%@Q%@}c2k3JszH4X&1hGgPg#X3Q^feb&x+$B zsISP@=OU$!i=fyWPzHX$@pp&LWHbB}hh50^-aJu5)(wgZ6D1Kg+lO)drcCKKW#9_^ zTDt1HS{jo{_=T#Wy9;lj+kIvn-$8&PYoG6wIlhCU0s!vdZk95~Q&3a@e4bL~cnXRN zfX`FP98W<}0q}WBnd2!aDgZMh4BoRm#c=$hOz9V8vm$K1597E-nbI|;O^q;|vT=1$ z(;Qzwu{XDA;0u*LjN=Q_l)f;nG{W#D&7F_a@Y9qHKdmgn7Wyzw+fP%r{WNIcC_L4- z*TXLW$%yg15AV1FYAVX+bA@S+E1;+VKzmc?Sd!`-U-jkbIL9=lb4>F&2gc>ih@v7- zXns#kI-W62=^4|Y?L7d;E$~wB9Hv9Vy8#%Nlu%Rvpw-1tD}FeP7$5uaj(<#7`p0ze zfT8$^(gp94t;zaiLxcPs4}RijckqSQG9fOqiIwSA}j<;}*Q%zSo)pT$b zVXU#E2i}idONtzP%|F9weiRh|XiiTKPD{^Fw)6~NOJh9M4$77;_q8;}B>=_Vl*)ZA zjqwyf+0x~{md1Drpls=KXin!v9^8G2w-WGr%7C)v%dzFL3$oWFL_+xctZN8Fm6Q#o zGnD(B0plrv(izHq&Vcb0K(2 zj(eck8!*%79vGLULQw(mxyMY$Jy28tzz5V_d|M>sd*7YuxDJX6W8e>V{|xw@-U^-R zcn*pRJbI4HO=dc7f}#T8bCa2lo1mxwfG@W&PeDaUyhF3%v8F~Oz;Ht z_zLAc)o09cAcQH{_#9}a<3K1X06qtr={OLIy#cd)4uo;(Kqx8zJ_nlRI1q{o0CxslwL6lnm+a3E^qBieR(>5g31b#(D)vbj$h1D z`o%10U=P4)d%UE`!PoY)oVG_%0r0i`ET`>J9MF6AYbvyGAJ^?vf(cPd5V8sbjqJrh zf{g)Lg@JbVVj#iBfULqmQ+qLxU}Hd5VW73W7)Y=&AgeIYyj~0>*cgyi7-(TH1`=!x z$SMppauyDH(5L*|4~J_u9Ap(7n%tWuB-j{`RTyY>F9s59jM^ni{4RkBDGbVrd`kQ- zfeAK7?Ghz^m%s!YqjrfBze`|(jZwQqiQgqK!N#avqQvhKm|$bnE>Yrl2~4mtYL_U1 zruMWCCfFFYAC&n0026GC+7C+net-!!M(qbUL+as%2`LQ93O~R}QZL5k2Y6A1@%sTz zliK;H{QxIMz4^HO057WY@%sTzjoSIB{Q&1hz4^HO057WY@%sTzklOjE{Q###z4^HO z057WY@%sVJh}!w6{Q&1cz4^HO052-?!AVaK1`|^Gpsd39{Qze{ZH(FvaE8;HkIN76 zqADN1AKU=R}B32zYq~^2CL4I2<|G@cCl`X%2;IydC zS?wP<5$fga@(;YI%E#{?I5TSJqxKJ+2=(UU@(;YI$Ok7vJs3<#<%6;c&R6X(I2r0KpUYqHqADL~dN0Q17dTm}!k}Mx zeq)9*!jJ4;J1$?r8B>)Vzpvm#sa;03ui(_EHy@X;;6+tFeqX`qQac~DuZX$RR2lI% zY$zfu{_|vwIw>e~`sJLtw7US*TBhD6~^xxIBjZkQ@aLElzQ`VxdvWT<>Pk^oIJJj zQM(3CmwNKSgcJs4MLsxV>czPH057UA(9~idJ-Kuy)#cvlH|25`j^1BC4n%b1r z?t#;!Udk@_z>BJU{O*BMr*=MS_rS?fZ$2*fz>BJU{O*C1qIN!N_rPgTZ$2*fz>BJU z{O*BMqjo-O_rS?ePd=EC!l10k2j@e*7?*qCMHL2`+KX}d0nV4IFn&M4X;Pb;+7HB> zskiG5u7Pu=c0Ov?z*$mnJ}%e5 zi>iG5u7NYBc0NkiDD}^xiUf%fa_@Xfr}|t&B-j|GYm`p)xrRuvF-q4co$7N9kziw# zu2DJ_n%Z4&BEiNe{h)NJ&ksa`jZykR=~SN|hy)v>^n=o=K0go%Hb&_OrBi)=AQEhh z(ho|f`usp7*ci1R_@_=wT~nt*R``K`>a^4~bt+^P#_tENsnb%FRT#e?xTa1^QC4C6 ze&CuqEk#*{@%w>m>a-N)9M~J76uzlwO(xpmyMysn6W~Wx1Ku~d>p~&?R5CvGeexVo z;o;HY!W}iWD(xLY%7CjiPzJ-_NXiv%rY$`F{yA6S}U&4II9h76XGGsT- z$u1Da!;`{ANCc)IvJ+jc9qr9j3iu7&qPU;@5*{uImm&tLIoz4t*=n$($5$OJ8@Xo! zE@jR_WiU71Ar}ne;hEuCnA2=1-qy~R#^z*wa}w%#!1$^St_nzPGhucofPN&gZEJlu ze1QS2Vd#gf8JINGuUHv@Q#SNP0PXfShs+v7u zymR-~PN>Bslq{P*6M!4sP6XYP$oPJ!#?IZHu<1@xGCXE{Rj)pSzU>m8RSGxdafeVj z)~+Mbb9!T9=L-Bbmp-A52vK}N8^&gbmT>cdmYCqQ#37jR@R!41!P@_-sDailSpz04 z*cQc?DNy{cQ}NG&o}zO%PGG<$Lv4xf2Dm+D2l|n>Fuvx8J`HU_fXxh5k1^~{Vb2YB zCQzyhr&P8{;X((AiJJ6f$HV7^&&Tp!0L8Fza?yASVNFcE-+0wkH zp}VuSerHFkxJkrgWGayc7+98p39aB5{d^^YTI^QT;$bfv4__JnE>`aYr8nF)mS9cP@E3c&+_=mPSeBdC|7duTC}YYhv}h$rfi}6F+T;#foyZAw&8|W)?sbF6yliu6bP6iNJp9w}Jy^hd z1!JdchsP@MO>}C{F-1LAc`6IL`lW&1;?oaw32b&KvWE{88NQE|+X^{dj|MXyejxk{ zEaflZA;@pFF)6m>Dul;pbNmE&8XR^|4Pd|>1{11y_@VG`(C%-=fYH6DqpJb--h&6- z&fTd9IK`vn6pw-Lw(SsSU%(nZc;-vht>@N{NEOJd#Hr3+r)R*&=?XXxKN0>z4$BVz zk+Y3#Ql5sK1q7Teno=7+akhmeQ2Fnmky0*fJ#inFtEW4O z-@HRDt>xjT!_UM(?^$7#_JQ&nP3;cF*;dwoMqd<0WwP5S55G+2{ts?++DQr@!rctT z?s{`LYh+0@^%^cwqb>KmhTb4UZ^8%4P`pC?tB0N8;4AR@La}p7YWuDF!sIS^=o~LU zW0p_C6W|GWqc`mfd>lvXBYV+G?aW1t@TGMvXHC>-?{U^l(g&PvBk4b!wUG2NXWL2o zl(QWq{g<;=A!RYn+DHm<)=pBGvksE7IonB6gtJ{F#W?FEiF4LPQXkH`N$SU04@vo) z?IvjeXM0E*#MxewhH$ozq+y($NYV(-P9o_j&i0dZ3}+{kG>WqWBo%UY3Q1!*JC&sI zoPCL;iJYBAQW0mTlQf01FOxKtv#*d;%Gp;*n#S1~B+cOLYb4F&>`anobM|$Tj^*qu zl8)o-8zddi**8g=&)K&~s^si!k`{7y4oQnSJC~#-oSjEfHD~9Ow2ZS0NLs>`p@b9OOF(B|JJX+39`khFobOG(uSvRvvj<7KjkAYHx`VUdkaQPkza{CX zoIOm^y_`Kl(tVsgO483cdyJ%CaP~Wre#P12Bt6L4?@9U%XHSsyFlT=t=~2%9NYd{( z`x8mO=j_iU{eiP5N%|9KeAKhB;b>7SfEPtt3gy+G0%oV`fWzc_n|q_;VHnWTSn_J1V3$Jr|+eZbj2 zN%{|GuafjJXRnd;DQB;f^k2^25K=bd>`jtFoc)WWFlTR(l+D@OBt8qCLtCs4kmg%d?s?sUebbYHc^i|7Ab>boFRc;;k zd6Z?{>RFbxtEVjMS5H~iu%5E4V?AYA%X-SPo)6W~xXs*Dz1BljP5(tzP5(tzP5(tz zP5(tzP5(tzP5(tzS+A;oi*{8_ZMjO7dW_1?aoQR;*drCyu6-q2!76PnTOzKZ=!h!eza*IDIK(i@i&4)sn`!G2W3TKk0TzdzTuIj## zx>S@@yQ`dCDvF(k$y`8FioO9iAUSj*qrJO-sKPfG5K~(*_ z*n!p=LDfwR=GC)58n`WQ1*`R9hBIiMmc*kX=#GJle7bjR##w`ahflw1z{96sHQ?dXuNv_1 z=~oSS`1Gp=yga#85Bc)cTRGt6skd^#%TsSjLxs4X{Q zCzGnNNhmq{vy-B#+wHY&JE^K_&)LnLbW~3go=x6KO*Pk-+WS4&ki8{*FemqLc(BZz zq3&R;oqgm*d#bu6#dh<=Ox5__UFb6N-x z7{GEWaS&N?@QHDpO=Oec*Y#}JK_uS=mj}mjR>UUbWmrbxUccZuIBt>)=Uh-99mm-e zR*VK8;;e+E-*Q$;(j&M4!dc~TFk8;fcz9GCXJv%`9jqU5p~WH*&Ze^&nB5bcm6HVP zBxaHX>m+88^cT)%lk`{4=8*Jv&WQ`6R*5VihF8&tjD%!Ovm~NP?fm7Lo)%i!CAveimCy68tPyMH2ihwuB`3 zS!^ju@UvJoN$|5+4N35`*fJqm@Uz%*lHg~t6(qsWVk=34pT$;@1V4+_k_11CttJV6 z7F$CS{4BPXB=}iu9ZB%B*m{!SXR$hx;AgQ7Bn`pU7EXhTg)nn?`0?=XVIhe%-0O0y zU@co`BUE5f_Al@+INriy1Ae zFvgCtM#b1@R2d+ST5RfRFSJ_;*RooZPVz%(o-u_juRVA+s^bD!hahTsC= zo|Sejl)-xc&rt=d_YY+i=vJ6aZe-IZ@I=1C>v$p%(w)GD#cz+tjQ6~Xrn zHavA!re(obDGof9Ea0=E)=Uf5>W_gHC3XfW4f{Y%d1NP4^&som7$D}tg8MbxnunS8 zw~ja1*c4~G8|muk>1;^0v^RAW*9s9%hJ>1)A7N2AfysULfIK9j3fHtiOD%|56&CC* zdvwa=LC!3-ti@2THtBpQfQnO1=WwgWS{4Iauw1{@ zS$S0nYjd5&X5QGr>!S*)#zA?rb1mHx=HR3ZYh?^tdKI{Ks$S4-ENe9^7qT6%*LjiP ze9(oEZ>)m@6KqZQA(BF=l9b>xiaxeddvXur7tkgAyx()F6#5^!w8ei3JaRc*f`z$W z)HLWv=u(sa(sbyG=u(US(hTUE=n{Oq;x$+fT@+pF@Lz&YtMD^}gWh@0hylJ`mi=q& zMqqh-eyWO{>z366Yrq@ebDJa{6~e7Opl$88_QD!dTFt(qGYRVi;buJ%SMhq%gcI(M zS|?d2!z#d*HadeB&q*2Uz7gcvFz!=4WD>Vdu}+Nv{!3c78oHKcoeo2AsvutXvaGMb z0>s9S`a~BNW;ry(2?ulMFUWzdGpw)0tTon|{wA6Q0}-{}H=y1;{#7y+#(Zj&vwK|w zzbvI|=k>Z)3Z1DqmBBm0i&f^_y3o1^TkhgsFj(F>+$P{Zz7ubRbwu>CUPxH!JINVX zQfHA=!KuRy2|QtWb)plFh*_|=At?@Awyf_!HKf{x9-S=fyRc3Yw8T*?w6xJNN$0b0 z>wDJKG3zSp`<%h9%jm7wKoK1^au>^j1>f0pRFA=CDO}8bAWe|h{n)xLW|UgjOJ;cF zEOy>*54A^8=pBDz-59g3v~F^BQrLZ27OaiN6Imv^&Tu(oCBgFEtX(~cR>1k(VmddV zsj&2Sfn98D)PvBc2ZyOc2Y`A%13iEUJqUez<=lV)4fTEjdeD|a4?>^bOm564y@x;# zhFYNqp-*oXHx`lJqo6lK>Ott$E1k-XYSMcG^k9${_8|1>m2hJv={*U0P$Z!Tp-&I` z<2ZRJEX^Hedon(+!0{sToZ(JzWCPZiVsW1VbMPgpFbAOn@f}Nxkc|X^^|>MZsx(_P z4G6=Xj>Fr@%%S1@l^6?K{{%oGKAOSWCD&(>iY3eN2=JQqI;>B&-hloVUi6E_f*i~G z7u+%QP$v?E_1CPobF8$48;pC~*ZDn^{mHY6Orh$&YuI+~N$f1*dA39R| z*&#?(^xYputq-jKK%co2wq=#aZgcD7?E7Po{t0IjL4x}H48L>iJ=}=Ln*YVvgb0J$ ztAd9IR~?A)-Ffz+;XV?Im|!pxj<6zwP3cvnz;`q&V!;PPpaS>mOvTObx(Vf@m-~KB zjX05LB$gA2L~>w&OXa_=r4tU{isXXl*>xw6?jWP@B+ z1av?&(myf~p0QKkVd7V{;`DGUGB_3)f{)jQj-&bN%&`W>aw5ZGU~D)(GJP!uj7CP- zln8@!5uk$@?6&WEEwmz|Vv*6-qzHrF!G6H1>Ddd!$AaV8#4$-Q9v_X2i%j4KJo#Wl z0Y4iyzoom&icALg6(cN;ItE+eu(!Rf*CVp#BO#4rk>beISY%2B?oDWDB!NAfr+|BV ztO#^Za2z)5Hv=^)&Nt_ga_A%?Ga}HPZ6_eS0Q64*U8f0Ikz=7)n1BahV>iMh$02rZ zWFCwNSUNiG?L?<=-Y>aCL)n$*jb&U7@>YpQ=EtBdDk2QV1$Y9)npkMCRqk&JGtP@9 z2O{yvVyyBi|0CkUmey8q6?nyPzSa_6DJ}?ysfk9aBg?qaO$=9ne}-qoAZ-T#sQQOS|sAs1mG>tbxa{$l3^l$L8r`w20J2*i4x2pva~OgYjz*v8;!VWGlF{ z_c=;dd?Ku0Y}(3@<o%BGA*lmqT1eW(!(R(;L%psDgNlR)V2tbrV%rj}O+2!fvVmvm z(Sh%<>slJSx4~2X(8x*f1{;CQ@#L@q*NdQC#jvAUXLoC{L_`imPKmK0ky8y;?D)A_ z+3Cm0VXS$P)8Gv`aymD@OvK^AJG=*`EqLS%BK|em{96-ncYU2&=PYiVLF(Ux(YcZI z&nEqIA`I@zJWn56k$_2p$oX&=+x{ZF57UM7T^NmA5V;6Hf2*=J>=IOHP)vO;*3i+~3TG0*lXG!fPdCod6t4sH z&SaxN&*72pM6QJQp$I%PPjeatd)_(n)yrj~Ho437LQO3LFUv&Zm>VXASym=13( zkK7WuHD=u!xeYq_l*1o9*jkP6?q5>{TpyfxXr1k3wzpyUrmK7%85Lj&y2_;;WxGlbT}f&raN>C6u^6<`?;>m-OaoIhJ%O`{M{xu0d~CHpxgNj77r|EKNp74+ z7oXzBg(SgO7<0%Q|G|w*DeW0qz=1H^7-O z?KN(Iv!V0`H|{3sU);Etq_??oKS}@Q2D~$&-h15mHAx?E1F9U;{=VLWMl#rr~8~-3F#Es`j3UlLSlCt5(#HY+Ecz=K?ELV?>sg?5E%sD(7iAJGo zkH%mWPTeJL4J*oH(Oi5UTohr8BdjXImPFXn2&;~;nh0Cw8r1i+bZ-;2U1&uIy9agW z0^VZLq0#7&=rC^llbDX+)?{l6lr4Hx-|Xno{j#CV@YvdW{>krb;ET~w`G6bk>CfmB z@Lry)dRzwsV`4yNY=kZ6#+$?krl;2upGm-{D4&_p(GdoZ9&gk2sobig>!onLET4t( z`buuROV`V}wTZ6Jg6p&MSyps3cJsG!;{(z-j$8GlaXe_m^I3LuG&eq?iL>glO6z%O7^Q81pFG6<5Zwend60Ah4;@Wcx9||m^CMy_4~-$I z5uQpgI53B{2rfXe&gUU`NJr^H9zLCvFXkb5fWWj%cnEGslrDo`u?TSm{IW&T zl{{2SSFhrswIp4QO|zDV>L_{*x3-dB{t*1~NBPVGzYLu~7q915k}m!PF5Z~WB6u-D z7jNO#HoABlT)aJ>Me$-IUA&81JLuw1;o?2{EQS}sSCHy`+-j$bKZlDCv|nKAl%9-_y==pT599zUYchjM&t zNbaKl59g}`y>CXJjQ#~1{3#xyu_^jD9y*7({)30$=@ZNJ3=hF`CQ8ro&?O|jz^z?Y zC#Jmw74vex>|f_Ij&%dGpqTn94_!sl>)bkvs_;$F{8v891vNHB%=1Ryfk~vE_O6!Z zb{>6~ZiV-F==+3({z$y3Ha5TpvalxzkNyW$=0-o_p=(Ly6BtTUFSC1K3mx#%&qxP) zsW866@E8+zV+IfXSb$fEb7Q$Y zbQ3A`<)K?i%HyFsNa_y{C9WxE`?V=HkjxKKUT@>EA#{CcgsqCO+6aSwkjCfOQ9MNB zbL$(Z3YkhmC|PN5IrNrX7kXqly)o+y+G1&JoGY2$MX=4 z#s!JVV~hJ{$ExyKAM``;EWB9FL+_Eaj9cfD+6quxna}#7 z+J|%zYW!o8U}EnA;jXdupjMa9`k@-k0;07|++-x3z^zM2Z40O+@>w3JnKU5Apl5Ns zvJqy>d8~;XsF|Da=!2$Oz!~snZ|+PcU>Y|S^4JdXmJ(}?ur=I_k}|wIuMz!ZY!^2< zrFD5GflA@^Jl2Dm%!uudu(jOmOKSVLNuzD-B>3r`jGP={>$nMXb4cV=Ze2H50Ki`p!WTIHVD;d$cD4ZZ04R-mrd8 zYVd{?yEmT=MYY9r@qTXBkn{kz{!D7W1hrq~vtg*Vf-XM9&03Ov%dNkW+9RO$Xg(W` zYHR7@{)I$ zQQGs|1oy&ve36?lQHj#a+@!%O_6j#UDeYBm_K@^CH)*hny~#~@JVC@;+=Pb_l-}Vc z4OX#txk-an?0s(1kP-V3mVc06eFTe0NP_wEI+8wvg&-vTkDD~K>C ztmNSwN~`7JJ|wN-;XIPo@$dkW>UelCNgH{Xx`&+2JWSm~PCbm}t;zQ0?rl6L0T1ui z2RT~}#v(b;9mepd3-~p?sRf>m2f8N|Qa@L$3&+ zD280{@Cu3{7d)0i#83#~;S&@?E_jp##gGf03qdjDf_s=z47uRR4HQEzc=`gxkPDux zKr!TkrzcPhx!_p{6hkg}q=AT`5W<5CD280{NCJu>7d&8qV#o!L5ug}y!9xKkhFoyF ze~KX&+|-|9$OX6Prx#DSHJ3x2o;f#N8cCDEj0=9)Ez7vzhaIzw3x3!&%edf&owJM!e%L+BxZsEVGR09c zOR$TUalsEeX&D#%u$z`~!4ErX85jJptCn%W4?Ala7yPihmT|!kJ8T&j{IJWGalsG! zYKo&|mSDFno z@WZZM#sxp@(vxZsC_hKvh- zIBdwc;D-Z;j0=7^bjY~ihl7WV3w}6!$T;#7r%s5tkRYDoCnSic_z4N(DSkqNc#5Bp zAfDnUB#5W@2?^pUenNtHil2}mp5iAYQ=EoC{KWVnPCAfrtUqyzfsAAQi4zKB9P3Y< zJ|N>*f8t~T83(5Q3mcPN@<-Jq{d8JruyXfniTsT-_0wyzk|A(T%xe|DBfF^c z+aiSbjh@A*pXIxZv`&6W($6X5h*~Q6|fKs!(TRwz-E5= z__-AP)}D{wMTrnTXJSTfe~?3LDx||lO`bS>+T@AD$4Yj58tB9KNx}|%og_l|Hc5o= zWs(TtyCf09S4kp-Z<0g^UnGeTzDE)ve03y3_~uB2@Wqh`;d>(y!q-M3gl~;R2wxhB z5WX`KA$(;dLiol=gz$xt2;uu85yIC+B7|>?L5 z?v>#_8J;M^lVrGGh9}GLfDBHmD-}stnJN z;n!q%rVPI>!?R@g4HchJTUaQ!@Ok4F4v>zsv9+GJINw&&UwA6cy!x z4Mjx=+lh)0HWL*gY$Yl}*ho}_u#Kn)|4)Xm$nc*sd{u_8$?$aNGGW=MEpUCi28Ga_i|6&;0$D;T}2n*#7fKu&; z5eQd!P5!CThq3BO6YntcYKk6YSw%P9VhoN?AhUk(sb85ssp;vE`iF5zKbLu!sq>ewJsbM;Bh zONZ1m9#Y5Kq~bxO;MXqQnyO5P)UzH^3v5yggGepXCsmaWspmYTme{1029c`PC$%gc zQqOxxEw@Rn2qLvopHyu+q+allT5XeB6GUpQKB@KTkb22Os?H|0A&3-w-lXg3o6{ln zvWL_OHmUj`Qd{&%ZB2(%y@ynTO{y`76ud6!)>L!mq_)|lT7pPz*C*AQIjJ_ARC^F9 zcrVngsa@%i+Tv-xPMcI$5UFl`Qfc{=msF2UYIhK+J^G~frBhQ4o|-z*CUsH}sr~w- z4rEU16r0qkL8QKnVJ6k$A@voT)K`N@opG2+ZTFD+noa7= zAW~n~CzaNdXS;{gSvIL}1d)QZIlBGS+37fXmxt6jHmP%iNS${?Nu6(#x*&+uh5Do} zPN$}NJT>)go75#iq%PGbm6lKK_K>>FCUtobsVnqJrPWXE@sRqCP3p=ZQr|tIq^`0_ zeJ_aA)%v7c>H(uG1%VLpn9J&r?%Bu}R$+MCvAe zQa7hV>O>EzTWnId29df=pVS@ckUGgj>Q0-~T|uPoKBA<4YLmJrh}6CMq|%z;*zc*S zpV_4D3nF#@5he9=o74kAq<*1KDy<2QlRY){OPkcMf=K=Ph?08HCiPGdso&_6`fWOQ z-vLieJ#3SDB#6|b`lKF9htw$^QoplFJsw2r_xhxsNQcy^9#Vg>N&PX1)SvW8{W%>{ zU-FQ8(kAtnAW~21llp5qq)zjY`kPJa??I$sdAjb4!_(=II^9F+8JpCzL8PA3C-p)) zq`vGS^`cGcr65u-A5l{OXOns*h}1vzNxha%O`YSZsn=~%Zv>Ho4;XZPDlJmydPx1t zCiPYjskaX^sq;Lf-myvjJBZY~hndv*9#Zewq}~rA^}%5#b%BS}hc>DI1d;kkpVTMm zIQm5%QlHwSJ_{lRADwDCdWfY%>S8ykkdY!43I&kDuk>|EWo1q(+a_fNk&5V(ie*kJ z$0o&tNagC2>YF*Kem1GRAX54Iqy}V8YM@POP!OrXN0ihMo7B)CQt(BZuA`4gr=~9R z+KYnR|9Rceze3nB%J z%XLYmb@zEmO}9zS2qFb*?R80|N}pAI?k@Cc|kRG{9z__rH549CN)2ZRE0jNw8lO!sY;vFf*?|`MbTkxzVCW!YLQK9 zaS*90eNs!)d35oTs%PijRvq^|PR)JmJwsvuIe`lQn861=4B+2g>+G6ZA5>Fy`lL3d(|lKZns1X$YI6{&6Am+}?|Vqq+oZMxkxCq9Qa|vJ z+G>+(2qFc$X6X7RP_D2Us!>DE+Q3k7fRkg^vF zhJqFf;?8ioq|#a_=p|(@6buC|6vR#Hjvy&}paukDDKDwZ zZBkbRk%FD%G#x#Z*4xbOo|?MSCiUGQQdjAdN~@;4q`qg9x;lu|_q9nGX*v4co|^iB zP3oE;Qr8}4QulaB{m>@$qaad0KFp-<^^m&GCUt!fsT&S6sh@dB*-I)zK}#xe+g#1N zFFl{S&qL~FyQXdls;OHKGpYMMq;9iG-5x~hj>Amq=N?k_I?qthI#1k=ShxAoTKfH4 z4=H<{XDDc$C+>`_ODe6;p1h>&b)KQ1b)L9MvnHv~1L=%?UQ)lXed?D%KJ_bYQbtNhs2-v*I-SesOOHT9T>)FU>jM}tT`rcEk6pYoEj z*LjA5)_LN#;<}EW)*G^y)Dw11{UNBP{-{qXt-H@l>Q6SQKL?R|QkztIW1pAQUu;rO z1(EuzKB=_2gx`4_-Clee3R--MyTKn;pYoD=+ODZ*f@63p;Hjy9+oawNBK4j=skDBZ z@sfJqCiOuOsSou@rRC^<^wiXUY*HTuk@{Ghl#$kV$X-&P*rYxUBK4Uzsq}p6PoA3k zuTAQI0i^I?5#25!t*IanDbrp%YzD0z#sf_>Nu~Gb@}#?_%y6ov%&efAf)iAZASufx z6$v5*C$$__Qh)K(RLmxo6GV#ZlS*q}7cZ$?n^d15QhoJFrS;_bl&7ZbRn}(EDr-C= zN4NRX+8D%3s=r-R1A=O5pf)LUa5@9>-#j&Cud+6SR$1e*K)N-R)(=L1_mCQH*VKrh zni{E3Dy>HsFR7z!Qbz}oI!2pRD6K_Z|M1jQflX>u5UJ7nq|$nH@scXENsS321&2fF z`czu`2wL69R*E$m9-hP${LUA z(yXaaT0Z3^Wv{X}gH~DN5n-C7jI?$XeAeSr_9|;LXq7ddR;EiTEuZp|vR7G~L949s zlr&9J=CSGc)N`Jinrl1yaY2qgPn%SFKIJ84Z=GodZJmh+#c9@*k=EXe&wFaB!mg>x zpqg5sPbw{+@{(F;lUfu+YOyvcb4fZr<=LguTxyf54kA^fO)5Q~@{(F+lUg1`YK1l_ zBdx77U-bBty~^4QT4jwV7wS5CT0Z3^Wv{X}gH~DNiHVw|(rZ4?CZndk%GwNCWsS!) z9#&GGO-9WPwoh#g@~KVQq|6i2x%*!6_>{fM+6-D{jmK1K)|AcD)ohd67DNgT@6;p}O6xo1*FB`R+oW~`k!sZ^mDWV8mz2HA+6-D{jYpd5 z*3=h3YNuUOyMk(}^N5n_vPpFZk?PSVW$sDm?(;UEy~^4QT4jxA&K|+$JISu8{XsQ# zvNkF6lyqw94bRY9NpfI)ePE> z6_10~C6(4w!aE*P7uk2;#X)!9x3x*7*L?4KNL^x+x-^Is9FnbBQ|bMRt)#N05}g%GwNCWsOINA68QDd795&Wo-tnvc^-)k02>~m9-hP${G(;KdhwQ_tccV z%GwNCWsPUMA3;*~Dr+-nl{FqSe^^O<;HfElm9-hP${J6+KZ2y}Rn}(EDr-}Jm34ak z)Q6s$vR7G~L947y{Z-cHUFnQ{A9+aKZTILu4eHVFIn2%HC3UY&>SsZu?mMES?zc(( zJc!f-hndvJp60VxS(`zttWEt@*6BIAmz2HA+6-D{ZGMhb)@i*Vf8wbrdzG~rw94Al zUuB(MO?gS#tE|nSRo3R`SY@47O?~RADSMT*8MMmU{2Z&SzW`G9Dr+-nm9_afR#~S- z>N8JG*{iJ0pjFo9=U8Q(&OEwdcu3i+tj(ZR*5>C}Wu49uR6bJnDr+-nm9?qA%G!J; zjj_*1>RG!-e=ev;e_p#sPiuDA2zi?C1)J21L8M;NCY9EEpJ94Py=;^EzaUbtXp>57 zg2PAZpEjvigGjx0L`l7FlX@eF)SHKyRM^ve|FTKF6-4UoBTDKWo7BI9NWFWQNo9Fz z>OGs(`$42WIHIIJv`PIZh}1`inN+r?rarbweG)|K(<4giGn>?ZgGl}FFq5*}H5Fzl zQeh*2R5+wfDy{cEBjO=t+N8okq_VV0rS&-MBW3T<91hx{Is7?xXin!L&qpe1*HkR1 zrg9E*O+`J;$8A!%L8ST|QBr+vQvHHRotx+^>d zk5kt(Im2(VI6SqNNnknc25pnQSWXYmkR}(v`R#Z%yftxn(Ouy=YMOY+JE+(tx2WVI zt(>7LJmftZ!a4|-i+VUVJhyiaBkl@U=;m-7)~(24Ubxc9;W)@)p2%TAc%d?fC0|$$ zOT*PN2akKKP-oEFJ&?HV9z=R&c$G9+45h>JWlg**yiRSAlLA$HZhue?g=@jb)`#nQ z@d6(^L2acsuMN;-Hm?n$GV9eQw`O3nL2a@r1C!0+ZM~X&61Z)<+GcOJZNZWYr`qmz z+ZJ%D?V=t!4w6H+ZVo%`9Co>L*a5vg-$6*9thU*^ zT7*N0YB|wO8eAiMqR8Pu_!MU54gi%EEDZlK7MVDF#N@leXQ;E7NIG_h5ea`u-p-Nm zX&_-&8wsBdnVHhBPZdSVH9@f-sPu!2ShTMlq-ftz=i9qzXWH%IDcYHK(Y_h}mh>s7 zXp@SdXcwxp=v}n4Wfqa}Iaqt5Xy=A6f}&xs2t_&@GCK$U&J{(vIQ(syVK=kjKgRk@ zgbas;YW8oV?3QJ$QUSysZ21q|p?gwi9 zKmq;fHg(zVRFlvu+g;(i)eiJCwb9-Vlqy-oE?I<1mU19hIiL*ph41fmGfpZRc~|&X zx*6h)hut9FmMM?L+cI@C{ydd2+=Qtc5;FdE_(5n`>;>F|*Td?kCC}gm;78Oo|2s8j zt(t#4{CfqTKdCMH_^^$Nk@&XolNw-WBxWZlYUH-?Q+hS>*YMvY{R(IeY>$2uZwvq9 zA$S(MGyEKiNXE<^i2pJpr`H216{(-RM~v|E;TIGJUm}CAfWenOr@?=QUsV`D?WRf*0Tlamf)@98~@P~TfZA$P*df*l%_!B+wb|v^TJ@5`C_O9}3)2kusa^Yp+yN^pNY@NOk| zpdNUS5w!;Kf{XRQUsi%k^uS+Hg3I*4UsZyq>w(Wug3I;5 zUsHl->4DEwg6HUgzpez&)dQcU1kck0e?tk5>w&+i1Xt*Rzoi5(&;y^X1TWG9pQ8j< z>4DExf|u%n&r^bH^uXsU!OQi)7bw9i^}rV@!L@qei~H;CemqI17E2GH|v4Fs|2^`fv-}6cj$q? zrv$g@fv;AAJM_TcSAuuxfq$R`cjEfq$d~pQH!=u@Zc; z9{4&X_!K?x^-A!U^uRYL!Kdqif1(6`MGt(V5`2ap_$DRzOg-?;O7K~F;9Hd7Z|Z?> zRf5mf1K*|upQ{JHT?sy44}6Cbe4!rrP9^waJ@8#h@FjZSyOrR}^uRw=g0Ij6-=hRy zsRzDS3BF1X{4*u^YCZ6MO7IW#!1pV`*Xn_Pt_1%`5Bz`{H7lGMJ4zxJ@89P@H=|o zmzCgm^}zq91i!BbenkoXP!If1CHNyf@T*GjCwk!5l;F?wz^^O8|I-7%p#oXTQf+c+1}0ajP1a;!vQ}+!c?Kp|t4*%V zz~maW$=VD|u2q{{lYz-~YLn|SFu7iBvMvLYb!w9vGcdV9ZE|x4CO4{0)@NXHliFk= z1CyK8CL1y^d4k$xG6R$KYLm?wnB1Z^*^+_Dgxche3`}lSn{3O#WP{pdM+PPv)h2gk zU^1yT*_DCGCbh|)3`{nwP43CS;fEle^R=&&t4Lr`qH*!m^@K!@`?;ho}@N;WdwGA7o(i6t&4~Gcb9o+T@QiF!?35$?GyOd79ef4H=j`U2XEl z3`~AmZSv*}Onyae^41JYepPMq_6$s(p*DGE1}49zHhFgjCeKuxye9*bUss#_Sq3K0 zQk%R#1C!rSn|vSxliyUE{AC6vzoj<$>kLeutv2~k1}4u@oBVACCeKxyd?W*t=c!FT zmVwFh)g~X$z~lvLlTTz|@?Z$?vO8zLSB;AE-^fn}Nw|)F$80z~r@RlOJYa@`q}ZA7xgdT8JPTu+GJJ+CT~=mv@$SxliFl7 z1CuwaP3B}^@)otp+zd?Ksy5j-1CzI@P3C1_@^-b!{u!9OLv3!)Fww~VDdh-$ua4hWD~RRm)qew z`ybzy{eZ@n!LYk;QT8ts;Dc=0?BA?-O7^ei=C10SaZzn}-6m;IvRu6tPz99QQ2iXM2r68x$j zxIzgQyKNu3sVbG=H}!H}paj3A2VSTIzoQ3Uqy)dK2VSfMzpn?bQi4C!120j6Khgs) zRf0d!16M1-pXq^Xl;HpAftRVkmZ1k;t^}KU;1x=6mL7Pe5^U*#S1G|!J#eiOoTCR` ztpw-lf!8R(ef7X=mEb%*@H!>9zaDtK5cUq%w5C3D*FRx|U zlYR-m$QhtHn2oRxnaE}4r);prLY0;^=3wK@G4i;H{P4>M%@U5Bz$}l!EXUp+e(4L# z@{NOL35QZ(mZLGtakqzG|6;Q2H`E$$KS3NLsufO6xyPDVcc)c^4P&?t<=6$^UUwAe-awQ2|2yJKq1VT+Hp(TVKYUqUC zQ939nRfB6hF~Rz&|4<^R38?A^?@91sMO)gRyNeYf*w z=6i46&d%-S>~^%**-Zn#W3KdgP?Bo;m47sgM19 z<)nH3G+E&8qpQ6gna-gdQhPpg;6i_zEOxgCO%{7-^1i)Ckea-2Z>Y{YFHPQ;`sh=W zCI0%jOzGn?k3N17bRR#+w2vQT*2m>8zje>Jc2#l47LW(ec|5ZwSG$_@xI#bbV~C&Fo2#Dp8saBXdp@J{F;{#0pep@XU8+;n znAD2t<_){dn<|FxGe4bf-n@xfTUSaqZ#{s8z(MnNAKm%XUeBvL8mty%h0TtPUkWS3 zLawmFECkCqvw1Dk%x1?Gmdo`TWL2~IX=&N=sTcwMa$;c?W`5DU1IrvUD{4+>l|_O{ z_2syonT=|9@T2hl{hs?U{C9dM26V4zXGoY|;e z4SrN#+=x+qp^WM^*Qj0(G^#_Hjq1(dNA=~67}b}`sNQmo>TsY@y`9;pjs!oduWrPs zzEVc@u4`0B1C8pv%trM=@S{3)BSv*f8Pze@sE!93)rXml>O}CPI(;KXby^wK$F5PG z3^b}wT%+>1K7Quog7meIZmYlK__gRmfIHaFUG4d~K7JXzir?59W&QQ>8%4#hTq>Rl zq~ht!M)ghbqx$wnjOtruRNuNr^_|~QVJT4}WBVoKu|HW}Z4G1nf3M)Ym)L3kvBEC% z&-nKT{{0E|Lc00#j^bW72U6{fwry_nmbmg3Qtn2efZcZ9teux1a>nkC#d4+pH-)3attcg=T{ zns=bLrKR5X@~m0LUGr#0O)4_heU?f-Bft{pzHhVlu_r(P-?wd+YGkWTWm-d85-Pja zkl~3YZW60VOU;9pn(3B0bY5aEo=cpW#B)ifhVd}xsmTW|$?28`O4~*Kx7`Fw)M!ge z#sJfHgnb|eC|WXevuLRqH%l#9xJ6&uTH@^Cp;AkI?Unqu)N-4ptvx~*wsNa5+@4z{ z!!~Zy4V%-_EgcoZ)%_dp5^d?~?*H+O{#&|7TY9+Zk94|Mw57M3{#el4EL6lKhVyXI zuf9B7>Q@AhaQ15~Zm-iVcOJA9O1EH_MZYPx4-nJZk8_6#%aJ@%SRT$JCCj;aZr6yn zS4g*vRE%ckHEpYV9G^Ic2OIa`-{^G9ebJUN?zcb77-rir(VILxkLb-fo=579o!h;~ zIZ-$w32|5tSB^dA%E*<7^ZW z?YGe(_Huz5m3!CJ|B3Tmy^H42qIU~$ay`jN&Z%ClTFhAO| z)a}GCGMu=IJZuk_JTTlI>wk=^aiVFTIjvi$vz|O*hX-nXO~-?l$I>lp(=F?_Sys{P zwYnD$&iU!GyD^t)*8hf#MK+VYHBhp(Kmj!?J9$gPgBm+)_5O~w$S zA;NR^2uZvV_5cC0+GCuksy5jxK$DVw?tzX7ionY#eDXgf3<53tDz$#LS*OmUkGFMvad+{P+CmL34#@%X=9;sjwsLTd4Lyy5(46 zL0(XF=0jdk>P#VCNOZ<>0=pfe)0}g0-bv1-^9u9A?(_5x-)qMQJyM4=S}MYe2n(O` zB9euoyr?ql&vh$fd|N5TiwP@V@?w&e;=H(G#U$*($t>ySm;|RbEw`dX86>POZkPxlh$wn&hLU>b$zBex6sCsweOScXhp` z8oY)$^>1E7I<+RR={{9&X|hL45cyx-Of6nZn7P1fNoH#E+8Jh|wYS_^=zBfgiUd%H z*AZ1O@j6n~M4srXdcih1hXOybRJXut|!jq+{^*BC+ z6_FFIedwAa@Asr$SPUq-PRKAeebak>?&0P$fo3bSi% z=BoCPJ>H#Kt+}JEdEEUyCx+^2#N=&cwxf;4)&?oJOog#3i{I z$NeWJLNVSIy5Ecdm)C%wATS7|Ad>LO0o#w-qMq^R|-7cD$Wpvay%RX8nFDimkMl@_&(??;6BPyWKqqtn}y(wj=K-T5QHUN-cKcos<@1 z64Q8^IIjgylg{hRJG;(H6ZX3BF5RSKifqp4{_Q_6B}?Zf&EUNgsguxo7So z+U~}?iMHGEZc^Lbd3Sf);=CTbhd8eT?;)MnllOFJym>O^neTj~UT5bE~wswg%)fK`{9SBcvi_kup%+RgNYZJ!u=AKpi_+JpCz zTJ6jGDy>#XxAwxnK62}8>{b0YK3^E`$NLH6C?(_ld4IS5s>J~JQBI2k_yA!MrDX9= zey7r1)#w2K-E=B{7r#pwMJX8_$OlSBotrkQ``6ef`ZaAGsCHt!-!m=FP7LCML?;IG zK~g6M^TFPo7^awxV$1y6_UJ_vTjKXrOBYwXtg#ozX&Lci9WGosgbxwj8_9=A-5bh> zdUWrObn7TlVHh7KD%{J5Nfn0k;m!*9RNI*!M(`2hyfJ))blyllQZlTD{iF8Qen+7W z_)+mdNx&3wH@{mnKaSrmHGdDkM{3@e?;o`f3Az)5{GKU^{-`}p5}ht6F^Qx2DABnI ze3aC=(R{Q==OFqdQQ=;Guc$DE-z!zPkK-92TII@#Y?{m6kBR*R-QCWX$M7-2&~!dV zGBlQt^)Q5%XL%-u$Hh|9x9Zlpu4W(i(d;-rPBc58kCU1m&&PW=yGY-1oxmrEI!pKj zsm??`(OJhCXlm+m1c(duBIB^P2;e+Qi}GA_*!%fC+tY3zzh_#ys5VFYJ=3}rtM81B zRrlf@y;>e7?J-3$2c9qWYs9ifZLYHaGij^eJC5~UCZEtv&f#-}$?bfOWO6Q_tC-yB zWpaa;$;Wk*^Y}brayOqRnViq(D<=1OncV1QvX5?Z0bd|Y9^eZklMDGm#pJ7ACO4@j zH;DxXu4t4&yzYE9i_56*i;u;*hFruKiGIA!7fJnC%omG(&^?tBbervs{Rf@X;u5|@ zSbT#okt{CdOPvm_FupgI2 zu`Pa0suyB(^f|w$O3Sm&_98ep>f&m?+TBG_NsWUo zfmR~QEyR{gRwB4mtl?`!7vASq`fBh`xTv z9a3MP;7=%yRkmNY+S~aTRN2JXYH#EBOfd!V-4FNGa;try-?P=Q-fF+o@0ql|6styj z|46ky)^8o_N#83?^B{GlxiyNd@M}a}X>JX)f1q5SxBB;za}~LkuN5x(l&_Us^dx^$ zcahgBa+^KLe>dH$$ZcLNFL2&=;436V@ndRQ@8LfO0&Ot zHM_&JS?eDe4=q{GBMpS&Rz?2m;!e*?qx*EM3A|3<<>Pd*mWxSzohKHv z1!srFgK5@_uFK4BdjUj-bWL_QjO&}8;#{Zi@zHR`0%{trA2Eqr`Bu^VWxiEvejDE= zH-E)t?-m2FJ)a|bzMJnBJSds2I%?0%zB=&E8@H72ZQVRLBBn>74(r=URL&e zuts;~1A5rfD-pfo_jFrfAE&?SaXO^?7pEzopxaA$f71K9oK5i&x~+)&qe!ds6U`v3 z9P+eM)NLg^Xe)1cS}EqX5-DO~AKxcpA%^dhVqrhuFJggqkez#ENS6or0dZJ;g6?fz0R5@e5WiRYMYvCI1{x<7d z_I&QEg}9h579)O@zbYCn!(WveeT~27Zq${&4$C%dWl?{bu<<&7UDzncUzcng;)i_L zc-zZHOyV2-4N<=We?zMOCV$ga9~A)VKND;?y|)wsh({ z{2k}1u8&}j@FU{9D*T9a-n;x=$z;ZbQn|@L66mv9<>vK>J=wpPl#cK`d&>MhO@ zu{QT}t*kEGa+Dtx1FON0N&|b3zb6J}$yiUw;dI2_-ET*2wOny+tubHb3AWNMdH3dW;_vrzY`Z(y7P!aS#7|$UhY4CG!uZ^FHDqNhW>m1ic$* z=UVa4yW+dFzO__8j(9h~U7PBkcg1rhzHQp7yQF;AOG<2eIi)$X$)qnSj|MH}(V(R~8nl#0gOl>8 zmy|JypYzYffK&MA(ty9M#vmL#f{lG`F-|}yTtu+3vWa~Tr zovT^Oqxx;x_xyWtb{GD=boLMY2c_j6UM(M!Th91={73$yu+@wIDB1dn|KzjfGyIG= zyAMAjoqd*{Raze4)$(zVmXYP~NGA0Dng1*d-o<~G4F1A@aT*kv&NkRyU-?+@qd=P= z^tih){VV@fm>$Z1l}!J}f0ImG8mRXY9|hWeqR$(hkNsz?vQW5}iM}3rk76GM_=1dW zM6_+BdmWR!Mm-r=7eKx-&Rlm$H>pEafozc=i{2y`ZT>g)A>c9M7rKN>liQ!W@^=Ir9 zUEx=Rt;PI`%T}J(5;gW3*O*t+ctgV*ny9jrj+9CvU_z8mtn}){XWpHF)lk&LmJ#+r z9;PG3stF8k&h=;aGgLsOTzCWbzNoaCj+9DaU~qToe#q1IxK~#`_t_OQYMO=BC+JAA zY5`;M)fFq=u!>4g(vech2F9jzWusSDzVO+VaMTPJR-d9H#cBkY2wz=^#2b;K(ld0V zRLTt|x6+j@US0XpXIJu|W*%Yn1v*l!+QHa;btMXKM2Sk<=t!xQ7ffEIE9qWc`O0Tk zqERziSlvZOiq(8z^7-mYe!P)iRN6yFN~Ho|3MgIK@70x4KD$y7H46%>2kA($S_n)b zUtQsNgNsV9(2-K9FqpzhR}Oh~<+RVP6hX}*!s?rJq*yHqrl_y36vG?EM5V)Yq*N*n zrnu6TcfGpuwMSQw$^LcE&lp&U5!Tj+AE0fhngqOJ5DM=wDNdVn6z{ zRvtCV3v1udkz%a^7>rfVvKhm!2)3fA@f{s0H7bFr*N?9&g8ssz1?@Qnd=0DqdA>A+p$K0^EYxYN=M4uXp z{?gL4q0-+*U>f=E@9*|t`}@0Re?`bOhUvzlSqmL0%{BqkL~2%=H7R&2MO3rVky5QG zn5NEZ^0VUSGaSQ>r!x)7-174PW?oN5Hv2ck$I}=HagdxISpKSRP8%?7ywstG58SbI-X7)G?bd-A0oxXa+KNW#?xC&H zNINj?yc$sh_Ixldz@Q3I%Cno*{`r4a%i{4zA-rPx{58b%`Jlb@r#--?iQ=U8(5Su8 zk8Y&eEBbW+)4@wW)k%K^91>W(qBP4>3kHM@6VueH)6=V}nlmnB!9_0I>5U%)P<%_8PNGu0D9XkElv_*L{?#eZlngs;bW3iva@3=DjBH zd6k1)>eRewA9mGhT?~3^!Wnb@n^&f0KPb>os6#sm{SvMkFAajBL81}bT^Xb_G8oKYuSV2~aydvZ;W9__ zLH5#R`%wQndwWTpOP8<3OP7Q85`rMWcN3M#Gz2OQ5em{)(-1|$p6Po;KLJ!%uXE5T&_G<*xeMxbZd0C>a99=hPQoyZWSAHwZ9@%HMmZeJaOWsJ z9@*lL=D(n!MGq}y@I%u zvq!E#LVI2bJ!3l8HN$5%E$kYc6c)6Tas|Gbm2=cgh%{3O zNSl2#6#-{~ndL2D#%iUCx?0i9!QPeQO#0$wFgYPC@Ids{3Uab^d3N2EKZO22B*sQt zbq^_Hn+;~Rb8Mb><#X`X98rxn-{vUQ=7O2)C5bC-&%@jEL{-{%nx|Bq4`#ksRW)Z= zvf?7=aaXQcuHmj+vjlyWTYzyb5M!ooum#GP7lK*nHD;Gwi}3a$QI$4;7AaL1gIVlV zRh_-o0D%*vrcjcNbkkFo2InSWBUBmqzS`2nEVh#GPd<8^W zAq1ptw-t(jE5WSv5>WM0cradC1w*SuBec)8N@?U_Fb{h*qE3|XAid;$SFVL$$6dJ= zel1=K586uzfmo9X=$4ZDLqzIR8#)diaPgTiFpUL2IlvH9NEiv_;xd zluv7Ww0&krNOVZ)kXV$%LnenjV0MI>Li2|fLfJd??$FUF&xZbEVrGY_pJ}vd49Y9H z?75=N4)Y`CXUv<;4og`}ElVQGHkLk?{wNn))>_u1{K)dXzc9 z(vcWvWQ)k|k-bokihM9~rrD9_RGvTboHsk{^$T_=IH2G_l+z0?FSrWjI|V;4_?6jFsBxiAg}R^|S7>&jd1go9 z(8Bo&7etv*IHhoNlxqubE4;((DAKvepdv$2K2+r4B5TZ!qQ{GVTl5FBqgZUQ+Qpz- zv5Cdz6@#wDjuiW%*eSE4c!}awizlFbs`&2Wdr@AD36IHbcEq%e=^HZuP?zl6gwzH9Ja9E4j4f3bUhB#Zq-k)kk@v)DNZ3m>s1jmY!D{_REYfGpEda zluwu0QwH%@_SaYz8)|mM7LKhL8;5dW?6}y8D3`=O8N0#kDA%Z5$8w!fzFh8uavz!< z<)g}%DqjZWyz-BhcbFX&QYv(=&<*94iuQ_#%Zj@z9`o z#dVB>ow%KGZ^pfi@=|<6d>*qSJ}Evm9&r^vFn(M-+Kpcl|71Mcjo%%AI39MYEUmJx z3jA6%tZKokg;7?m+Nf#@%5GJMRvlq>RBK?%PO7$`+G3RJtL><^%j~GWqWZ?_ zPoq3o{r&34&5neKgklLLP}WLlp3n;A^n~RJ@MFT(gjW(?NBK{U+%=-ijv5tf)U5&C zYD}uJpa$&KI8oz=8qlxiikcg1!d|T+wJOz$N10yhjarAzj@nVROVuue@{`(UYX4$( z;Ez^Us8bnblR9a2FyHDduCuld=3||g>wHuPdL$N1tdxlQiK&VG67NL$Wa7@mJt$8k zo=yDK>`1Dd)F=t#OX{CAE(v-h?Mgb5^d8Ee>xR_LWp>nUT(@i89w^7xT~HVOtNU)< zuj_tib|i-;7fLRIvRm@VZPHaRc}qb$5HO8ccdQd z){n2>q<%A$lj|?7kGQG-eErwzzk%|b`WNb7Hai-`G)QPr3+0vuuQoVjb~H?A*rH)7 z%1;~q*6^I!(I~P}sYV!oqfw1!HJXF+pT>C`=QleV4`@8T@%?5;lNL>SH|dA+LQ3uw z=$mp+%FLA6C^x0-O*w$_bjsf;7tM~QA2$7|>Ca|IGkdc#&B~b_&EuLkZr&8-vgS`U zf7a}1ajeA;E#QZiwOh7s+0N`}HKEnQR!hu|)U?#0sfdHr`KfDDp>ygRsh_2OX?C=J zsr7rUkD>glO?Vs3o3{DdmTy}L<%G5i+oE2(J?)OR`@rmIAJaaeJ;v9eUx%?BCYT)^ zn|18ju@B019d~tn3FU_!f9i;Sbz0PEeWy)kM_O1~k+k9{lhZn+LC>`DX$#Weht9(~ zKhPO@tMkUrFLmB;c652I%gHXEnH^orcdgg8q1n-GNVh57rlEYd+t=OTuO4}Nl z-*$cP>N^ z0(H0@v-zkkTk!Y3-Whbmk_uU1Y!Qp_V@x&}=o}9@+q2vO49Z3`JI`D8narMvZP|V> z=epX+kYhN@8$=6oE5V-cL;DphUyzN-4PH$h??ywGumV@nsNQfh5iB!Up{uN`){nuj zuBy|9-Vf%Va}JxYxjufxb-Nfs9sEw~wRJ%qN-`^c9dNOi58Bh)Bq{%^_9RIY{-Yu4!_=j%`5(5c zw@niN*X_EbuE%Qs$3vEfeKXpYSK`%J;!PO6tia7`Usa**O;SNt;%4?iRioZbR6|ze zX7@u?rNK>BMONlk=!>dOqgy~7S)p5{Ke9@dS(95tC0!}~o}=k4)Gxhnv@E#Q`lT-$ zLI$zsx0qzIY`0?H^j$VVlUbWvSWsEi zTfLv5^l?GPd~eCx-Quds%H9rrmDO#`I@|{8>Iyqo9Ya{B+oaEGzhhYE+o<1q-)S{< zyY*XNZLt{E^){1Smi>0@JCX}NZgPIudoSyL8>%mR;CAi5?1dQC^EUN@?1|fX3?_X} zIH$!V*84Vgi0qWxeH`*M8NvGA=3db~<6I?mV*Rthc=R#RDkQs%C)DhI9;yYqGn;rx z_EdHnlkBZjHZU7`OZHfH8<*_0No;U7^P23r>^L^rdn?({Z0J4RgU)MpIW|0-j!_>c zUB9#Q7_sBvT-?X7k=fXlvOBZ;IK?(;hF8b3d$PG#WzXh>vC7_E&PL||@9G}bFFE(+ zkTL7yrb|#x8nf$?)PRl6L9W)_?F{pgY5GIKQZBrB5+& zL2-U~As^7Usmrk$M%=i*(5ruG*U-fMC1;!8_;LPe0j&v}WrUC`g!HsbS8GETcNmc_ zVr}bsK6JJbHA>XTkz;71Mviy%%r&CND|+MzGW79|Anz!eZ$y#LD3T+|(8zZrc}LSi zBbxk1lN?coPW~gxGpZ`x_D`L%E35-se49@Vc9s9h;hq!6EgFVhem-M2{~B=Emg*}|Zqe6}hLkrq6bbsk$HCt^&hq%Gg zKc_JCaNbC{J{F$H9yLP5bq}tGiJ^)6ep`NkbS-<_h!$70$d?{N7k9*vF0KT#jy++7 zj1n?(*cjTVVME%;5A>~OPa4tV6+LnU8TxofkdlDTv-L&@`3xaBj0}x@hY@Ln2g2}c z8vY*$+i1j>-}sVa%+SeyjFC=waLiAfWjr{R%$_nrEnukW;pTkCw-kHE&?{5Dbj|1i zJVUR*Qa8WkE%m9%=gQ++ye!v~Id~G)oQLf}5qjW^4KE@Oa1+ z_CgMuI+;C9B2N!P8&xgR20w>5MEqItv22?WI*LAeme4o<4Sf`OTvsSp2sL9b8X=@; zq=%54g$#`piCkf%WTR0m-3TQ`Cpnbla58jKWKzOO38}4Yml0BmR-Pdxhn1m~B9&KI zd4$#;BeWE~e1(=AUWQ(ZTt36gBgFO^A*N{NFT~_9Gc;2q^BZPzsD*^G{YI!Mx&;a~ zJ>2xY%Y%k?SEZer)#ojbRQqeM)F!Vf!!ct#!A2#&7Zaqm~eX1LJDso=+RM%tG_0d=< z_Kp#vil(|m*HY?XYG|rRdd*=V ze4wsOJ~N_Rk=6WbISzfIYg{bbAz#U;8*|8*&6 zth0tsZV8{rnal8r;*y(^x%4?HXRzk%7h|?4uF+MxS*5-&V)#XI%T1jz6bqp=Pb?Pk zrK=9?H)GZ)?zvgBMQ&H0Ific(*WC1(Lq5u-&mR1x=jCD!?O=Zxb4YQN9LqQJSf59R ze-!uJ67z`S!jv(WGX5&UWcH^qkrYqe%*o@~wmz8*A1N-nWhN8(E6hEi+~2iX%KkE@ zmEtqc(7xHP^{Hj}NpaIHHMJ;4Jg!$A*#C@)rFiUSPb6RM>yyjymEx*fZgP?D^qVX9 zA1FG=E*f)8ah$IhzZE!ro*Dj9+;vONGm01eVwUjKIjCrZO_ira44M5)g~<;gA$e+3nPy6)xZNax72@yVten^I zZ?^NVoShB-D(=1Qv$LLe)GVFPn8k{lb(OM1DQD{fhM%*opXID=_*rptw#nLhK2o!H zA!Al6?$%YxPNkg13mg8<_WqW$x#4fc-Ptai>v>Ae>P3xNuDD%SDLa;Ob}w%DJ;(T6 z&hm!e6}M;GEU)J;Ior3_N*J?Valfuob}lLFUt!^f?-kGAKF`u#Pi%U8{i}&q%18i8 z7+jCIw^Lm`2^juY+@I|)|9XsiB!aqHX(JIRk)R8e6H51eU>U8f5eGRo4k*_33xg2{ zN*Lsr3xl5T@HN-%;!Bf5wQ@#EP+~!sC?}QfOGHDhf)NinJ|6T-h4YKmWwc60Oc*hd z@l{ZL31P&95)wIX2_at}UCW7bTAYz8l(>*(%8AE%x-jBG35y(^E@&QzPpaq-+CF`S z#c5THtf7QQPRtaZW7ac=5gSTqW_$(WJFTUWp_H&PvQgk{sm{t8ktH7Eq^o7;4{x)dbToRN(m_=TU}$eqB$2PJ=x}5UTINU z8zX5c5oRQ(%#%|fjr7E2#FY|OM&i1T#6|JudR8T4xz$x`XJjrV!~)Gq%d-Tnvys%4h%=H_@JTBet@OlZ#F-LiMq zdoCxp8NV5&2Bs02nM5YL!pdsHjmxVNHpZnh@TF4`E0Y(brGD8o;!G9Gh_kGXvrx19 z=M&p%BaN)4gqsmYhBm8>6jHC%~qyZ9~a&Dv-q*C_(ZdKt%A z7ki|op6`s9Q$o&I)ZKtZoj!NvoR=nY-cap6Bj+grsB2}E-uaF+xtjlcX-Iib|2r*X zjo4G<^re@T z71Ezx2A{Q8W75;}5xY2Tl93UWa5SRMI}6I1C}L&uLayiUSkH<^9I9ga)63wqJ}Z)@ zKEA0DrA;xCqY{-y@cp;Rk@VIRq!EisC>l4>|ItmfJm)=^k6pC~j0~xSrL2~5?42zY zu`+paHTvmU(uhY@)T_}jC!VXS75LTF=;LEKSzUY3NS2DiS0iXnJXaAblNVR3kDf4% zm{f(mS{-xR`KnrhUtO&>-pk7wM#fYmzFI|d+WCrDnY_3bZS<^Z#HA|kwP=}>>Zoc3 zeswLn_*iZxXtRvusVIFd!setpiddPvxK>^C1Zu>lD)6=HnN#YiY6X6Et(tf)KaXm& zjSQ+teXYvolzNI-nY_3jO(=`%-))#{#Hgb5_2`-t>MCMo^5S~+(69DJjH*Ikuddm> zzN%K>SJ$hB$9E;>8|hTh`+DWg?)4S1GI@~|TIea&h*MSUtk5<)+fdaC{3ClDl5nDb_!Zl)66+WwV&TjTpwF1A&>alw+Z@X&Cjf|_fAgdM6ZuS(hGI?<$#;#{wBW_jk zZ-my_$)>7S;8!lgAq%5PZzkRMQ9Vgo{7VAjxA*}%}FC{MG&rY>xV=^d2k+0b0W*pOVy znKjoYHazT6W(|9ujWEw-R`W78()@6np2_hXu^FW0Pn8*AbH4rsQ1KWP#DXKUg8w`-REZzIMEGG@1HYqX{;EPSMS z2Mf`vv!da5v&u}X2*R8~BE6u3}rAGp!r!n94SWca<{a)rc#yAPZtBnsRZ zaORK)z>NiG3mFP-95`!eA#mftMTCZcn*c66bTqh$;Btrd1b08UND~7$37kFjCvcO& zHg-VE+Ra0Se(z)c62-;xM!2Dn0&(%@!- zD`@EtZWg%0mQ-*Lf#a6-;AVp>YFP+w4!9zgpTNxpSKM+O+&pl_tX06x2Uo&c7~BGI zG1ji&7J@5fZ3J!+xRTb@;1+``ZJhyb3Aj6~uYg+$uB`PraLd4zv6;Xv2UpJe3%C{F zVr}EVtpr!W)(_k&aOG`#z&#AEl5IV>N5ECIeG6_ixH#KUaBIL-4lfPvQE*klbAx*f zTzq(2aF2tl7G4LO16T4+*)we!*_#w5?syjb>P;4s}Vu|SP!mtIQe4( zxLOfO;5LFwj3@(c6Sz7NW5GQIu5LtMa8H9vir5P78F2L?)_{8!Tyn%&aL<8j5b+VX z&EV=sQVeYY*C>)==y`ArBYS~+0bG;FX5hAhYaBTf+%|AcBku;c9b8JD^Wa_t*F4Xc z;C6s(X0Hn_9b8L$S#UeSwXjbIw+mdVeFV7O;9A+=0=EZT8~b)}FM(?vRTSJ_aP6YP z!0iLqHfk`q{op!8bpUq&T>Gd`z#RnFDe5(FFN5otHw4@(;5tX01@|hrw7eC-y#}sp z-hAL*2iGO```xTI|A+la0B!01a}PFUHLnJ zI}UDe{<`2k1UD%E@8CWHH#GmJ;7))WQlJ#LkHHNu5CQHaxM2lag8KyA$N~xAJ_R?T z0QK)PaQ76T{(TPa?t%lseF1KC!FJ%j1UIVSDsW$cyRYChaHqiCTktDzr@@Uaco^K* z;Kmf{0`41d;|nze_bs?_h30|#4&1~-W59h6ZbIRL;C=u%sjvp_M{xHSZVv7za8n9b z19t}8d%;}>x48I5aQ}c?6q6g=zu=Y@{~x$3;FiP;V3r65Zh1^=Rx&~Z zw=4$ziwFU?G6wyN2nDyI#NFUb;2ti~4O}j8t4iht7Y1&1iOb;3;2tTt0-Ochqa~+; zvw~Ywsy;XyxW`MC2Nw?Ru~KKiMSy#v)Q8|A!8uA}yb-ytZfp56 z;7Wjdv3wqICBbbk?*LZ{TzdIA;O+poqe3@urNQm0&=_19a62pF+7nS0+@1=!_C&;j z+g%ZJE212@y%jOHBFck%sq#s16~OJU{3^JL;P%BK-$zsecd#<@eMDt&2jZyDao}Ew zqdv!jdpYiHa8Ok zcQ~H3ss-+?c+#pixOd`7t2*G`j;B5+f_pcf`kVyrNEPI!h`Qk3tAgAVkqqu=)xzNF zf%~AU30!?}?^jI$*8tq{s`20&f;(1q1h_`vKC0RUTw`z_R=W#a6L23_YYQ#~+=*(7 z!8HZ2yOtl^R>%>yA$01 zYUcrW7r4J`{{n6xxQn$v1~&-Yg*uhN4F-3)PC;-(z+I|?bxFifaR1i9x+G#4xPR)b zXAu#@%{w$!XCW&SF#_C`Iw!%61Q$|gAFCK~H><2^iSgj>0cT2#1~&>^XyTpVMuQ7W zY!2>TaJdrqfV&TzCGl}^W5Agce+4%doGtMfxN+dDNh#pQgNsNi4{idu@T7_0CW6bI z)EnIW;3AXW12+krJ?TYolfmVwn+x0&aCwt{1UD62RNWrn9srlGZhdgmz(vU_b|9h^`8az2)K&% zFN0eRF0TGp;MRbv+@Kb?N5NHTPz2m#;Nlw`0{1w$Y7L$N=Kxo=VJf&Mz$G-S0&Xq1 z>J86NdIn z?rCsIjqeBd47hrY`+$2ETym3s;GP55ph*h2&EV>%M1k7^u2GZo;GPH9Fl9El7r-@1 z84hkMxW*|5z-UE`oazT=SGK!0iCntm)6-(!sTC`aZaw;94{*2W}U* z)MgRjc7toxyeYUn;Mz2=0PZDlt(!j!ZZEiY%@={&2d-_4GvM}v>(JsTxC7wYw`>RQ zAh=E~6TrO;u4Ahu;9ddOxz!kOuYyZU9Rcn&a9vZ|gL@rZmsFaAhro4Doek~{aNSbB z1otMmo~f^bdkb8T*2lmd2G_gwPH=C7>(wSVxOc$yZT$zhBjEb9tpx5}aQ)lb!5szH zuPycEJ#crnrM|omZa}*az8_|)p{|wwc9ZCDo!QI_y6SyzHjqWrL z+?U`+r43EY$}2f>{IH@Ry=aA(0i(6tP>pTSM-HVxb_ z;2!LD7r0-+P3uN^<2P_Kx>4Tv9o+ODvEcpyH>*cDxO3oU_6!C0C%D-?z6W<6+(SJR z!TkkpZqMT2{suRv=NNGR12?~CH*gog&FeJ;+(mE;d$k643EYBS6dRYpE$&6J@ejB~ zyH-ftYZb={XC6a+#-Uoe&)W9w4I~ZIDxRrfV!G(fb(f0^A6S#-_ZUvVM z+^YV0z=eTZ-R~SYGq^_>>;Jnc)>IBV0=7s?q?Kur`LGWc%{2Van7P89$h?fbAoeuF zB00)N%))XrJBz|bTr|rsHtY(a#D*Lz!iurtIKqXQm4tyiP?koC8x$pbQ6Z!$0gRL_`(^KbOU5Ahb{m?kRx#Ec-17EC(&G;NN~HXDwkZsdG3I=UFTJ z;1YarNj|tnKDcLma4-1a(tU7yeQ>Y%;J&kd?;6MV8RPiX`#f7q@AGUOd~n@;)a~zs zo8g07;DcM?gL}*ex4{Ru*$2172e;1$_qq@6h!5_V5AGA0i_F7{S@t1bDq9n*N!CWz zXRI$+)2(~0uUNmc{%UJ!>tO3{>u;N3TVPvZd(5`Mw%NABw$Jvu?TGD|?UTr2j79X+ zSjc#DWpkWn{+ys(*w>o*G#zy#=uFU)V4-=mW?n+DoL)Xc@EE~Pg1rPU6C5IVo1hQD zdjua6d_vHl;4Xr}1j7hM5{x3t^XOV1gk8LkWfv3?~>tFp}VI zf_n%?5sW6dm*75vF$7}?#u1Dsm_RU*;C_Nh1d|D-5KJX_fM6QIg9OtFW)RFIm__gq z!EAy#1ak@I5zHr8K(LTt5y4`DB?L*a|vYNwA9GVS+~pRuiltc$DBV zg2xFQ1WypGC3uox9l?5n4FnqrHW55W@D#z*1kVsWOR$+>3&HaQFA!`c*ha9O;6;KR z1nC4j33d_eCfGyp62V@AeFXan4iFq9c$wf8f>#M%BY2(Q5WyP+ZxXykaG2n2f_Dgx z5WGupl;Ayr_X$2AI7V=s;6s9s2u=`uOmLFm6M|0(J|p;?;0uB;3BDpYMR1znYl3eG zz9smM;Cq4}2!15^iQo*uS%RMlej)gk;5UG&JvsDudie*zIf6e4&J+Aa@HfH#2rdv@ zB)CLyncyFSe+haMGzxoyDF6t4t3wmPXa$!d_=AnU0zev?pjrkV4R!pgBQPf;I##0HzdEQ^u0$ zWj%UX!c>y6N@1@6%*B}LSJQ9I^oQvj3oAMGjR+bOG$BYKXiCtGpgBPcf|dlW z2vP}J6SN^{OVEy>JwXS8js%?u(g->ebRpEFf4&u!vwW!4iU{1j`7P z6RaRuNwA9GVS+~pRuiltc$DBVg2xFQ1WypGC3uox9l?5n4FnqrHW55U@HD|Q1kVyY zN3fY-3&HaQFA!`c*ha9O;6;KR1nC4j33d_eCfGyp62V@AeFXaftUArDRGK6m2|5vU zAgD!9mENsJP=j7JCTK^{3Sc^FA~0hiSt0BIV|D0_D_F5=Jpduk5NsxRfnYm9I>By& zy#xmcUL$w|p!KDr!vseN-Xl0h@DagDg3k!PBsfj*EkL`Aj(#9GL+}g1?*xAm{7rC? z;2%IJ7P%}m6rc^Iqc8$10k&~jXdZ&R1o;WDoy|gv5tJaf1EAeaM`a1h6I3FoN{~QM ziy)DpK0zab6o7Ug9W^ItP0)^@BSB|^ZUk84u+V-40||xzv~hGaoItcYnvTX0OeC00 z@F2lM1ak=%0JKSTw3uK8!NUY=2p%U`OR%0`69G9YlpLi^qa*TGD0wTCycPNq!G405 z3CLTaqgf`SC(G84H>6GAMdBho-yP4F1O69nrBo+7AC z@GJq1TzipVH^E*43Q_G9g4YS&BzT7)nSchZ9VhshfX1tRMer@b4+LVUG(e38s8K{{ zG)j#|sr?HGp>PbLv4unuQ2d3^U_xjxAu#~086A}(C__+=pdvvW0mWZP0s+NeNFssg zUn(6nAZSd`l%NGc8-k7moe8=T^d{&B(Av|{odkmjh7wTxh1^F#F&Q$EU@8H5KZM+< z2~*^m5Yj$mF~Kr|l?0CvQ2d3gC0I|e31F&Ws>xU)C6C&aRVL6{;FRgKX8P9jg=YG} z^rL1vOGnR`o@J(8rrpf6fmRAH65LHEkD;U4bhN;ff$?3=9k=409P7cyo$N^?^7(H!(ABqOdkECy=98oSc}G$jG2NS&&V9 z;so}IInI3iKFBIJZs%liFkxii*3g8BTQf4SLzS>IICC+$Fi0{o$fcy_Bvz)TxaOr4 zvR delta 249 zcmZpXdoC+{>ff$?3=9k=409P7*aJfygB^n=N@}z76qY6yCl*cg$l~Dife3Frz{z4^ z%E-W_q3HvWwPs{sgRs~coVgfW7$g}PBvMjy5-U?vT=P;2@-y>FR3Mr+bF%U?tBFH6 zDo{0^d6^|DN%<+2>;M1& diff --git a/target/scala-2.12/classes/lsu/lsu_main$delayedInit$body.class b/target/scala-2.12/classes/lsu/lsu_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..a8bc3aa8155337e022fecfa76fd06b46a655dd0a GIT binary patch literal 730 zcmZ`%U279T6g@YeCQX_&*4C>1P@|+R7z_Fo5mYP$k}9MvzRB$FXt!==!)8{@zf$o7 ze9%Y1A0?hi@{)oNGk5OYbI+W6X8-*C@e{xU+!bs_I_R3vHoZ8Q z6d{7`v9BW4PEupTp>99%#x_yDJxq;n!`R#}A}c77O@fMEwFb4c{LhE z6Txb0w|B1It9GQ!+x83ZT>G|DK>@`QGAIkG3!Oz&1Y2`;&rL9jLt~qSxHXf0Ajr0M zPb*kPt&A$_g7rn=PvZ|dIN@kTGz7VmqwcX_qxT;W)vV%5374@(ussXMbYgLyi*9kg z!lRHEJQg%si`b5ncFjr4;RXqx#esGPg+?ExLr*8i%8OWO^kTYws*=#<^I~;imH)1< zM)SPh*Y+$9UaCY5HJzrk@*qwVUmu2!q&9Fb)-@5?yXH+y-=`HE(A*rKp7%JfdCFh~ zmr!D5i`hd)VO-k#f~9X*`Hc0CQ_wc|g8L+|u)}j@2E$csFcVI8om7sZ&zNDX@Bc<# ze(mjlCEIsweqt?)o6}tixJbA*Ynl_XeX37ziw$}D%0d>mnPiYhlX01UX2#tsPQTy| GYxoC}n4Yu% literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/QUASAR.class b/target/scala-2.12/classes/lsu/lsu_main.class similarity index 50% rename from target/scala-2.12/classes/QUASAR.class rename to target/scala-2.12/classes/lsu/lsu_main.class index 0691d26faaefea3c6d8ac6021f808d42cef7af69..8100ee87caf3164db855a422bf7218328a8eec98 100644 GIT binary patch delta 159 zcmeyy+RA2e>ff$?3=9k=462L_JUPXs`am3?o0yr$&cMjXAdr<ff$?3=9k=462L_Y=NPU!Hz)_C8gPU3QH4<6N@HV_-Q37hH5*hvk9vy zIWjZx7ixv`$y!&{@-i|yGqN&Cvnnb&I%$S6`*EvgPu!3#&kiv|g`I(ik%8UU-zC(? RRfUm((+48H*^O~OBLFZL9Bcpp diff --git a/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class index beeb11bf947ca88b9af9c2ebf52fb6ba0e7efa68..2fc7e4771c2ce21da678002ff1cf58e62e33de83 100644 GIT binary patch literal 7090 zcma)>33yyp703T~Cha)W+pKMxuIZAtS=y!xEtHf(le7>?7fIS$3y#y7muAeHr895Z zMnJ3xh=?HWRl$9!iV$duV6ozYA}+Y^yQnBGxUZl8cW-8Uxr1rHymQ_?=XdV%?z`u{ zJCFVM!G{5yhyMu7wzIiT{)My7q1;eQOC*^}wyX<42vkRh<5|nz(Ak|!#%wD9zd%jF zbxk%Ju_FRA%K`*2U0{74+_whTN1`KFq19zm;$H~n5OIoZf{@GAy-cqrIs`*A9^U0O3-UC zwLcznh69+*kt#0}s4WarIJzzzwMW>F{(M7v>{N85Yho;iGL{vNuGc<67fX*g;e^1f zf`875+nxI&c05L?%}~6hKc0-*xtOIMq{};9Tj^T}mtnZ9lge27DTg!Gk)w7j%;M@o zICn(INVf^mR3Z^~Tzf;ziiX{yqi+4-OypQN#+K_~v4|51568>nxWndluEfPoxh{3Z zlA+`TR$iw4W|fScZbu|JDiCsuBe7VrzE4meKT(`mv-DcRatP_sq zBDNc@|A!(VuRftR%?^0ue3l7vnr@B4$V-`wl&sJEVL47XEa~xcCf56Hnm}N*pB-E5 zDdbaNA?FL%gD^c0jXD;)#^-D**4}lk#d)cxw?q@>2H``uK$XLVL|{%oTRM5I>5`bI zU3UmHmINxB_Z>!qdFD&Z#{#$R=SnQnT0b8~Ndz_7C7Q9=b=xhmL~GBJSgN&wazM>$ ziDhVUfqfFowf2086ND7M5}ttBPaX)P_WUTYbN4O(*~mvq&kqY@jnc3fhU)?OlUzSgdhxIowOQi;u4 zdzr+A+WK;dF0H*nVvE*pl(n%7LVyw3c%x^7JUGB>MNZgLMhfsw(`SRvF)R#=>+!XQ-PM`v+3socE z6~sI7?h-(~F9hCO3g|)wyg!Kd;R8%ak#yP~l{kfMA(ni|?OvgRUM|I5g*$Ic3kK!p zhWJQ`t+<=nJKt#(zF0Nx{vhtdY37MMRD5a4oneM8 za3vOnek_Ow@F4TTq~sOA#|8YYdVn7e;VeEO(BkQAalt7DX53TwWQcJe39()5U;X5E z1@IYnEy^46XbiErXtAq4Pkfn}|I#lGibly5*7 zaSpy4V#MzWi2ZzjN?wF`l5b!#Vs{S3Ga1M3RNEL5Kfn(|XvB{MI*UVXb}rUGu`Zs% zPeSbUPfLc%wFK~Urqlj`z2SlGaChI}fW(u!AHQTz^MkrO=jd1F*PIN6=Q2^M2In_H zJcZwec;O?}Bh-ChaJwEMiQns(f1u5?<_H7_;)jzFCzr99hk8_2uHkmc+LcQjvND5_ zLpBZd{V8r{_eC;s_kNr&!+l&dfELudS&~}4n*x<&=7PYVsQa*x z<;-7Y_e@#Jj|odjrYj|xnUrK+Qj%FoN#-OanUR!aK2nm|NJ-`*C7FqoWFAtISx8Cd zASIcBlw|%0b z7$uouD3^0Any`)6Kl84WQczB7zaKsKQ1Rg}yiQkzGw@gHQahj*+j%EA%qI9!FD#Al zd|bvVyft6$g=ZRI{XqNJfOioUWUDfX7BgH^+tHP5kBCB8;tM@BYe;c&oaU*jqp`oc(xI4H4a$V3pX0!He<~Z zFFeNxw;SQ87j81b9Y)yl!gG!ADkFT@3(qsctBr8n3(q&gYmD%S7hYh5JB^Pj;e{6( z;k8CM<%Jg+;dMs%h!<`)!t0H2)(bB-!W)cm&I>Ow!si*`V_tZv5#DHoN4@YeBfQB7 zU+slg7~%7c@U>oer4hcsIK!^a6sE1lG-{}_ z+Q;zbalGve?xOadF??_wAJ#?V_~<#@dm25J442X{K8A-L!r237aBdu*%7dRihtHnI z<^RXNQwRS1IKGevS>qQ^V^1Z+6_pHw+VGVze4}F=-xhyspw-le-u$!+%ww!I7d@?cxeP(JA=2PnpklVt6XmcDU$Ov9|aWd`7g-UoU`q^GOx*VQhS}KRLS*~ z3^!CV+%%;MZ=RCZEoEMRoHX}z<8Pgk*KPL}zJX5iSP}^F{|p{lx(9hPaU~Bku0ahC z%4%^p>hLH(FP}z(XuvG7nLlHM(I}2%j<^#|;t|XhPhy^rN2$KGSl}DPLSF)ld^e)m zcNZ4>9>5ac6Ikkd3d{U{tne?uO8-W*`VXSbe**3Plj!i@hgJT^vD*I()>Jj2vuZ2W zR>jyN_q(i7FNzc2Wn3O{2cF@#!QW_`CU8e>E!WMz^QN6L$WBOJtJ&~6$_C0=l#P^g zD7n7!UmgCzYZVVkfPeDONAh2kXNBN*BUfbpFoj)$r=`5`Ge+?Ab+6#TD=*wO^4P;I z<2Fw41DzKpJ^tL{8n}@bJhbJ78y@xjq&_5hI0&B4@Pkf$lnS2u(#k|Dc$UfwH#mYP wt;Cr21y4(PVO9}5OXY=`jVE}n3%4UY)p2k6i6i*nc;P1p4@q6azu5)+4?|;wTmS$7 literal 6965 zcma)BcYGX275?T<_HpO6sx8aCooyA{a!H&b$FWt670V4tb{s-3r@NJP(ca$WZqFrz zG=fPFp#%sebVGoUD6woD2&M)?OX#774xt4I^$+0N8C_e=1xY{6d;8w|-n@A;J8yRM zeGQxAc^- zBk@=)>886}K#Lh}f3alRv|V+O?*f@r+!UylFH$~E!*T!@xXc+GAk8;$CWCf#P}v8cUp) zt?)LjLchihEW{!=o?Ql(C~glYsKkR@)&`bhnQQhk1IraRU?8lx{pA3;ehsX^N*8d@ zz$(SP+(5J9USXg`aS;Qp%1P8fo07#0tX7<9V2$Dq8Ca{hgn@R&jT%^|xTJyg%1g?? z2E|=%V58!)2Dfn;e9pkRiaTOplj4pUI8S+brGfJm_bLMyDDCwIE>zr&209e?Y6F{< z-D?b7q`21_*rK>w4P30a+Xbd?PS^=&3)fO}`6PF=Flp1nshnf-Osbo?s05ye!zV5}FL-h3)f%(ma zuFFk19s_scz7VSLHomg?0rlGHoLem3!6j0FDxnPW{vh6oca;F-TSCBlN&!kJ0q+aq zy?8%MPc)sjICm$oHAKk=-Qg8F=;pTCQF!u3T2M$c*Tn-NM)6_R>3rC-!vH?YAo8N^ zRwA7?;|%R%oX&!eyjTYJa1ak+jC+0_RD3Oodz7`bfGg2R=y(t(af*BVq(T(H#|8Y& zD#4G1a0Z_c2zv%w+-8c7>GuR457Fx zwJ${O3D)Jjv1=5-mvne}Fp&5!2ho79lq53WMF3wbO=MmyK~Dwobv(@)*xl8&E7HAf zU|ZxsZ}-3snTTEk-^8~<2;kcS%bRcNd7yF;s`A*=+ArAT{C8=^X0sNFjv9EtH(%%{B z>>cPg@N+eeUvQ-Pr0&T%>XrEw7eirQCZsYszX{^k_-%*{r&MLA^WZ>_%8-HIE1!R$ z&a>yR2=^xr*-~79$WWEGYR*3LRh=YbVgF~ zz0piGX)t03?^c^?+jjuorynMF$4u|!GA0wpPH zk)*6dlClm-$|@u&YmlU@K$5clNXqIXDQl0UtUQvk?nuh2BPnZ+q^vlSvffC_Y9lFY zjijtJlCsW7$|@r%YmB6 ze_=aa3TNQ2FWNx#=goyG~bEG>A@3$E9K!&>kGFStPqUV%$B zVPED2&(eZdX~CC!!LzmCW-a&%FSt<)Zqb6T^n&MT!L8ba4SB&$T5y}T=eQR1uxKo+qGcJ3tp%NuhW8UFL;p_yk0w1X)kz*7Q8_V z&UnE~wcw3fu;T?U(}K^@f)9Ja%eCNhwcw*(@JcOslNNl97raUfK2JMU*LuOtTJZT= z@O55rixzx=HtZX`;8rd8LM`|vFStz$?$Cm7_JUVy!JD;XKJEpt(Sk41f^YGH*J{CA zwBXyk;C3zeVlDU%FL<36d?)TwC3jjarjbKcU+Wm&G>*5P!rRHcdkh~K$A^??93MH0 z2T!82l45%$#g0mfOQ?8c45uH%nS-bB$#I;`clGJB`0PpS{y+M?%JCP*@x?rlF+O<` z{go7hl@te*;;Uo$M%y^PGmdA*@uP7(TjKX;Cvn-7tS+CD)fH2+x>8w99^z0L{FfC1 zju)+JmsGGBo`TIt(T3@%)Z0}>tBSo@Q?Rj>&E!F+D=9LS6iy|@VJe;*LuntslWXT_ zCB-#LF|kA@=YVi7k!#DWCNCsqbzP-SZm6WVsgmO6DRp>!N>;a&S^aU+f>FWWHYKY& z9+sza_iN=|UKau({?6d}*u7wzi9udB*r?$SREq~uhbQ>K_bk7w|Akp%G5@8|gGMom zIpQ8Pi3c!OJcW7U1Z9fH15|^quTc-zMi-P;%@wj=ja!xn|gzpE~@VZ56LDfPe7MN8~?APYYh{@E+|h z!O!A-{4bH)@W?NC`*|s^BHV^YEWxWt%6K{zyeehG)1v$&l%IBj7oXJfGehvUc&p$I z?@syM!}~k;ktbroTTM1B#DaIDY;%^f_h*2|A&PT zi_2eWi1OZ{k%jo{7#co0(w!8BA*OU5?-|PXHZ1JvAI=Z<=Xw{m<&Wh$&ut#)8tKg^ zg=L6jZ_m+%_)CgXLsYx+JMyPSdIs~^p>zG6JMu&M;iO0!VvJ{f!BA(eH)n{;+7z*H zFyGyq?;Kv(PVDxcWBs||k-_{5LzEspH=G|bCif(a^1kD_=IlaiwOO^jywtW9TG^^> zc5~JA)VZ{A)JR*YRGC$3E;fy_s^;tt@MlZrH%aiXV*a73=~b;M#ji@4R;eY4Z#JjF z?+NlNeSV7Vv-~)pKRd{u=<{2H{K-DQC&<6T=cmdTPc`sc^O)aGc!K;zK0n3vs#5Z* zzGgGYJN0G$GGD$mD8JI@_XPRMKWrye9`Mf^mM8zr4)Qnn{MI19&FA+7`P+Pcsv=;2 zr_Y}qGM;S0sF%~e|C_6 z*5|hd`R9FpPmur78@(T@Q-)taNr~YGX!YzM-}d>fLB90)Jr17&rKFPi2$brS$@Ue0 zoX?*fj3*{Gl!I zLl6JJRo)gTA`vKJXFy>#|G)}+eTCK_|Deyu(5OZ~==Zvum(<=Hw%{A5Mg8XKmUmX}TOV;`PrXYW#&)*m1Z}Iu(g8Usm zzdA5xmhATVO+o&CpT952KkW0*1^GvPesy5XEa~?7O+o(EK7U`3-|zF!1^I(MzdA5x zmYnwaO+o%O4!?AIu5sbvMZ-PmdB-rDETaj33qPh#aBvVVGdP1UJw*=%Wb&q&4o6@8oL)Kpb%%dV=aO3j{~n^sX;I%Rru zHoMj;&rR5}ue8*fRMym*HT&ArCCxQ8)6H>9tE%d@fj_rw--c|eebc&m6So{{Xg=0G z+_-sH!|;Af~O^Ldr3-&c$edw~uBU>h~ z=svZhwtd0A`k{_YrewNdUfr>~s;X*Yy3{&TmfCEVn5)Jc$eZQoTIU^`KWSO_f$_uZ zw{9~^+EPsy%yrY*i|?Aa}V{R^EB0f8Wy7C8fJ2)J;^Q;=cJ=yYJZU^WB>dHFU48 z7&qKo-Pl_-JJq@%x3I+=TUu3j&`9swGViQBv~*P{PgPPgX;$-t^lq>6J1;jvZaJ8F)(Sxk^I!{Rdq+Qhf7PQr)p}hGG}kDJ&Sff za%{)AJzMuL8rrmO@rDYda}k%7rIwjVmsXi(vuT~lWV73so!mEdd(Ek=uU}m^dGEm9 z`jZEiwxQhRsY_DxtDJIs7G=}jyDf*$uPe6_R%L15jOv;eqjXy8 z)X^y`PUgp-UpK#c#nIJM+s?Ed!Xjd(L2+u0pI%dQswKOsv}*SFjgwKYRG(wNDm680 z5BIDZx3_O%!_l4u$~m}bsA_iAxvA{$nyMBfb8N@?nG5$X%D3#0$2K2a)YE?bSi9M3 z&RA#0mRC(RtW0UDe$3%zLz}LjHlh4*-LXU0qg_=!rewT&7-i(YrDHCuKfU?-NoN)u zY`nVX`m$pSE-x)B-ILnaR+lX`Q){cTR^#xA>&w=cU%LEAEBR?1`zc#fYF?uJRF`kv zcz$;U>c7~pe~oIlMD6;`hZO#%S|5MerscI~=2bW3^Vd&H0{3M;?(N$*Pi{EUlBu0J zu(uI@xz520zZi+`Lz(mA%P(yhTD@^iO>?fwjqe+*`MLFdQ&t>l-7VMmPi%nRl(Akt zvx&aeEwyLm{uM{}U4Mego8gpaUZ2>Pt=PYOWOZiV#B#^a)1A29e#98VIF<~o&P;Bc ze{fMh+M#;Jg@31ZY6|==sbBQ&Ydp1SIs50J;~(o1EnME_-CgVZT|8TTJTp}sEFW3Bd-0ih z`x{U7be|u;`QVkP*P(HKJET=R^fI0q3->pj*_Sy#V+`<~_wm$p^6%Y!mo-p)pOFWa z963O7x6O~c=}!GOAFf@m`d9t=GxH`RZj)tR+#2f`Puu>L`L*4(d*@%e?8x>R+zxia z>!;a3d7VQ$=Iud$>g%}v#Dtm_NB^?MyfwRe!`6K(j;%&}l(!nv8CTBcub+Etfsy3) z+PiXS_4wiB^sL){4gD?GADB=vx$(%R<p|j_jF1ery=XmY%BP{x@yUf_;m6 z+v~d~G12?sCr+jHFGdtubqDClIHZ-s;btxmzue#ag{C2IrUWI$km4uJ>k5W&IaY%m&@(pe972s zxIE0OMy2Bir`}88&)KIoO_@ECPt(S8_z zvEJ$1yZqFq3N>%^TUn?5x0LMdt6tgHig83EH&iG`{!VrsXqIaH+_&od?giO!zM|C_ z@6_YiKt`@#xR1tjYZk`$erumo?xia?xbujdvb=ls(vqw?5r}=lu)tEmKU$)2JJ_F-9a9r+{2YY1KOrJ6_9#VhD_}SCGgXT}8 zDpC%~<$O8v1C<-Ge_%QCm5xT`PJ#R4QQVsg@feQ^8DkDGgv>KZ97Euo4jE_ z`8Cl0RQ(#xjJZ_J?=!|6T5@U=+F=3a+k(_BP}zA8)q7&{QJR6Ypf|Xv~IZi z(2lfW^6%@Dtwu$tJ`EhPYk5QH`s#&QkG(c~-5LvZdQ= z$}Yt?(A>Fa;83+Wp> z?ljUhN5?P6cu}%$!Qtf%*8HX!V=h@SRD=0-Yk8G9(^*#@NYpMXpR}@jJ+G_0^=5=$ zV{LZow^Xhls9tgg>qhhk_+z`Cj|(4X-G=p8bz}Fjih0!Ej^q!zigB=uW9%tV{^@_zI$5_8Y$(6L(?#BW^?JwSM1F&&AESM>MQ)4FfjHE5rz{wWPz>zAT`>}@nBOrN}=Z(n^s=CR#~_pXSlR8c#zfAX@j((>|4YMPgKwbV;o?=-JT z4rOYlj$M}DbadYOzFiH2HPzKk$7`lq<4Wu5d7VJx1IPcC!wp>uf3}SAPh5Pq)#*ol z<0q?n%y;U6b<#{4e>W{(yti+k8Yhh|f1Ty78@zdEZmPOs3+mDQbs@b# zdD-yzjQI-dqm){YI_nv=&P03}*5n3?JDPvGo$DrZT6mnp{6+IR%~O0`#Pbx&t3N*> zNArVo-Q&ztNA|74`bERvK10@_JigxX<}1XhnXZZOY24`RNL17OeY7<*?kvyWwDGp8PDs~el%aruR|QsJR*l_9<8okxuKlqp>@}&d3=JK--!G{qa5** zTsWzzJAYm08T3!-=8qwd^)J>fB@-(SH7rK`u`Wuu`6c8JqXco;+?HH;=_KWcoaN;A zS7Y5Ev&~qa=Vp}boxfStyUxvTrhFoo!*#%^_U3srk6~QPmhN-&jg+_Q+iPid zH08%F%5PYgtNRbGKOFzK*9Sqrc-IFs&r^NZA5-m$>v(m2PW|3#FVrh={o~X_t*3Bb zAXHuh`s;+OJO29hp!jmfQMWwyr#p`N_0amQ=Q@mgM#OJu-|aI>@JO@XeTupE?8)Aq z&Yt0xfxeSHz4@&thkFM4hib#wmfqaZP*RlRVPI5BcEDW(BlanYohZiocS8=}g2L|r?0 zy0iDh@vcF*XqF*TUY4Fr^HXeybai*g!2}4iMYio1; z1O2siNl|BriTsRv+hD#c-(8y>92_{49U2;-mTEAB4E~xFOAJvK5P{+TTpt|RGJr?$ zXNPP1a-D+%we^Nr)aH8IdpOUM3%3Ln(AfFG?p!B5OgDQ5(Ab_{^9cDB{FHj)-*LLP z3ytdiOfiNZ%lOALgB|&Pc!G0XeYuVkJ-xk(R}toUDueXk-w>Ih;eo+?2R+Eo_jjNU z9fO8Qvrew73z>4SOhhe;oD$5h^fC(2D*P^4nJs#@x^lzVQQ-VWhI@e5$b)d2) zF-rFgZW{)K(j8qYm7RSjNw?#8Pe&i(f=e1YneT*mG#sdUD!l_Fa0#n)pP@3z%t=(f zfDc)RpMhP%(=m9wXSf6WUNlme2_uC}NFMLeND{NcwaF$azQct?L`WwrLY%7JuA$*b zyN!h*R87@w@MO=)yh2vUCH*9O9X-II>OBf^@9-AW#*;ufaA>A$B-hKkP&&>GVw|FY z@#C_2V4xR4fJh946$n2q;In zT#Uo*9LQl<>LeEgWIZm+CRK@R5o-39v%^lbB^4%@>4aV-2TuP;AB9@3{~X}<40UyO z_MsIJKz@d6icLBlZeS{DC%9D=RBVJ86hVG$au1-2QcKNrw&8RR^wKsQ%yJ8R`uawO zb4Ppg3tRiU@@Mm1?fFyi`jL2&E8~i}W0=#osdwu@68MQ;$71k-zSTI&;xtClmQKeU z@0Azn$&nv?jmlskbMuE-94FMb)esZy@F6BOOIQ*H-BG}o1d}Ao&?l)k)+rG;S0BnX zgmQ~Rxw?>*`cSSRlv}KF&NL7zHO%9(!#qY1<}r>ikI{sAj48}xWMLlTD_@h{neEuT zc5_Et>z1`Ndhjf}bL3=ieldb3jvD1PmoxnmuB1_m*i8m$;t z2TwbTgg&)v4ueXYzuItqf*RzU)rn5d`3Z96&Z@+fstQnU*LSSj+O`JJy*yhRM|t|GzN2-^nzefs07pzQ>J)yZ=H#g$KVKjDy}l#- zdqd>+2KBp5#WI45=3T9AYdW$Unh_{FQP;G?*xQPUsnW}IY{~9y-HqSDYVX*#V<$Op zU3OR7PE0x~*0L=vYunqEZx(5I@M?h^W}N~aCdL9DkQDH+Of29n)$ke-Zb5u&w`7~! z)&il13yc~r&5eZ?fK$T-QVkbaHC&+8aDg{eug%%^O;LXmFX~U?Mg2*(7bTQ+qxx9)7m@|hWm9>es$oohSxw63Av#T?~9hrw+3=gZl`V$u_p^Vjuv)Hg>FC-@0{+3#mQ3W`{?suFQrM4hMYsn$6jc z=3VRD!641~_KsF`Sm|ac;;9TJ+ungLZHNi$vh6$3ad)oWv18Y^ogF*Yu4&z|wgo++ zytO6UvSDpUJvj;3DxG}5XB9yf4N@Tn_2R0agyXrOq4thFSzsFLimlBChCEM@xa=|4 zp7N_R@{Mzp6_4E;EIQZ(X-5>z=2y^dvW)X%xX~OY+od{6htsyDqkX^c7S)OX3Qvu3 zB#4FTQM)>vty|hqTen{AEnC)fw88(r01s#`lJ=e1ofxUO>aG@z#7@m!w-L*)xZ7~V zB}cf80w~+EEt?c09Q&w}%b*&fcsJ`?O)*pT4^#&NuHQJvHB^;A;xsnb%W}J9-vnrmeTDKscBjb3KN8?VEN8?VE*P!9ixD%D5 zaVN^7aVN^7aXiTL$MHfgjpKz}8pjK{z^UN^sfJ7Ac%cOv#|ybMjt99kcG5T=!r0mX7+C zeJyRslvAcT3$(Pf!@5l6l{NJfhT@JrTX(F%sG;=SuA$_3cu;aXx9-fg(ZG$CBNJZU z^+LstwOH`&M2~ltNhyNu^r13`W>=)09D8DC>*lpPI@;E*hyAsfhBEYC2j9f25J74%Il2{8KEvVO1gXcyQHznSV`8}3^6I9 zPVd+380p^$Pt_(3G*NQ%)-}7@*4ENpw<5AAWt*`*Wo$JNcxif%hp$0x15s3QGB=p( z%i~5PxnW2()`38iawAJ)x3Py{?Zr^R5i5Tip;>1)Iq6eWA&qPa|<7 zjf2Lav~j>Vj9D|^KZMtbvYnmzp`jk!(=f!+p#6wyQL9IcTpC_DinxRa46#0Fh$|YX z`Txgqmysv$bYq-2m4kire#*%}i8+Qti=hY23+qfZY3&M^qP=&TI z(UhsGsT-K6($mB0_}x(lRj7_RX&m2$jJoF8VLK3X?0_n?vn(u)tRoGoP`W8BjjSUL zYAnSAcS(2rrb(PZ(p|Cce%wZ)#=8yEQ!r{FW;+oHQey{!8n#3C%WUK25jzlb?SRzS zL5zh8M&4BbsZl^Qg%pr?6+mhf5H=wNKNK&I8iII9gMu^lXAP_3l{MOUoK{wz# z`fTGM5IOmVc|Tba0W{?;64@s3MsduAT?$paa8Ze5s`%o4G0V8S%zvARqA)crIL(O zDv=r^hz-Yxx}UF%{3v3?52XfeC^aBnGJZsNkKhrgGW4^EAwR@OMT3T7#y6DjJ2`xg zE&V!T$&a%JZJaek;*9dHBeZeWfat+9S$cfrKFPz_i-7xcq+~zfNJUczL{-EPCIcAy zd&H0*hz;66Y(TVO=5rAFPj~uk(}m=JLVrW7hO5i#Y5X@fRQ8xV_Le;l;U zvWUJPwIo>xWii4qI9yWRX&53k3JAH7f**~Gwb8g3p%qf_qmF*klz<2dDfq#)SQ}i6 zBf&*^w+`CiT8u~umEZ>v{iK0}hzKe8!LnEzEQ`_ZAq77q=qF7HXx)&4-|&mI4Zj%8 zDXDKdt4g#zc7udW_-#+Zg=H>@v_0h=zY(cXh_w9@zwL?CC`8(RiQo1_Y7`=Ezr=5Q zA~gz;wqN46J&_uPNZT**+nz{`LZt1N_-#+5Mj_JnOZ>JcQlk)Q`z3zc6RA-^a|T;_ ziQm#h7Ah=_v^3?NmL^i85NYY9eoGUnQHZqkQop5%)F?z+da2*iL~0ZwExpulX(BZW zk(OTSw=|I&g-A;;^;?=qjY6cQm-;PDq(&jq(o6l8CQ_q-<_s_L(9e6qt-sW7eIg5u zG)7vV@=og$sZl@^2d)t@!<`Bj+31G@ks1q;aA@?yfk=%)Bpe$3a3E5nfHn_c?6S@C z5sZF(G-~6ck%FL$cF|xIJ)P_A!3BQqOb0AIC1S}B9Hmomz1bKE9Ll>6)&@=^qQk#C z0srFKIoP4;ch`V6kQxy!{tYe|ctON~A6O)+aUUWjRH7e5joKhu76~HCI}nL1EMXY} zBUl3ET?K8-EQ`bpVTFHDfp4FjH0I?5|%|G zf$}aSZ6qv1^M*?BTb_Q>lz`?8DflhDOxx1SA}vjM7m~K6n<6buc}IcBLP$-KmZrR` zpl#`3LS?<#0px+&7qly?=hE!`ApY0A3_+LmsLv^3>i1#L?=MOvEj zu7b9un<6buc~?Q((oK<;ro5{Fa$G^Q)-6JNV)rO*QB;?k>Nc7AWz0FD+hmYRx%bIH z>kOftgND?7GV^OuLy+cH>vRwiVI43$9nd-*1VvZ}3{OWNF?DGFkPb0i z9ilbm)S;=vI)ON#A9Xs>IH(K60ns|0XdKi9;(%zKPBaec0&zgJPA3`%b%8h_TBj3@ zgStQ*5UtaR#z9>m4v5z2MB|_?5C=r-bfR%k7l;F*lYJ-D`FMsHk7s@EZdm`^JUpJU z9T7aDtDEJC6r8Y!ho~BLX^pkUl?mIr1n-0l<1{}ECT&=iE%fd^p@cHLK~Rt9qrLfD zKg4FW&c@R?dc-9IKcM*XS?*rL6%diuWNS*9NLZI4Oh;Tvyqn>JRJ(e8T+Ytzv?mOk zGku#Lew~`MrdrdeH0UI9L%jq2#~A8HIFa*Fgd3$b%bHC(b09jHKiO-D%V)J-s2Ei$ z>Yc;$R}zM~Sw6VpY7(arE~1jq<`WR1ili=of0UwP+eM$)-oy#@k7r|OS*e|PU5+rDypZyvv;H`zc6>Ur=#+QX)aGItTi@dyZlM5cY|596Mu(6Mj8fdIvgBtne%c zrfH9-X{lnrMUbEu;n6Jq9UK})71#R)Nb5eJo#8o_D98mIl&>n5!iTQ4Cmzm(P>?plS5#YE|G0$x>}D&N_O z*9<%H=ofzJqHd1J_L&I6S95=TjVF#7BIw9|3jwyKCLO$^MU3! z>hC7?fdti^+>ji?HkGbChDgUDTy3=Pc1`gpG~~XhjPkovQKRk~RZ_f0yq1i9MHzM2L8H=ol+Aq=osZwXqIGZ(A-{Mf#p}fD z$<#O0QbaMshQ7syzKuTLt}m9>uA{3)#aW`$l;TQPgLAr6Fj z|G^b8S)Wn>%YB=T5z)orZYgf(M*E=@Z(!=jQoNC=pGfg0rhX>Ho0XqUN zruwD$2~#Jf_$gC^Qv8gmVJUvj)M+Vx!PHqPe#z7|Qv8ak^HThpsq3Zq4O2Ht@mr>z zD#h=Zdb$+9XX+VJ{DG-wN%2Rfo+HJdn0lTRe`e|hQas7j%~Je@sTWD{SEg>0;%`j7 zM2f#N^)e~`!PG0H_$O1ZlHy-Xy+#^>sn_9Lc&2WbhRM_$rC~AkW@(f#^;T(=GIfVE z5=^~A8cC+!B@LUYJEf6g>Mm)dnYvpVWlY^G4awAf(kN%@{nDsl>Vwi4!_XXtK%hUtX7{}CSq;UyT4@qM@Q=gN@1g5?qjfqS>ERAZWzATMPnR-MT zlbHIdG$u3km^7v^^$lrU#?-f@aXC|uOXCWrzAKFyroJzYsZ9M)8q=8iu{3I#`iV5A zGxalR%wXyl(wNEAucR@HsozLrHdDWo#vG>pAdR_9{Ye`0n0itg^O^dqG!`)RcWEqS z>YvhBq^MFMjXI`GY1A`SB8>*764F@Alr4=VOr@oHg^E^@grZecD}kslAn3h3&De{I`4yO}t0JsLU$ri7RK18tZ(zp~ zbE|wIh{_thuN}i0y~Z6wjNa~!CFWYwHa+~}drli_p;yGYz#5&0Sq^WGv&_t5GR_O- z#U#V;nX}|9jZL*~48FD)U$id1XnlOqhWMh6tKw8^NqnnIV5eT8i*vejOfRLfh;CYr9BOb8LI1UR7Q7%h?+65z z)6KhqlMz@*H>yStOt=U>{|)nt;PYNQzX(2l(UyfS=wZ4|e=!knk#fOC@Q-d_Te|6% zPib&YbYL;veB~bI(7J|Ybjv4KhFLcja&~Id|!x8@Ph@xLwpun~Q){=T(7 zx7~HY?g*;WIoMp0rc`4C9olixf=QCj@?b$-|8WYYV=XRPu6OdsMa}uE+9DndoD*`< zqUltSK5xbVuh55=N<)qj@Ym-|3s#m2-nZ?;lqxToK*)u1;<6 zXHb0;!(1?`FDT>$gX$sraE2wu77c zS$sxCi(EVfMK5~sR482J;;B%$$i-8ku;X9t;1!4k#$4p$snBwfi>E^2A{S4E!bL8g zg2Hq?P~_q%DjG9>$!g5_CDEAiOQJF3mqcU6FNwyCUlJ|i*MhTwcwD$lvlhWYK6GRd z3+RS4I_ZZ`&tVzekmkx1AJSYYnljY{p&R!}h=zSWj)>)S>%53uUm#SQgKYd>F`2@`BUA6 zQ2S>usrJ+jOPV8^7@4l|!zVYfoNgxe4s>D>U5f|KdAhJC{NtZ4j46C76wB4)@wvOB z0$ZH;V(%)kEvfsv7f15NpXOw-$-$g zsozO)h^aqFahU7zCn-9ZdQyrbO#M}g98-Un;wWqUGu&Ki|C|(^q6_Xz;2~mOi6ty4 zx|u4K;uup&DULIhlA?#HGAXWRs$7Z_OpTGEm+e(a(Z|$SDf*eZM2Z2Hn;^wW=2c5^ zig}Zy7-VXS6hr*$I(@ag5;tW$WY15^ zi7TaeI#a8pxQVH&q<98X%~Cv*HP%S+ET+~;@oc6xNbww|HcIharrM-<9#dPScs^6x zq<8^SJEV9aQ#+-&nW^1U+``meDPF|XekpEc>Yx<2F?Cpq7c+H4ikC2j*CeU}qk(#x zV}-P;tPFM_C9r2PI9Bbz#>BxcxNUjqUGy`ymL*p9^!E&}3U8>|EfZHKPNc=c1m0N~ z>z~iH^Ef^pK|52EiT<>ho#;)Ac_dPyzBkc5(qG%3KSSG~s<2PT8(>vq;avf|-CXH5 zp!;2qWMTvmJyDlU=e;G)q!OnSXYpZ7a&2Hxtq%&W5IZvqXQ47?P^>!8F35+6(_UX;KYS{1I7cmb76VDF}lNLP4y zgQMRq+)8{b@$q!xqlr&QV+GEbMBIJ~V~Q`v?UqbnH)nzmxun@8aOR-Xu)(7oi#7j) ziHFige**hG6|&sO5C+r{c)6{!`_AAn|o72U||PIun9q;#>5V z4C{RCZ1bJ|pDOo< zi62q9SiNSs+@Ii`73xDn)bjt?BAqVuv&7G-$X{TZ!!$EL5Q`U`Jg}L&^c22s1HIz& z7bZ!Zdy9Xo++M22{gxa)3zqmjdZ!mh9$yVte@rF*koXgR*YvPt;zhbN|vAj;34Huq!)CkGQbYSQUh)+SYI?sW0#MMJD7mcfjKBn+qt?Fe*bAvKDL3M)UL|m7!#-+F#VQMn2 zMwq$`uz^FtMjBq&;5A|Z#Ciw+Vv!U6u}K=|80HLVT+h@jTqLqxyyVJ(PaRw)=c$g7 z#PZ%ieN|~l4VYFm(lOo&N-Y`}sX`mozkm_>6%Ft-F0qk@8|-&{7H5~kD3B_nNDUZJ zG}4F(Zh|JPPH>_#N{jpqUo40&*}N!MU9WHfXAk9jaRMnV_q@?>^T^rSWD;+d`k#y< z7Ily0hEx(C0+z<}8T%$_+{_f-?z|=t!SJ9zrK&}oha{Z)w}&xaAtpYM+?ocoZEzrb zuN;WffU^{hG~z8>T&+`!(BvLm8FK{e3yt&2WghH*8z>Kc$qC5=X#XUxiSk_NVG0L~ zg%Ny9XqAB-wYRc=J8}1e;pV0BGUj1IFewfaYD5HK(uoC}0}(N}R2r{ly?$xDj;WKR zgRo*95GI{Pz&Q{R3+Ux6SAeew;`csDn~n{b8Wno#P=CiflXs_+ zcO~yZrSIkU{i7GbT=jJ${pw3^i{Q`o$@?M-WqjTqF|E{}AK2gS48%6&Du~i3Vxh%}xFpnfwH|??b5SXESJM z;5X?|4K5x;L>V2L%Eib)2?~q+j_!)$8*nR@JK>{&z*Nhubv?bf9#4KP7?}PKDlosE zNdqECI3z?{|D~4bIt!HjgP51*%%H?8eZ9(AWRBW&K1Te!YUK>ExkE6!G){>0)z0{ zei~J3lQwRURC^VQ{7Nxnm!<5qEpZ!KMZH~tThnTBX;+5cq7VBboQt?u{fOwYGijI} zOEdOAqEXU-d$y;zM7r_KC065;5#F+pkL2|~NJ+ELw4(O{Rea-4;N|vY+zGU&U}j~uXyc{SBG{d=#~A}K zPt%>2+LJi#U)rYOT^*^s`!P*ifQZfPtogLy`H(a|$ui(G5a)70Tu|F72Z4%m3P*`j1r<@Nb?RJd0I59%nyU6HHd$%+mVWWFdpt`jy?fv}Q0dfHvkX-=6q=U^l z2g0ON%Q*)kJS5U7+~f^JFeS)c5ou|hg&q7TDqVZmMEg1JX?h)5jeTmuKwB7BI-UjHZ{6(Z={(*_UkbPT=oYd5M>WI@r0jnCB;AGW z$46-C8h%jPgLHfNbv88{eLSbP7OP2oDh2nv5!5qq_fc~rzz4R24m(*P?GgKQ8ph95 zCf<${lP>1f+FV!e)>eEDHtV_%C2$v!_OVPA*UT5!>&SE;mb zh_ec+qg9aol#D2`v7nz3t3BZMr=!M&cRG9@`QOe}*Pr$?Qua;uGwEVA*WWzS)7!NU zA40#tQ$ie1gVky0!j^z2-2H6(IcXSqE@G_+O4T??`}qjaKKkTQn+wxj_SI?b&}d84 zt@rE~+Bc`|7udJp*-#M>e(jU?trzJV81>NOu>3-|F4~FsYQJclku5jQr2R4unU^DE zUXc-{)V|X$6s7pMEr0%3fw`In;Lwe_6HC+#56|7)3Ym8091wU1I29T$vlAQodK-&R%&3yz+>0rBKOo3HhLE>IQ%$9 zxfhjj=0;wa)MH_CAq@{_5v!hzW0jX7^i7V)jEw87r}3im>(~^)%aCstNFn?&Vbj+* zD1pt0l_l6bz*fFj@Ecl&l(@O*yBHDOMr=1=-6ya?ff4+)KnngKE8N=j0gH$g+|qdl zTlsbLH~P*4>9S|(GZSIm#TZ}Ox!gZua}HB~E|6kR(pM&6jBG}%z>~XJ7ne7?nZh0Q zh!lI0z9d0b3Oq@lXNYL9|LDsQq*35M`rJZ9gMCG&NTa}4i{Yz%3SSDlbIz+iml{J$ zr-M;b^qB;*!LFkZHH3>=0@v+fQ{%DsgiTE>kYd--M;c&^Y)2Y;xN?Mep zaEm^Lot_KT8`;U!6&QwUX_p4BFePcY)(w>#R9P``|ja;Gh0u?Zdgw6Sc|ITr5hwrDriwTJ5Fgr&9A$ z3$QuiB)(Q|qMhL#8%JMIhcpFKJEd95)NWia?&%rK zw;~EK&j&7OiMz%*IcbGV?M>~&m2YZ4ZU}hoN0RZ4gb=s!#vtpn*6R_f{4MU=Y97Pv+a*Ti2pq@Kk!c{XbDoQx<--H4NW zILe-Hi}g{(2HyoYv*ImKd{IWo)Qz^lHGjCA#lHAUSp20Be_2M9lXz=Xe2FjqDi(h= z#9xyU6(qhfD!$Ygzn#V30P#0w#26CaWQ#WR0cy$3w%B5et+v=^i|w}9VT*QK?6k!$ zTkN*Q9$W0S#Xei?x5WWl9JIwDTO77Uhb@lS0zGW3+r!lT4LlsI+kkHK5v=gNI1l`y zI?u;asgI^U4hdb$L-~``-?hIf^#@X^Po+MM>pm9Y6F`%x2kC2_%yM>N;lcXAne5Qe zfYT#Cn-YstpOa>qhoLWEoIZL^rXI$E!`_+t5-#X{4Vn6i+AWoO1k=~iMf_i#o%(8I z4~{pux^8gC0ou~XKkgjxclAw8Jw_uMZXDOpX1v76@F4rm{L#TGEFl=xB`Lw5#H$a*EAyUZ?-rp&52xf+9;al~OOsCYn&U9LuH7p}-k(XvIXU5o~8<*in7uDJ6 zj4h7Y;y7jN7TM|Xw&;=OOqQ#b<{YLbNpm4nQ>0nX)a6*Mcq6TLd#2md)tTnu+hMwh zaU+%Q0;OxxQ!!enr;SOZu_VJ?ps)qEz1%BGHF&3IWJG0pCbnw~;yB^Kp}b7bHdf)P zJUvGyeygsm((_<&enwQ;_uJy?B6Z*`DQ+EPx{mSGL%ks*GU=HzX|u(pU^QmMSh9#` zED1Emz~Byq*WbzdyCA$fBPNpmRjj`c zto<2LtuEJjLx!C`RA9@SY7DVD+G5_gs~R{)1azd2Pz2;q^ie}BDsE374_z5?X&Ot> zNR|C5E`5v(IgUblGGdZO*q`9iy)4`Z;r@)6tP%F7xAZ9%9)$2vMoiHN2O9n~3!j1T z*^IbMBfQLSrfXRk3z_tF8F9HrI1m#za+^K{!cWbJE2wvby-~l&w|o-|KLf(g%!nEa zfRAzjJO`}jX2jIO0IK&IU8Y~a`Y(j=%^5L`^dDyZTfw?5BWgAJ0iV5;^Ubb?&;C>VERqzH{;f58rzTtJW+hu76a1U$n1|vb2C$)u*FF!jCars^T z)r^>x#*)X|0E24@x*n@droWDdR-R*AHm$tU-%O>yk;c>a=q*)u zS4i_(rYfa*BU2f?GsU9g(5q;5;w>dl$+GdPmy}JA=1nY)l?LxLEW=8J_wAKUDZEAL zKdALuv+Q!!nq^mvNtD%O#9WLx_G0DHvRbgFXT&_3mQP>E7(Hq5jm>6bbD%jlBj%H_ zRcvelSPL^^0U0|J9YGrcCK}kpV#qJah=pXLlT9oGt0^NE(Fj=d(Z+FX0dp}KVq>(g z>1NJ?<*4rQ)CyU)vg}G~@l}Ab+7K%=xB1<1GjMIIwN+V`&4{}6Ok142NOi(4Udss9 z0m1r=s3!w>mng<_4bLUAjF&lOSmu;rnbSZv&KI-c-L4MU*v{>=12)<-Vlmmkh0knn zY2Yfg9%ZA)z&f50%g87$`VVj)>y_q>qO zJx`jvrKs!$()7QZk6Vzn0m1^Kf}~ZrTJN=UM|fqF!f4XJfon~`OA*7 z*RaE03-7!xBbvxz-)4v5=0X{6E-Wuxb~O0wy0W*h{#zmZwv1Ro`afX(cYyWI3~tC3 zteYGB)mzz}tp6Se-<1(plK#(GA6xXw?#N<)-?EEuJaOZ*u0_(tMn$@7Mwn@;%Oc zUz&X5w(N(tc(yd3VD=Nz{25a}wFM4NKFBEXTJm$4!j`sYGxZz1Cd$6`8aM?d>f!O?ul9581e`m_H#q*{4FV2)o%Va8Pix=SK zXH|+!<0Vt3u$k-mOkp$E^O&l{3#v?I3ViFwn;fTnD=!(7kmECAE%~-o`Bqkgb!kSd zD~y-Le!R&ktbZAVFVBeeq@QB_sbEdZhz)2Pi*IX7JgbPbvLAVJ7F(JPwK*BlN|rKg zX+BsBGGZfHve^-MtQ5Ai#E(456Q9JyCo%DDB1@ODB}{x06JHxe-i_=XTob?078na| zvBis|RmS$QRp~|iJ6;36g(6s|! z==l*n8s!`alb(5U4unaMJ~>BN=t(B$2n#*XR5>C{dOpcH!a|QJIY(INNhIe83q6qJ z9ATknj+`Sb^yraugoPd=a*nXjvqa7j7J4+uIl@9u2vv>L*h zja>*N(a_5DrdFQUdAa4zhf&$A`VYw&c2FP_|67tI4_ z^FupE`giv9<&)*pFcXaQA00TmbD))8H4V)K?ry|ZSw6jdM!LMV9Lue4`xq{<%JJAg zQ+TB&?HBXU^Q8T1rWQ#1BTOxliNVAWDc8%yjZ7_;iKj5NR3@Iv)H0cP8dJ+<;^|DS zl!=?v3k2n>WYS>jDw!-}s#zwdGqpx0mol|ZCR>tgsI(0-xtpntGMQtlO(y%8+9H#u znc60kH!!tBCZEMtcFN>ynZm=%PcyYwCV#-xerZo)>Y%jeF?CqljZ7Vpc9yB5(mrA1 zoC~wOOWH4Gs$1H3@UO?E{Qy%}OZ#D_dZqmcQ~lC@jH#2-{w`D4_xfX|hNb;0rcO)y z4@{kv_TQPhMy5)bIxkZ;Q`gIsWa>tlx`Zh_^c`E*YbeJ+0v zHhlN0m!|^IW%={UZ_0?x<=B~;YVSGLpToKc_ti>T)Cb$j)qa=FxsyBTc^U0vz$p`3 zM*5EC2OUnP4aaiy?#6fTNWx)Oa>cjh2C*>1!?q#Zm2AbQPY2bp1$kuCd}sw;;DOa@ zbm3ui;oUt$Jus5(?;jXeM}0uB5^qur4-I3BG}{DgOgruBZ0+v_7TV>2tssg6_@YLb zq6B{lJc|2+z}2^C!cAygREiZU#j#T=#i3Iw#gS7g#eq{Q#c@+A#bHw_#j#PsD1RYn zQ>=v@93B5a&WMeN^z`|N^z)^N^zu=N^zi+N^zW& zN^zK!N^z8wN^y{sN^y*oN^yvkN^yjgN^yXcN^yLYN^y9UN^x|QN^x+MN^xwIN^xjZ z2q%t?3gvNtR4AW|s(Dob(PSeY=ys!%5%ir0;UlcRT5wPWm1vy~|18>!f!(={-(*uamydN$+#g z`Zng{c&u3RCIFo%9n<`bj7Kl#@Q-q@Q-u z&p7FWPWq6Oe%47p=cJ!^(l0pa7oGHBC;gI>e%VRC;-rr_>7!2iRVV$LlRoC8Uw6`P zI4M5+rRsx^eyJ3n{8A}C_@z>O?n|Zk*q2K2sV|j&-${Sqq(5}hA35odo%9JO{fU$Q z)JcElq(67kUpVP6o%B~u`fDfsjg$V?Nq^_0zjx9Sx|7au(wR;=%SmTD=^Q7W>!kCXbiR`=aMFcN zy2wfEoV4Ca8=Q2plP+=6rB2%Dq|2PN$w`+}YQ7$aWZ_XS*049DR_C!|phwm7-*0Rb zqHXS+dyUPT=RF`w=iPai(LQIav1{(V#=d)vj(d&H&2#6>yVp3jXWpGqu*z@2zh%Ps zhsY$2Y|`j2e-Ri7fv^!bl;4VfuYl!JG0Qk^^nhC?rW#ipC$N@4X~vaCuMp+8fi5+S zD@7$h;Y^jdpiYej)QurfgC3}%VxVvoOI%Q=M+54n5U4XAsI$dD;oz0HpspPasON-0 zo%cXpR}9qk@j>1A?}K`Z2kNQCK;f8}xSqOcG@zav^3*dtP|qv|3XhQEf_l!s59+xd zsOJ>}g{RqZL5*ricz(!JFYrLUuo$SDXja-R}}+=GjigB8kMJR4S{-%2kN!OK;gKaxS&SmsoO%J zUhjdry%;E*1r!(5s66$O5U4kLpx#sr6pkf|3u;uJdT9vMTRc#2Ed~l_9>oPUDo?#U z1nLeC)Z2@J!tqOSL5<2&uLyy9rw8g?#X#XWqPU>mGnygcwINVeC*m&lCfNlTzX~->5)68Upo@2kNuMK;c-GxS+l; z8c%&S1nP?(sE3Pz!q%MsA*e5VpuSQJ6wZD5AA)++1NGHnpzw}qTu@&hP4hK`n(rGP zsBabn^{x1zMs?k{I0Wk39;nBQfx?@&aXt0D(RgY}2-NpIP(LUJ3VX@og8K1jKrIb{ zdcp(slVYIoK5|@8KOYUK#t^7qc%Xh+3>03CjtlBHqXD%n1nRdQsNWR>h242^L5=EG zP*VuhA3RWhECvc21>=Hxax|V=9s>0j57b|af%;o~Q2!VWs1+el|MWops|YB1jXtKQ zOmj4#Rt7Qo5Scn{QsVxT6*24#%uM(j`s zRJ8}{(qf<{#RoO28?j+flRZ#Vih;T;KB!%z>3t(1PhIYTx}q4Un%JPsQT4vlAy892 zP}7Qms{Jp6Iuiml-2*kF7%04x9<%ouqgo|g7XmfQ12wxCs5!Agne#>yQ(;i^Jx~jZ zfx?^mF+DZ9m-Fr5LC+|7B1g3V~Ydfm&A#6y8*i+xtf4sSk%hZSX*~76Y|0KB!S` z0r*G=)Fuy9TQN|Z{>MX}+U|kcQ4Cc3e;L##LZEhf zpmr4lwL3njQQaMWAOvcU2WoFIP&iQ_ZtwdKfZFeYI#3MM!T6v?b#p)LsY4#9!^J@1 zoQ40Pr;d1_a>YR5yoZ>eMz_fObSS1eJy2c6K;{3Zpt?O!$BKbE9v{@zqgkVeJ$1qZ z)mscyUwlxby6y{u>i0km6a#fKKB&RbcZaJBj8Q!X`9i4qyxogtvE7Sw08U&_jp_>d;SeZq_o7*B_adFe6BpDAN7H;?3W2)W zYrb2GH6PA8i3w_SyR5$-0(Gkg>b7E_aNtTzQ0AyS_2UpIZ}*~EZ1*Ca{Sp(@=sXn$ z^>WWsuPElJSH=c4x}{4P)T=yDuPz4aHUCpkuk}E^t{AA-|4%{P?tywkF;H)e4{B87 z)DxjVf0GC5&BZ|ByrTbVpoc-d)dTgmVxaDb4Qh0O9tQPx57aw~fqLiv6x6#sQ131V z>dyZusP}lF?kWcAz41YfYDE7@DA4crK;2Ue)V;Am8Kc^{9|rY457d3dK;gKrn7waw zfgT3s?ejE??enCQ$Nq<)KID1o!^J#>L(u+*pg!t>`dBegIE*bWs8NmRKMe)?Cp=J} zEC%XRu|bV4(8Hh}@IZaK7^u(01~s}s4}*Hp1NBfbP&h3wZu5=mUP2hu=R8oKF9r$+ z_x%q+c{^gwVmo5#u)4UQzA~Dtncs&3{SnVoj~4UPSL1_v?B56Vbq~}xih=rOd{CqE z)E`2g`j!Xk+r>aV9v{@GJQW7@9S_uZi-G!Hd{CqE)E`5h@^-|U#dgHf>56d!eN>(b zgZh!@sUH{f6i#`J3u;uJ`cueLKk-2Qv>2$L#RoMiPlZAK+ynKCVxWE*AJnKk^_P&R ze&vDsbum!Ci4SU2o(hBV_70oH_72m@p8wUD`fJEj-rixe*xq3}WHc_QQF$s1>d#*D zJz1>zaM)>FP@{^ezlA*YR}a+Rih=rjd{CqER2bAhJW&5E1`6lD{#QNq_n@aN;exV^ zBB1Cf+PI)b<*6_zZC3mg77WIuRu?XVQJvV@ zFm&)ta?YfA_gVFNm~?^+M7)|Ox#o(u0H=7F$_FWvd|)k5erT{37nU&jK5Kd066iD? zYR;s!)LP+}ummM6RVA#nsNkC+5~u@8$o|JlXtr9M68yG=10y9pLBT+OC|rTW@mTzhQE}-sGWw!{lMB zqoB#>B5d<|n}uO}glevWDi;jfBM7RT@1UgiZOQ2%~y^e7VB^*~J^y=L* zpf8}X@l*lsm;A`=oS?a$}Mz;p$8hFVVzPXj98~}($vim*@lCvN|4%f zCQY7ypLM;yh&e3d4H&j{*6Gf+bq*8_wYGH)3bUNJKBKBsZb7gTB9-`&s&>N#s`fN} zxrJ4uLlL<>LRGubtJ>48o1B>Pt2TEYs`fm65rtKIhEs%X(MgJ2wP)dMMa!+yGf>zw z@%JoMrRQ5Oa0(n2N&HWUia98-x}>_aI&q)%GJUZdSkm)oh4n(~<*H^C*3H%{RIwG- zEmSO7v|a>?+Nr|26;!FBZUdE2psEwr3jye6{M~}T7vb+#{N1JizEU5duhj!9jL=t+ zKimj?l`{D{>-A3j90V3Zljb_#XT42tb}>tN^-WuEaNL}>r~qs&CqQ6Q~j= zmTp&tSCv4e62g@btAqf>(;fP{y;Bb&R^8rZy;~nZ@6{VE3?R2=wpTNoYvu+}pdP5h z-PSz?opJ8GDfe0Lk6R#hJn9tGE!~KvZs~T$d)kLf-CNj>H`o)<6GOs02R59lq1@c5Idc!j1?cUzx{SE&cBha8Wzz@g;Q$~kvi zpZheHQ}44LCX&GL8GX2PE4#pow9*N4!}^l-WsSi{*x=V-@R9$g!N;txYYcvq4L%No z-~4|X{EqcqC;I$F(cF8i2i}8<7OuDp+7X8gdZ)cw-*ZL@b@HHLec$?l)02GDlkTxT z6W_GI9A}f_c5UnaC|2u=H)xfgh^PEUt@2OfDZfdp{PTFqZ`LaRGM@5Vw93DZr~Fo} z@^9lQzfG(B`*_NCXqEpMPx`Q2LOf5lV2 zQ>R>F#8ZBcR@sWDe3w?aG@kN%waUqO%6Ds(Q}LAV(JGh4Q@&TLTpmyPeOl!)@s#h= zDp$o*zF(_6HlFhPwaS;oQ`W6h?k<@SPx*t|lB?q>e@Lr5DW39&waQcCDSt$(e1SVz zp|uc}Eg#h?*TgINV_M~D@svNVRh}MC`4d{@nemiAsa2jGPx(_?<+<^cAJ8h#kEi@; zt@6Tn%Ae6H*TqwQP^;V!Px&FO@{)MUpVcZi##8>BR=Fvj^5?b6E8;1CL92XaJmoKH zl~>18epsuVji>x2t#V5|ex3$U#;we9_RX!9?`8!(W zj(E!7)hg%WDSuC^+!;^#` EJmnu~m5;?!{-IX6C!X?;w8|&qDgRij+!s&z39a%# zJmsHgl~2V}{;5`ZD4z1qw8|s#lz*;OJ`+#*7h2_W@sxk5RlYW!@~^bY*Tqx*wO09t zc*?)gDnBKj@^7`uPm8DgJFW6f@sxkBReokX2R{8nyl>e+% zeqlW2C$-AA#8du@R{7R=%74`=zc`-q-?Yjvji>x~t@6v`DgQ&O{K|OB|I{kKI-c^s zw92oIrHl`k7CsocyX5uplnt%&8{#ROTIDyzQ?|6qZ;7W|qE&udJmpfY^4sGnC$!4% zjHjH`D!)6PvaMBqPdw$6R{6d0l+#+}d*UgVX_em>Pg!b}?~kWku2ud(Jmm_l@`vIn zkI^cBB%X4mR{3M`l&iGLpNOZN(JFr`p7L0&@~7h|kJBnY7*F{Ut@3B%DUa7Ge?Fe_ z1g-KH<0((nDt{@SaI))R{3x7lox1~{}E4l zp;q}{@stM+q&pTG<}_~R1XG!#bMp5weS7cwn4%N;lou^f=HgRcvOr1sl$R|~=H^pgwLqDNPx+$- z$|OGJH4Bsv@F{<>K$(|MdEEkKK0f6Q3zYf!ls7F<7T{Cfwm?~sPx-qA%0hg~yA~)5 zb19<)3zS9ply(c0MfsG`7ATAHDJ2V(#rc#D3zQ}Jlr9UDCHa&w7ATYXl-Vs%rtm3a zEl`%?Q^s4MEX}7(us~UcPnl?evMisHTA(b)r_5u4vOJ&i0SlBB_>}o9P*&tq7O+5> z%BL)3fwB^xvWNxB%6!UV7AULmDN9(OtjecMwm_N2rz~ZGvKpVVj0MW-e9CebC~NR3 zD_Eec$)`-UKv|1VS=j<*Z9ZjH3zT*El+`Ry*5y;yus~UlPg%}c5 zP&VXKHn2e1h)>zb0%c=9Wx55*bUtNM3zSXxl+7(rHsw>cv_RR6Pubc6Wph4dTMLve z_>}D}P`2b#cC?m&Q1<6j&ayx` zfKNHc0_8wHWrhXHL43;j7AObvDHm9v9KxquWPx%hpK^%>%3*xUWfmwO;!{3ufpR#X za-{{z5q!#37AQyZDc4w_9L1-6$^zwRKIK{qlwJ_seH;k7AU9jDfe2S zoX)4*Z-Md=KIO|6C?Dlh9#u|WAWpYpl|%C&sT8x|99b# zg-_|SK)IDq8DoL+c|K)!3zRSLDPt{AZsSwNTcF&|r%bqaN>U|yN6;gTUy9yo;*r7d zn7p*;U7XA>naf-~dJiY_UUQjiax?EYm$?=<^ULNk*XCwEWG-_ZZsu3aWv*&1G)I&8$AUJNTK~`loxg z=4L);uH-h{%;(KzZp+R5mATCAxS21Q%iNxu`CD_DJ8&~!G?%#}H}fTPnLBYaUpAMy zGdJ^9bD1CHX8zG!<}TdK*UV+^%FXB%Lz z9T`b4F3Inak@V&o^sWgK2+e&&c&nD~1raZaHglQ#@k$n>%w_J+%`BSBJb;^7HkWxI zH?z}R=0V)dZgZIjb2Dc%mw5;`vtlmuP;NWNnaezkn>mNM%nxxhn|s|G8P2V9E^{T1 z;Fg@*T;`G7l9SA39>vX^*Iee&T($F?%RGjgxuChsW4USOBC}^u5KBTJoWvz59T~}FE=f7_BzWpGl}l0~GLmUrgQl7w0S%^eGgmg3 z`4MjBs^&63%FSHOT;>^E->+dV^Gt5$TIMp(;$}8@t)YIhKbxDmp1G3ea1GYLT;{pl z%myz@)T^Dr&75wo#cr*8d*AU{%`tq0T-0U^a%h9rze3_K#Tyn2C zY>)lDM6?U6{>nucS0G!nMv;n-$G!qzez+ssJVT8Cqs zCC>J=sqI7J#J6_Z+Lg={=jX{37atLq!pG%NeRqkg;KQ0s@#$US`j^EGo#Vxg$~2|L zUU5sNxb29z1H`+;momkD>emCA;$cWXsCi1J`1(HajTHMK@vThpy-mc?qEx2%!7E3^ zlVP}HNk#~AjTR3ZCp-gMe3FMv9ZOy@PJULKa<_5HuYmZ1j`);uavkw8^lB`ZB)4vHaR+m57q4cFA;-BuU;b zxyauo7a4R(Nxt_k=_%dYB_)TiOG-|rOM+Md0d`53uS-TXCoUZ7?E9cgdWR_~hSQtQ z9TCsL3+*!uh#Wf=2l#=&rPA8ts{)dtzU}*XM=l6-;kUX4`z8GTFGz&uCJ{k~xM+rP7+D z$_T@hT1T1}OBNX?1y8cUq|@U`B}f{n;rFQeB#P@%cS$}H?VGg*X0DP}2(#C7KKB#V zQ{{Q8Th)X%Lbod8cdN3hTh$=4R1Rd(q!=k6l?NG&PeN&*tHCD&SF3REuIACm=V}%G zu9nKWT19ZRRMpig`CQHRn#a{_ZpPKB@LlaB+iLTbDuzuVRn<6UHFHimUukU2s2xHy zL-%}TxM4m~Ha-N2-1A9m%7SuOrp*cci*(N2&uIsjk|Q>PhuIOD@7S;)c^uBDi-+ zO_GyX_gJ9RHEb90EOr%KN`dIztP~Tx)sU`TU$JXh+ZnT}Yc`(v54QNM7b zBOV`uSgV5Zlu2q0D?=EOE0TJoAvn-{@+4X7cceiWksFdI2)?j3z^ocb8kt>^8hN7c zUD66dZ6Gxpt@ZLKUoPFE!vfXY8bdQR1kY*%o)twZki1e`@GLu73$k_~6G;YmTYHd2 zV{`hvO>j#M&<9i*`khT(D2TD+k_7zZU6znK_&uTuOjYbKS-BPD5et?2#wG@jkUAx) zYj!8$xt@2`}pTiuG%!r5 z#()q;U!vqO&Z{x{62)bhQZ;%HO~sce<&BeTjJ_nqnX|{}{wO-oIEw1bw?t`bTzm+# zFHw4i$j4fJiQ+U&>9IOF1C85qNp+noqPvov(6Ekf6ZR2ed{Q-R}1a!fs z3EsXr02}0^y`kA3)`j7w!@3v<>%Q#jigFyzugPNJIkLo_n=H0BB1?RM9yF4rL297? z5d!^S4D_~%ARB^#-qsfa{ZLP!w~zA0Bl{>{JOWvWKtIeMk4Agr(YT;^v@C*n6va01 zGSl&BS=e}_BQ_h4mYI!5!;Rxnc170I%6vcy&iSOF$BTzGg(wk4B#m{Jn~q4!!$u?> zvDt{U+ND9i>%cR|xM-r2kMG}*iD?U_nDnr5OiEM~He9SQACrP}K4s{a+L*K=vY4c?&PvlU zX=T`$q$4&PlUACKNfSfFB%S?MnvO|98GD0rG8>Z~ zF^)<3lw6uxnU6`qInBnTRguLcjdfO=j!CP-#v~oF*_gE2d`y}VA|~nVx7u_}3d(3U zCasPnCasDjCawOv#-vpclU74aS_Ls_wI(Lb^v9%G{+Kk|ACuY9>zeU5h#lCNbdySq{>*HBaPWR2{NV?I| z^%9?E!n3fT47-|yhjvLTJ&P!-G#7Q(DS3@YLCqq^I;FT_O1$nd0WKcmwoh1NVz=1E zl66X&VMc8bTo+>hgu2E6-0T)N6&DE84N-e{b(i*6!cYmvQ-y{WmnOzdqDH5RL1vH}CrFCeT>2Ua4p3N?O-nAF=DN4>4)cb2l;8LgV`D zLtQ=Px&HdFuD?Fed>Yq(UGMs@tIhYOulbBz|LqX2fBe3>{nGA>z`y@|3h&7ldA1L^4U(9=Z*Ru45I!<(@}q8NY{t4>kN)vaO{Lf zcKW$7oJa4H@FJC(KU4ZLD73?da$~R6bkB{-XycR@Go`P!YPB*<%#H3F!@Bs!Kr;y) ze6djIAf3{?_$jrSF8G?s$i=@6;o_I>tBY>{7x!rIbMgQ9UHr0n7r!E1_1-ej46at< zo}@0xdp10S{Xk1e6Lb!l38OQALj5OYATH~#zH1=(~l5NI2Tv)ZYYSrEeL+}JLm&_M4gcPBM zP}@J+55=qF^FxgGa4F=RL{ijk_2(pMGmQLq$#xT4FgO|2$&P2ru!Za*Th%UsdzK?C z#qE+~pvAHWre^RhHdD^wZ|c{S5`m_cgC5!SnyRhm*1}Ye7QQ=^;Ih0z<(%AdlRf3a zNw;rG2<7Hx%RL&p+@s;j&EpRh3rRM0t_2tJZ+OdtgP1Aj&6Epf%0(c=d2U#MPN1EB z9}788FuuLvSRksA2YpQgpZGI8xu}1b>*YNeDpgXm?eCb<&oJ=H$@+`@Z0V)sV&0*z zlw6z{`arCJ02?U9XDHhQ&&?IW9bW_rd1Fy5Ii;iNACKX05~PaWbSnP$2OTC6r^MJ>E1EOI%6 zc&xEjEZGr)O_wypO&z!!SePTM5LWqPA)fgNt3t%W;O&{GRR4!@5%P(0c?@B~YzSc$ zJmaEp$JZy|lR=-T=Q#WeDB%@~}bUj1uBB7*0jx z2D;?kjiZq!Vx9?cf1YRik7wCNZWQbVa{3WDJyUL`RW$Uz;lNgXxdnI5=p}7)L~fTU zchpjcxP?y**lFs{&e!+JovFy|_mrrl?)TJCchykGlEuab)7;B(CS-4f@NFjxyc<2g zAU~3yVQO1dXd*QChw}^~-i57}{#!#mn}oFYisEkjyQG8v;Q-z9Ab+^6Qb`xJME zvb#freh3~g&Zr%x4S3&L7%;l2bKb{b!04_H7(c_Dw+GI7$>%WV?TK?+e|o*pAf~I#xfmWA&E@cxK!}d+1oO5miVG z>R6wKy7xlei0V0)TB_O5{AnziW#})GX5-$c${53xxRG8r;|1pB#_2U(7m_}0ob)Q| z-;mV!7Ib;AeggZc>J92HRUy&eqKWcATogbv%7fq&yGs>*Qb35q`4D-i_dKUF*c{hb zi|mp|f;RR{c?{HdO{V-Xto6z>2-zji&6FR@l$Rcnm-BV-v~>}m4y1^YS3S;cYi(5g z?4H{CGxKfzS!8Vu%x2pflA3L6NE%67e`e6uD~#KEg}<#=nr!PQL$|d@2h(l+l&`JH zbU2g74d!*BU$0BrFR!huf;MWO4VZ=0^}AnP`Du??K!)>X zO&56Dw*y|@2X6IE zC`f;A4=m5^fjcy{I|6M-_3G?7OcUlYCVZ=z#t6TxKy`Iy>7Z2{+!u=R#z|?7-od_5Thn8} zVSWc2W)$x~4A*{N#F9r1)s}*~7+ZX4881@cztZwYZ2Q5b3J~BRcgom!+A-(+JsR(P zM8-QGnek4CGv4{gjK>yVT0Y}9-p8!*&VcbgR*mwm_nXn~M`3tWJseGO_;TK@S z^QsBI_>aoJuqK43DuDn8l3)EMyy%UEyTN?FX*}?!P+RhF z@#p_^$wMr`oMlf7e+pr3?fud}DFY)2n?Etu-t7;YyN$x;&3kuPwj?Uw@>}~hYwcTL z?c1ug?{KaChsJ!@!dTlubji(H`sEH(yG6HK0BrggJ28M;qkEt4h2>!aLGcmQUTf88v3InmhoIjIm$34 z-h!c-%nCNfmP`Cmd5J+(cEsJgE!mQ&Bi?Vz9IP$l!In8xTPARAnW(X)J)A8m*OqqE zwzNlNOM7It%zf{+WJ{usJbqgyv9`ca;zmg?)Im%=@%I}HZSrR<4B0OTZJUn98Q7O}r7VoIG-%-a7etBe1^hH)Nli8o-yLaEwfO`)JpQWNwNYCQC z8Qsyy=#~baI;k8Y^{Nn5DxFIHTDj6>Dtqd6l3TAz93lsGsMcvp9So6^Izt(#vXUHB zH5YZMM9ry-A#zi9D55GHq8J*Z61Ai;3{f_kEfi5z4pDZRT_tKwvok~rRYKV)jYAYm zV^yNIG?pQXqj8~#s&Rv?2`W)Xn!pg{q&Y(o)zA@P z&jIJpbadI}=nfy?dr$ASCI?&v()Wtm3OvNx*DDj)g(0HQ}q) zM6cSSfNF2!!ZJs-<$TqenzLGPgEr%<)=aP3;{ny)1=X%`R9nqgt@*uLtp#7T7JAjz z1XMc?3j%OuHE_k%>uc-ysk;d>_$ zzHY!oTlGoqP&@HyzjDDbw~ak4mp zC)*Vu`!-UtZhEra0kVscl6BWNz)JzLOOcZG(39;6kX??Htf!tVGeCAVQnFrpvb_Pa zAA`t3PE&g8srCh^t_4%&4-x$O=v#7sfa;gXsQT)u4g{#Kd#H4iC#I6Vpf`Y>KRf(W zrvXX18iBOr36+v&TVqhTtJ2+D)rBp5SD@vI{bJN_xg?8zyIr3fve)+L4(Vd_$p77c1?xhrgh(G*b8umEXIyfd4>Da9zi zjv{N9@#a)4MvJK`Dzq4*VsTnLtcv49yxRa(j18zLdR3I*uBZv|&UlZHGS!_BB6P^f z@y;9pF4@Ng&#>llO$@`85a4oZxe|l)niPgBSAa`>{&!jlT0%8vZd!sdXGwhesBg7X z^^9byE{QsmXkbm$m6k&NtD@pLHC-vHKH1orm$K`grD^Hl=3zFwD^o%=zW1q|WoQ{y z)BLmyqiI=M)~6}H?ZfxPdmwCN=#S!8zRoj6HCJHSR$brHpGuZI;4IFbu*np>?&S`8X8roiErPYbk1vR*jB8>g63XpL#ToW zgzz|98gaJeaL&_n!U83y0XrFScJ&*+yU*}x<+zI-C26?>&i*Wqe*r6&EYO|0^UnKK zf*}S33)R=61kU~8K{LViKn+G15G+<=0>*{K19)x?pJ1#3!LlHN$M^&j4G2~Q5zONg zOfw)@r6=I5>p&-(X^{O%eH)0M1ebrnnZagf`|<*gd#zQn2dt{P>;)d@QX|e49L{Ii zrbbTAdL&d6Ubz^!Ux0D74ccn*)OijbJmB2CNq{@8q1)=0E?UA z!rN;2uFV6P&X-{KgTGn#vy*o(c@LZuA)foE?K+1-oX2Q6 z4=N)Kt)R8+p%4#zkV?lpeG61Dsm$q_-Qt@Spexj*HB~DfqBR*S)}pnHt#~+8klg>FUvXfplz8d?iGmlxV#3SYXDV z+pCQ8&3NZq0UeXP&$LUcPwT5CzeDRYB{!fA)RH|9wmaZFsXqCrqc2lK+EC4OiZ*02 zHKL6)nLhDk^0=21LXe{;OoP6LX^{W4E|we%@kAfzcL$vR!Ku&Xc;}UX?s)_DZA=@h ziu^zuGm50sbX5`W@>w(0v768)YSN!*6DDa>+LTS|xocZy9OFC>;$0gf{5Bp|m$n z3Cm;G11^+5VDDD6m8#bb+KSPuHEqr6<*|1g+D1)!leS@!wxw;^q#S#{8Q$LQXgihq zHf_gHx2Ns-_I@+Gy*tnjD&z081H;&nb_`ZlWA8Uj+xyKh_WmPa?}8;WUC>8vcqhSd zi1J@K1wZmVYRF{^JU<9>z#=9Dl1{V}R>T!WJ24gMOgr;U^R`kmgcq<*`?k6vjvoU3 zii-TG)c7JN#bk}@%gG$-ot7@mQ^Aji zdN(V)nJcigc3iiRiG8~_?X6laH|@<>t`F_wvmBnKpo99h?Xgun5o(fl#(x5HLSL?| zzQHuDFNK;zt0mFCOxgWtKTp|sQ3PCTc~oC@0}5xtnlZzC-W2m-KXYKyrdS#J>oEU?$ANU9>gB`fK*q}l(Ln(( zXD>X)lCMLZVrt%~^tHOFjo$;9(fezTcc6-zYmc$yLWuPz8EP>-UcRO(4|6hl3ljt-{QOqIV=CK*#}&g8#SCK#vu zD&95O>l?6kQ6u0RW9S%Fuc>qlqt{qE*032bhWCwebeu{$osMHj$J6m4NX`1j#qhrI zFnw4p{!#icQ~U%vfwi^f@nsjo`^H2%Q6-&8Co-gy=p>eu;~N*l`^IDnFClg~TH);iZV=A4h>XkvKGI~v;(+r#O`|!RoolaLt=hNv7 z=_B-!5Ts^(u+%HNNq^Y2Wxhq;J6VNi#BhA4`^o3^PjuVWv*NmFCj9YBiSA zxlA=OXog{{UkdL^^XNR4bOoKqkj|&`Ly($vrAy&m=`s44TKp6AF{bzhbOGBm8dtg$ z-jx>8g(~T4x{x7VL>IB799Oy&-jx>9#VYlabTLD{gf0oD*0|Co)2?(WB3HT;&Xt}D zxKcfQIs!Y5_dHMTfa_UiVhhgz^KVIU!OaDphgvZ^FD|o}g47eGKX{za8*%R7a9#=G z{68M&OGcdgIGk7YoDR*M9lp9BGU9xd!})`r({+@m=UYac?{PSP(k=F6x=!#oKQiL{ zn8SHZ$Elub!2_ybc}xC{*(euFeo@LAMZ z$7w@qxIwTabvARzdB8;c8L4O z*Jx9Qc=JTJc-QBF^Nj{-u=myZH_c06e>K%oE9eTf&R@_KOr2NKl}w#=FKPYNbW{Cm zuBmitKV;avqTj)upiii3e@UNU)Lunbg-|=x6YsRALcfN(>ZWO{UzOH|+Dgz?Uj^E# zk+!Wg1N?PUZMB-NRx5phu4XE|hQbOK?w7=cEk*b8%Il`v>bkkM(y2Y%u=atrdXhe= zs{Ji}l2Q99`cw$DW64}&X3cWJ^$^bi#rL%Mc4fwr>q;9#PHe010&SJ9Z7a=71AjBs zR!`HX)k=R)pJpn(mab(gt$V58Z>HPoH*;;JQ`<~it)uHywf{rcF={_Up9!J1`L_Da zbX)xvrmZdq+Nvq6*uVpLZ@}S4Xl~z&^jz1}H|V*3Y1+m;2yrD7BJ)fh` zsaoHp&oNqWpc{;}Hb3g!4E3ZBP4B#^gdX*71zNnhrg#3K89r{AYN?HMqgv-XbR$#e zO>`4eXWgiG%XCxSGS^f(waturo9Sj%?LX*dM(r(hO9-{ik9xOEk9xPljCywiZPilS zR+{1CwyCz-O1EOA-2&apRQh@PJX2}isCV0RTirI-RywuKMA8@N3#!_7`U0c&Ho7f@ z+U6taZPStTcIZgzjtaC@Yi(O;hL1a@+G;!9u2x#4+nGx5pgWjK>qfmhrrYX{xwg`& zZKkbWq%W#!%k)J??VWUI2(`_()g9Aqbtg<)IRb6fwq&N;rH*>;7-rOSXIDo(cdR<< zx#Kyb-tW3mFVm68Ie&ELGB|&9Cvnan@3PyX@f_cgjmKHQh_gsACp^3?PBS{=1&CdA zm)cOp=q{$AcGKOyhJxJy%+*WpIlDW>sNAxga&79{rnTFhU!pIm<(8)}G3D-|dqS6M zbh*>bx!ft(b>(_yBTZoLa+Dp_1u@jsad^_1>#CxeWI%=nhVx#z4ERxvpbW+jlh(Yr z3aIlMQhPQVx_!3m~DSVx@!!hItTdANNy$yBM)=COrE8l%eP^*q? zt=NG=ki*wXJ8(gcu(b-xVf;u;ZLQ?+wW=M6FP+(1u_0A(gs+u0#6ym-wF=5%wpNbt zwW<@SRTuR#W+u&4dviUS$@J#EbT8AJ@qEJFfQ_t;Jdris6Iq)EM^?e9&_Kk39rb}8 zd_vQM-C-=~cIi5#JDdTV2W2qZgWcin*CJrQZfu=2Jvb(Oopk*%CVZuWa+vMGG2v^~ zGEl1?Y^^jsI9vEy>H1@~@U;rcVb<5Pg|C%&UkYvo>BZJc(}S~zua&MpW)EMhpd4mv zl|6i|S_j&ykJ^Lx(S2$UZcFzuJ$OIeukXR_*&f`{(}O#EdTsJRW%C&&U51|BINBP&%PjLR~m^NEnbX7>-jD79}i&<0lE160V3DNqLe|lFGpG zQqrxY-^Gl)ee#aUJ06Zl@_v~2l$epPV7?0ZDv25Si|4PJzq*)F;GqK33Op)i6g*h) zc)<_g_)Wp<1^-XXDAc{s@Is^DxUA4~g*J&9g_8=GDqI$hjS6=v+y#!$7T#Sr6OO+Y zi7q0G8ATo|@>G#^aNJwuts?J=8AaoY7Ajg4j(v-cE&8ySQLI$4n#JnC@kVjExJ%3^ z(WXS75>W3FQ%Wo>u>_9qm-w;-*g4seoI4rnpFAshMKahi#h#KQB~i>MeW~=V(omnW zuChsG^TDxa*^y<(!0~+9pUQ$=%2h1apj=}(E-JUK+RBD?JOx3zdGYbVJOj+`IDV%H!a;xAI$+p}tk(suZdM^{pnu4NysN zJY4PlYA3~v>bt5Rt^S6XQDabzi8ZE(88y4t99|RbS}UPe(OMMzM`@z;SP*w;F*S={?g&rh{G5KS{ro4*t-5WAnYu4~QA92ezKj8vKsfW0nvy zdkuN{F6lsQ@a{9;E6*CJFHfsMqP#MnypbxeO+-en|4r8YrvKf^`CXsJZIDKvE?bCn z`m{=zwEFaMCerKk~>pD$+w`80XmACX)U=Jn;j3om}Um%J`4&rw5i9FZKyBypV- zQxN_O5~+w^@TGf0N$z{liPh^~^PABv=^k}s^|QiZM#sDsbY%6k$`fAK*(AS3U0Hqa z2N%8-68#fBF&`;-AGBjNykC53WJN7K@2Tug3g0J1S!M4hr(Jzd{7KcUM@iB9s4c7U z{pM8%Dm3#+N%{m{6AC`DniRj!%Ci;tGq{5*Av7c<|Ab2TD&iUD^O2N4sSIB^I0XHf zW!NOi)4lSM(tl!A*y{Y*<=E9Kd1}>~l>L)y#n$YxeNa}y9P@jd>f`yT!@_(~nP2r>+sruh8T&qB5Qtf}CfKLgGM*lMf*jdZ(q{jcs z4y-Nyr%Gt&M?wu!>wjqy)-3;XMSP}_JTapIsq?=!4QrmigfeVz%17$`73{;>=r5y? z&y~DqAtOnHzly0?bN!{1f;r_g>Ul&8Y4lez7HhD-oML{XO(*GpHKVbH`%5av2D5^s z>0i-)tPTIN3hIJg8`Au*YD(6ee`zIkCQTw1&H9&DRA<^nr1f9jw5)mm7RqV^ zYumqreOVj-Z4}nIavReA?_z4!+>pP#U!&R1kwO2oMq?Z9A6YqO)0jZXkbh$Pv2FN|tsuKn){G4Mr?w;8 zmjCEV`qwBtbGV-6^v~2VKD=MpN;x=UTzoCiQX8zYy zSl85x$k=~NQ?t$eud1|mt~LJO)ZT2H|LZF5@9Mre*@S;v)3eS0udKY^1$=Y2N&iL{ zVBO$fYXF@qv>{Xet**ej!@t@HI+s{Pru~~;f_003y&<%7#z+3`e!==imNCR5p67UY zZiB{KpvRFJSuS$Kf72&k=*%(*xC!_4mzWf_R$Lz+0P;{?3zWL1{yMdo^}`(+s~Lighbz$;`;mKR3ug{&uL8SoxFksA=7%5uefb4Au2 zvy6C;?r0Ps*JgR;y?Z6=nOTOk;F;Wj`An8;T60a-J+q8y(LK2VRH#VSXL+f0FJ(P7 z%b@qcQ#k>38`+TMs`tlLS$EAc>V0xoZh$41$)+r?y?)Ba`{u4c$8?bj~x%Qvy+N^tL8T(ImZ*Bk=){)&=UjFBMxzE!*kGCF9_GB6T zzhiWb;qAxB-Ymoa_YAK!{(iDQ%lQ9Y7Xb)zZ}IjSM47d0J@%SipG<8b_vG>Fbc2mfa3wuRJ;MlJEWP^h{#fVc&p%2IIbiuq_5%l6KN@zBC=ebw34U5aXx7+ zzXiwRq>Uqn$d3G^t)nL#N0WAr4RG8?+B+`6@eb+WgnZ80q@!~b9A}YE&R5`gl5}>t ziR{Wp9&~kv<51GYwGoc{Nmth|M0Sg$o4Ym~+mi0?1#nzPdbmG><5ki#rWlc9(nznE zF>ss%wgtYJlcY~JCy}!~K>B8D566LIK(?K5e4Px;4*F%!PX=Wl1jor_aQ0(x{Dch4 z{vYy?(t`|FPLUC@>11Rq)F<{V86DS-$Z@^N*tp+`9B(7z;+Mg3BN?Bg5|MMHlLiYW({mn#3VAWl zda^Um+hkXsAIa{d1hO~j0@;_h8`+=t06CB^k;wUy$ie&t$)Wszkb?z^lS2jC5V=4< za=74nA{WdguM{dvP(L^pXo4i@{ z0+EYeBX1XbnY>ec7Lkk3Bkz`gx|e81jwjp6iR4M-gXEj!!<6wvPMJ(jr9eBSyiQK1 z+$3@-iJU3b1&%$*r=`H3OD!XxmD&x*H^|vi;P0hwlFv(1B9~4fUzCROO3x{Sw@J|kQ^W#i0B?9{zz=39!YW! zCe?^QRzu$z0`{IlfGkNl3$mFY%M%N2=AH$zys@o7HXCFQ#9jy49FXOYJrA{*bd<*yF1^&qQ} zzbMF_16lO~5CPpAKvt{3P>^i|SJ&Txvdti?UGV=vwgqJM3Vsc;tstvg zXcWkv2U&wcT|xE&$m$o`1hQ=)YgA|n$hL#5Vd1hM+X1rl!nr~ABFGvShRERF39_bz z8-Q#V$eI++1lewoH7~plWG{iNSrHjzdqCE*@XsL21X+tB>p->_WUY(L1KB>1wJP#1 z$o7M*ZIL}7I{>maMT>&$WstQms(|bu$l4Ws7-WY)*0E@BkR1kDhhlX=_6o>47fS}& z5s-B%?gH7XAnQ`>I>=rF*@Gp}>yCn~TM6{K*Fn~`#1fDl16hv}lR)+c$hw!n-uNcS zdX>Q5_!h`|CZi|54YEGT=!x%utamc@o_9gkFByB!dm!tZ0ukJO9ApDh1dyEoS^v`L z2k(PyP-*mo4?s4sY(9{E2(lq%9UwaivcY9xv~qt0vSDSrgX|Q@hL%MyJ`J+rWzmbz zfb5}ijY0M?$VQec53)}{Hlo~mkbMfW(d8C^>@$#!Dqjs`XF)c$d=Zd+4ze-j7lZ5^ z$i|nS2(m9gHm*W;kevtFgz`5*_9e(3uFw}`Ux92=g%%+D8e|hIz$oLs0J13+wt(y# zkWH@G5oF(jY+A)SAo~tvQ!BmzvWp;lq~Z#YeGjtfsTe^nfow)9Mv(u2?9o&hRos_B zHY;^7$gY5FX6jjxT?N^k)MFs~0c5i)JqxlQL6%YJF_8TPvbmLRfb1H`=2!X(WIuy! zUS*hFxqkuKg33KX_AAI9tBihi9b}6tqhI|7vV~R9uWo>BNfq>~{{z|LYDplw39@C? zM3CJA+0tqd>D{+M_IR~}AiD#y<<;K++3z4*S^Y(j{QM_5K(@M836R-A_EfESkVS#)$=Z2A77enswPlcrAbYy@X^=@Ed#3g) zAd^A1u5KL293Wd?=MKo6AbYkh%#vbUAlp!P7s%Wod#)agS}`#o+f;8O$g+WKV|^H% zW3q#6OZ{#jQ$V)4!9bA3g6#PQZ9o?k}twHTMW$UVeAwDKgF?^8VpV0cSyP z3)X=7stsDuQ8HO=!diN+X)0GvPa=OObEu6esEz5cVb}6BsI#0Qa~(bN^vIa&8Zy_@ zGtY{Qxv3#@13mM+$e7z1GB?sQ&yS3`hqI@*1p{$1*%K$z_0$Vg>Yz9&HGtVH_FiXD zRDqv-4LZQMpr-nQ7FsE2sBuBf^#v`qQqV}_f?DbeT4tr7amEF;))%zGNz` zXqAByKX7&7Fes3q-%75rM#^U@2_Hfg)`BK*DJmA%K2eXp0v6XdD# zbYEWh1biS{!;x(dlI@l=<-PvAd%bzz;iNkeoX){1*D*gR?*zv(j%*c2ww5E?5G->R z36kx0mJZg>S&@^j3MX9yPP%kXx)z*tZ8+&Va?*9-r0WxuZh~_#M>d=z8^e)J;K(>_ z zvfZv8oO1hd(hcIIdxn#411H^9PP*-!bh|m}_Hxo4oN%tlv-MgH0CpqcP1gCQ+ z2B&l9;iSvYNmrPYE}4_A3@07OU)&mhiJ4EDL4r&LYmz&Wg?|&IZnOXA5T=XGdojXCLQa=Wyp3=LF|e=M3ju=VQ(#&c~grp&y-a ze(e0pdC67KRn(Q@D(kA`N^{i)-)rG&=X%i9!`06<$n}hCgKMj6yKA>=uj`=ei0e() zyRMV2Gwwup9(R6sVRy2-jJuLMEoK!gbQczgZJjtu94+8Ntsqsf_YkB?;%vbQt5Jef z8^6|*>I+U-LK377_zBi{1gRH(f<+iX8it=>l|+!n;U`$e5Tt4N3Dy||X)aR1LVzGn z$1-3ASCH1C3{K$%X$gFij$j#Yq6|*H1?ectK0w(8l)-7UAbo_gE7Da#mSkBFA3-Wu z!4>43@Kvq@zq(*rA;^6ooBRoWh55K3pTnc|aW#hLgkEYu2;3x06Inx!BWgde0L_!Umw1bHiba;cx5 zk_QMfTpkeQO^`%>1Al;XFhPC=e}LtDK|YE<43UQlE;v;c8**{0iqsf_xgkrr=jN{TJkl__Z>Ag>!a6u8Cjsz*i?MI|y=7 z_$mqb71nYE2{u0o;w=0Mi^hV8mJ|ouPZ08~{U9Oh5uQWXfUpr^6T)VMEeKl?o=12A zVH?7BgdGSkBJ4!ig|HjpC4@Z)nFxCk_95&?IDqgn!a;;X2!|0~K{$f&D#B|BM-g5} zIEL^B!kY+hA-s+74#K+#?;#vVIDzm!!UqT|?dgPkh@Va(e1vcc;WWY-gpUzELHHEm zGla7UpCg<@_yXZP!j}kNA$*N+0pWXuZxFsk_zvMB!X%J@SSgsKQ>m}E6VQ%v^|rW=T#1_9XX+UpT}QF}3BuVAl8><`*o z5qo#oVrXxPpE>}L=9st@CSG8Nvz_kIuMyG%p(jEwgg!uOuWqja+t)Dh9E8UZ<{~UW z$Us<#Fb}{^?YRj_i{1qw4j^PSYBdI7Ho`1~nFuowI%Bqu2oEB3Kxl{1386hgTZC>1 zT@kt>3dHa{d{#0`BIHKMgOG&q0771bd6((;bDXc2on(|AxuV?f-n_f8p3phM-U!Gn1L`8VHUz{ zggFRv5i$_wA2f`gK!tXZbOJhkP%!6*#Ly6 z5Y{2AN7#t41>psR9SAQW3`f|Da1h~Dgx3+?MtBbabrwz`e2nlp!We|}2&lMl3E>9> z)KoxCh1&o&f`IzjoG6=spRyywAtWH=Ldb)V7oh+`VT57`B>{w~_^Av+d4yDiDhOy; zTTO&I2=x&fAv6IHX5gph2(1v>B6L9LjL;RK2SRUzeh32rgt_=>7{UmIu?P<%OhTBB zFbhFVw*Wsa1rXFvkKjS$iiYGcr^ zh0q$I4Z>ux1R+xq9!8jiFb#j0j<5`25yEnW#R!ihEJ0X-uoPh&!gz#H2z3y~BD6qg niBKJ(CPH0=ehB>$hT&K?6k!N{9SmU4Z!bV%R)feMP00TP#EgLq literal 194201 zcmce92VfjW_4n+qbhmdJ$=12bC5aNbS4oy-TTVI2O}6AB$we-a&(cXgTg6s!2_}SI z5<)^k3xr+*BqSk)B(y+)00BbJA42aC0)fyW@crJr?YBPfClUhkym|NDo8P>7J2SgG zGyBDVKkx}5#EQ~KG*Q|=GPVqVT_dB1#(LvI)5PrV!?}@cf9W zXEbqMiz1c{XM6jz-J{FeiQS&-8_bN34QCrPQGDq1Xm&)KePv85pM5CPm~JqJ^zt1O zi%p};NSCM6o#k^9tEF~GOB#u0X%y=%x>i!&n7$nRTq*NUmx8~C`ML5r<+-HdmnVvh zV&ekh>y0zOPn3B0RW5&lmp|X-H+%VuU4G8XU+(e~jFZb<;qn(){MEp3T*mwZgop8$ zEB-o{zrf4iox15)M z(B&s4c>LMp@)vmdhh2WNm*4O5b6);2m!FvEu|Mka7kK%nTz<2cf5zqKy!;2Ra(<{t zXl?;TMVcKb6$`w4)8#jN`O@X*y!?qSKcRckWy!`1dzuC*5rQ{(P6;Z1E`|iWV~;0aTIm@|U~(L=oFp@+(~a0xy4+%Wt;$6j()TnU4Uf$XWcH z4*q4#p9p@U*n@waE5E?Y-{kU}z5EuJpY!s!yZl7VW52`YFYxmBxcp`>f4|GmdHDxj zej?8HRlRzcPxV^hnBEB$G1-yLId`AsClNrah~L<_1CDOyo|XA)U4G8yQ=m68e;?#4xCgB`P5ccmf3?-Ks(NiEzUmPx zI=%d@F8{Qb-{$fwJUwO6E|VP}~lqG&5~$XK}HyrR4PHv_8Y&P5Y|{+t;kE&&^sjw&hUOraAkod$N~Q)y|9Q`r1a+Yfrid^*Y^xdNsyM zr=|5(hxW}KJ=u!9&xZ^_J6z5Aw)ZrrwAD{8-_$bkQM8ucyd%F0#x3;svll>L-{fiQb1rv%5ePL|&bhN{mF>lh2OnLpW zjY~;yZHV5aQ>0hEAdy&}scX_F6_-~Z(8@cvEH`eTrH#Vi8Z_JxqT7IFXpNTb7JRQs|tva=Me~Do{ujo)^<}xFen6sl% zYt*KcmseC~=IDu?RnyD%7Z(>#C{G~Tb~n|uY%DD{44GI@a`7pN^3#>+q9X^&dUj9P zTboQIS}S+xdXn(T11rZaZCh8_n2FiAt~5BVkMC|fGk1a!GiDXH&a0?w(ux}r>F(JL z$Fft;tekLO&5714C+%4>d+k6o(k88OBI2-lOJxG~OHJDail~-2B6U9dRqy<%mVXP`{ouf4_LOhZE3AX(T*X&n^INthNjm7_kfFAi}fBzotauXqju!dmE@P{Hr@(j!GgZB zy~__ZrzW3jnO$5`yfIm^wK`p_C&tRt>lD7JTlX)|ZY=9Rxp)?S-*)!jmHuw`_l!|L zdi2VfyUPyL4sp4&>~bdHc> z`3=2W)|}aeapquFPgYuZ)%CC_QQjaK&sS1E ztFE`LMSBJ+XnZ(2O+)*rc$vMrcOzY|R8F4Ur0IEb)7Ow+(0_10IY@Zx{P}e80yoa> z>t*_C^y5dTr8ih{vUjaBUStp_i{Yn5mj7EXucH3`VCN-gV%rYXBJQgL_PS3Fvc32m zElJ}Ywg18Fh3MC*|F1bSEmMr^IN7oZ2ExmBm=`sus`aT(-eyz0C}Qa^BTeQy=U zku|yYwllG%`!F6MeggarsBf-4R?&$5xqGAy@jbilNbX9)Q+Fg?Tpr-lcvW}AXhr)? zr|TW#_dblX7q-wiS!t|x__3uk8wkg7-NC_j%bK-`=x^2af_`aQ+2z0$(*osmpM=~5 zM^5n}#SJ zRQ;TOZzMApafWulxJ%=J-5)yqbg}YZ-%yI%IosG0M?1l5c&kZzhMf~h-IMj)Ghz9?`FB_IzUOjLr`rUMK?TMxudz@*Q zziehhFZFYVlDEc{%O_7Sqxj^0nC5G?Un$N;s2^7IAR7nbgRbj~TB}asen7?Lk{J}g z7=LM;QS%*p{Gj;`a2N}-m2H#FlKl;%-Nl`iB{LR`WgEM94;_G?7Ob8-0sT#7&Ei$d zS~a*X+pQ_obJwsYN?k^RJ`ub4_kw z>MS)5Wn&n})VwUf*OPYtzH4bkL(h)Ai|YqyeA_JTd1`=gEPiS4dk>-c#;?>8u z%!Yraj!e^<7LUMhdz#Vzl&@~uG^FY;%Nr+OJjQ-1*C#Yz6i5HP;Xo|Sew{jkey4cb z@wUm_kIy+?Jn=mC{~QhTQQUtHOkZ_kpXygJ&x~OntLEn;yO+(P{G|dQlsU6D z4RqEFBA#|FO~;P(rDoMH*^ldMCEBy8ZnU{<*6u-!1KC(-`QYr@o{cMK@%6So*q_5* zmo~|o4~%A}&gz>$^UgIbCfc`WU!y(G!1&o&e;ng3#ob`Kct`b;S*v>wQ2({3UY|ze z2FKYh>Q5|tgH3I-;;J9B$A^`2<4{GN>L=3Hc(-_e_1MM>&!B&#`vEmCvGmB_p7Fq% z_iVkqwg>&-?xu9(j>?LPildcFidL>Huih~wRvh0pvw2av_{hGMi#IK+sGgrvM zyNv}EC(CBl_ik)!Ik|OK%!qfD?8qFbIn)_zNoO)kismMFly9C@+k1R!RsEv9tIJDE zOIMapYn)+pTK>ZP&6-d3E!$he{hVh!qW&M(*~u+ssvgsm4|+md26Yt@|nNjrr&Y2aRkVUUl8s z{V2;`=*y9NxdG6897Ne2k0pFfQ8nbv!QOx|vz7PpiOvz=68sTQ*!l@m`AgN_^SO z)xF)%SL3j>^I7DVqWc+26-~$eRxRcwG;g-^D`=jg6=8f-y>+c(=_x4DffC%^{FBJG|#7bWQ&CpxTw9#J#k3*fCv8f-ba!D zHp74DcQMYX`wiP~eadg1`zeoqDg)&yzr@Nt*CW-pY83r_rD6Azbl=A9MfI}#NxL0t zaQ&cN?0(z!{|sEOvNFFOG|#j9bGnbC^4Onq^6C-lw>p%U=Qq?N*RJcDsIgz|u0M6G zKi8cbZ5kRlmg~=MJ2sjd8XT$eXPf#nBO`H9iZ{H4_2OcJCMJa_YhsG6c`Va?G}D)@ zY8)EsuZoKlUL*&8ii^p3Rjhsw(c9TPI10UK5K*KiCI|GZc4fOEF+&qYL}}uJpg`~N z&;ZPI47KF&COp$07qc}nG062)*9Pr~?9TO!9*&C(G*RZ~Y2u==(%MIdbAx@J0xyE2 zNHg$*CgvQ;oX9Ne&kXkAJ^hKHquFJx+0ny8J)1IvJ^k5{s+OUlqhrV7VlLnn7LAMf znuxKyCd#c>`&Gjyy8DkF?iq%Q7HJ~kWa*7Qzx{_uPj7D)0!y(Zz{>b6&`N-j5eQT( z3sx4b+e^*GC$8z8Kwmjnn;a| z4h?6!XaPiaunTqQ8rDRTbuv9Y$do!|B5G0OY;S(8lTm;!!S9ll*`i~sCo_tb7}jrO zI0w8|mVTqG1(h|4QM`M2`zRn3xAmyBq`zlmw5w+<)893Kinuw*$nJq-WVh>Zj((iL zC`OKDyWzPIj#s6BhyS+;Quu`9i$VjP{V=tc^Mi)o1hM4sPARLy*gTKH_susp7BRb8kr$(&+ zjVo|A(~8!KT+_j^0gAxP;A!B_jr4SP4Q~`!Q^95$hpDHVL-m1rk!W4h3X#ar?pTRRhQ)k2F6A+hx)V2ng@Hbr?Nfm+2d%f zgV7|{MisL=M608qt|AO1fuHVNiB=551=dAZKhEMpjKY(flEK|gR3#o8~Gd| zJ{E@(bq1(8!0knQm?*0#8llWm8N;1XyUABW=C?8x+W?H(FNXQwrzBOX3s%{T_s(na(;E$-CC z`Uz@~v!*&>a@J3fE48LLwp6*tnwkhfrlfIGM^}2?y0)%OK;Y(DwxJyLTU%SYvuoY@ z?H!xo9O7);1mSf1rY>}((q^&=E>C~+`RbKZ%sG0tOvpnE-;30Y52^y0GuIQ zAPwOHYX}!;L%6`}t3zwLeM``v#0&b9ctL*>FX&I=1^r39pg)Nh^e6FvuWVgYQ)^da zdh3?1#^#Q8%*C0Z=m|`BcC7E(-Mo&jF6Jl?S{yd8zNyI;DN#z?Gzc-3+u+q;8+?s$ znQUY0P6h%HY@==c#^!BXZAk6ub!`r|(+4e46(wdmIA>H1A3%6r^Tied<9bIkf*EP4TZ^9K(+T4_G+O)o_hMWX!6Ro_* zXJuX%by7YCUB%^I3CnX{L+xF=)4(*z7F(b8ba{>-aoJ^%c=bLOPD;~SoTeP+-^AHk|XR!0hI0OrY(x$k9}0h zW>5`Lyq$HeCYY(N4^#&Nw%<6%HB^(oUxsvuoIh$f%u(A{JO4N#Yrd7lzn>Xx4 zd|UBsXRLU(vnrm;o3|pK1O0fANBvHaNBvHaR~y2kekUkL{Z5ca{Z5ca{kWIs_T%|n z>c{iB)Q{(Lfir{)q#<1D$MY>vKc3H}e%#BYzLWa#ATQ`ok_-BictL*>FX&I=1^r39 zpg)O6_*%B5*L8Jl>)P4AzH58S&URplx3ur9K`)1i04O(Z?2HvRc2>nr8RaNOw>FYR zJmT{+oL-*T?U)epK%sISo}RaS>$WbAcS@-P1&Zy>O}wdr)#6+d9%M)N!Nb$b^%(y-?P+9uvL}T=CX4DM7HU zYpBGc*%e7E$DZhDZe8Ej)v|sg?61c#l%mZw{6w&d6Zm+^e9zokJ*{n6;NjT&aabOc zS>sd{v?kB@3R#o%i5kYC3;1c=@YvvJZXml1&nU;bv4tno-<0X^Z^r|LI8DP7hlYkm zM@EM;$24)B7eRsNmfo8He)Q?(_!K)%AIld0(F@;FwE;FrZHv~D)HZ9a6Ji?tR-8F@ ztRGJXs!-{3)eg$rwH+xTG=xM^Z*H(hY8~2ovbIwbGXv_h$*FB@umhf|ifg+O@vYm| z?QB_JMUU_b$fA@^ZEr%`qwPa<(Pk>X2Q{?=7!{6XhBE_MJhdb@jHt%i=V?-IWT|y& z2MHD)`Au-|xA=Lz){Q3W%Z_rZYvM9*6Is5`H|h0hAa10V)q3$#QR~B~nH?O#p00Fv zcXnhXhi4j^Sn0JNP%UURryWVc3r7)`@PH;ZdJS z0s|_)2cQv0JtyJEE5q62^dM~5)UNcKft+OqRK6K>{*#?OeO=u{0|R*6iU(w-_F}&s z2wHYP<=er4VLe!~ko4kV;^C}%G-qnB@Y{m0WeZfkEetf1`AK82Zm;&+fuLmvRK6Vy zPF{y1Ye|F3m&WS{uQaljG^l*()rbMcNKdtT2k{t)oc~6@5lC4^Kuw~k;0x34#c3$_ zS)r$0rgk$1DR1mT%(UVXWQZMvt4{%WTLEN<0z%5CfV`~$GDHEv<5NK1Rsb2IfGF`P zAa5&x3{gNR_!N-06+nh4pv`>>$lD4aLln^LYX55Qa5mf3UDJh!L8f+hzzT$HD*l~?Nfez`q|PaG9N}w zAVw%}D}=^K4FaJ|jZV42E_$%uHDGFA2$br^4T*=88i<=3H*SawQ9y7c$Xmyb;yJ#l zeJxO+8%8yuVN??cBg)&=3=N~2Kp0WpRtOEF8ia-Q_QC4vRCoEk08lrMNFk)6fjFvh z@&?7*?n(`@PKfF(E1YD43!HV|i&x9boZXSIkPyq=}kLiUS0 z^t}kUe+Ei+1CCTe>VT*U7{X96VCo`Z$PL8W&_Jw3v|-q@5V`MWV5&G^$_-Ic3c-Yk z%QMBOk^xh0nAV1dX)R*WxgLj2eL_Irjarfnfr2pfc9*qogc6yrumU0HRiM1pl0=3m zAhdi6Zq%&^jk*B1tQ5;q8nuN zQ%H%37@vY0Oe;czX$9iKr{IPR{S;CHTHmMO2F8lez*vFi_9?iLKtF|)faaETv0IZ& z1XB>C8yhP^W1}t*8kt53W_8zq8h7O!MoWgr?TZ?yxF`6aAG1A9xLysZ%0_AAkxJ_Wa>>8Fqq z0xiALZD}Gy6ap>1(rsxXLlgonz0z%IB104cExpohX(B@u0xiALZD}Gy6ap>1(rsxX zLlgonz0z%IBJ&mM(VYINC_0bl`P+tMti~iV#7Lm^>)qBTGDIQJ`t@$>6B(jFP25B4 zV$jQ-$n@uMKb|?+g#qGa0jzFV)Q5&eeIP6-Z&xlfEb7trC8~2(t6DH;xHeFv8z&?i z0v^HO4r(xPL%@I=H}#=$Qy+*M%G;PjOtU`19 zN^m2AehPtv=JhGKEx#(Xz3@v?ms%(mF?VORFBl=|9AX z;hCdVFNajZeuf4*%n;hyYe+qd({YFGHv}oi5a=*NXn(&B7>*9;Fdc+|Uk40F2XvSY zqQI{MhNA;IOb3DB*8#)P0Uf4;Sn%tB;pl)4(?K}+b--|RK!@odBK$gFI69!ibPyDN z9WWf7@QA5K`}=f=Ve1ebQcg9R+OOk@1Nt#cCm09So;V;nOeYuz)t)#YI!q@R2i2Z9 zAUaGZ7zfp!I3PMqCm09So;V;nOeYuz)t)#YI!q@R2i2Z9AUaGZ7zfp!I3PMca8w;* zsEMg~8)&bWb&og13mnrD!ArdA`Ho25L5O(G8lo&h1Xoqlz>G=W0m(I6WBQ`)p8VihtB_d|f){QY+ z5?euBkZRqpi_2OQo^*s^bDnF{!5^k3jb+AiDh)v$%Z&674dT@wLEQ`|az2P~voz|A zm863PEgH@q>(|8j^PA6Bj4BoN#tY115{9|?F1W&K(pYO;OeNtyK6z+3*VC8n>Z9!$ zljk?@^*F%Z;9-nr0N>0UKGZdem#Sz2R|)0L_LQ5iE{%1@dMa!K(4wV^di!(7@McgI zl^g8tAM433%bdz}bsx?Q4rcpD(8R?g1IrirEr&`=V~f#3_FK`p5JVRn#>V;ifDluD zF%&e*Eht|>8as?ODxn>XN9%Z-(k*y=?Ys|n7V_>5u%6weyjICTTj8R$-^p7Tq_M~7 zB&cw-JT!{-!usFCnUTYV0^JHF{TSYRmwJ^@RD^(6>JsOW9;AX1kL6w)UA;rJFDRAA ztCB_!t016MvFeFDgDoR*ClArXESj^b)pr=%XjHWp2d>|9TrV-wqMbViOjzaG#!%fl z*Ry^@{+xS_Sd||#j#1^0qoTtnvL~=70?QC`qo?uGyc@6Q)rOQVDmKA-|y-IEf2Dwa0R>Oc`!bF;?OX>mPO5CL%qFf|5VapLiPo0{3^I{_{1=_%4IEt z7tC+Y>oa%>hKj5jT$GobL&V)_rFu@sV=AW>qtkLbUKM95QZ0%rqGm=*c=4bm7Pu{O z4rJ1Jh4D)A{;QM+`bR7e7{h8K&hQC~gX&+))qf3ois2IjnUSN|8mP9YXktylIPzB} z(ERAr$QBZsJHz#qqwW;T=)HxYR!wfL9Fr=9&XQBU3lt}f*Bfu3`o0k*bPo;o=K8S0 z2rEgt`Vcfn-Fh_j4|N}Ha4dU5x(#AB6ly*Sk*FK#b~6ZitUyAxlrT$8iW(8|}LzqDI0`dhzf|?yw_TfR5vBJ&TX5@B9 z5s*paGsb7BmY>6%CukL$p)+IsqqLXVn7;t4DrcNk0tlpwU;)lY|Dy2-+5Hmczr!a+ zPY#XXeHtdEC2j^m@hAtySEYEnct=9$#@D5Imv}c(-^6s--b;mDf|&Q^Z=;gpJ>tD2 z{C|+Jg{%6nI89}Zjb>>jDUk1y#&?a!laRye2N>nuRY9Zno+~NdCvG95KT<|5w%4dM ze!}K{ii?l;XVE;^i;%ZwN%4O10W$SVdWOUs*Zqcm&4zx1Wq+t%75#N#PJy+RT~%s7 zg1xD&t164BPx*Y`Mz)3pyEL)S&wCbU#AJPT9xQvm8zZ7Su3b`mkQ?m}Qryba(^A~V z)SspJ5L17Z;&!I~F2x;8{ZooNnR-Tw4>R>2Deh8KktW66Oc_$#!&I>p_c9fi;v-BY zq_~f%5-ILys#J;xn3^EPN0};@;$uurlH%h`O_AagOih#GlT1}e@gP$(rT7$6v!(bn zQ|C+Z5L1;>e1@rur1&gTbENnjQ}d*Fn5hL)e4eRAQhb4_B~pBmsbx|;!c?^sUt+3O ziZ3%&C&gEos+ZzXrdCVwRi+xG_!?6eOYwE4E|uaNOr@pxCR0sPe2b~|Qhb}KjZ!?u zRI?QS$J7=nzQa_j6yIfPn-q^TwL^;UG1V@`_nF!$#SfU;EyWL+>XhO~Ozo56$4niN z;wMaXN%2#rGE)4EsctEL&Qw;4Uoh1t#V?u4N%1SDj!N-srUs<=4O2r>Ji*j)DSpe; zh!jsUH73RHm^vxN@0mI+#Zyc@Pl`V<^?WJ*$kYp@c$%pfO7SPAUM$6*nR=-de_`t7 zQv8*vS4#0WrmmLa?@YZ~ihnTm8Y%wC)b&#Qi>Vu=c!sIhN%3!{-XO()n0k}c1XFLu z;r>kBEH#~}w@J-l>K#%mV(MK|D`x6FQj0P5KB>i-dcV|6ramaO1XH(3Ey>jFQY&HV zPN_+z?vh$5Q};-%jH!=EZ30vGOKl=kAC+1;Qy-UFim6XZZ4y(TlGPdPHg!Onq5uGnjf*YBQPon$%`7^$n@bX6jo~JCCWyq;@`2-;vq{ zOg%2ON~XRqwF{a0q0}y7>c>*6V(O<-o5R%4r8bwTUrKErQ@@tle5Rg|+5)DYl-fe3 zelN8}O#MM>i_YD<{OWGeRaCJiwG~Vm zQmbRCSZXVoic77Ysf5&4F;yb9)l8L2Z4FZsq}ISxxzyG&HA!k0Gc`qOmoPO=YL_xq zA+^hxnklt3Q?sSk$kh2#YhtR>8n@Jr0C(h4dyaWOGLI5!a66k&%QhC8OG8bVkh{s4 z1?QYa(B0I`au*elqYW}Fb^ckTT!~QhwEOv-RkVc)R*{5)Ra7&9pf4ckY_DeQ1;yM7 zEDEb4tOYk?7dEQ4>d_wUNMd%C&jwLhqaEE5tkFjA2x7GVJCc}fEwt(37uR#4q2}8} z&IMM6d6?y}x143>6_V-VE$4+K{X5ZFa(;+SHE;B8Y>X~i9bL30x@c{5QOi|Ps#O== z>dNS%^(?yZ9DZHT8|(jnge~7jvut@cny}^HXu_65^*LeXKe)ca0j*(Hr(<#4%V3uEEYCi*~dLJuVb08>60x(+ksgsw2|C{BUor@ zNSK}FJPB3^tA=$nLXA3Rg2lob-x709pJ2(bnDa{7Glz4@*;9wGj>~J0+eJOJIR{8} zvIT<->pE?to&zt=U3dE&43;c_Rb86ukO&qHn<-idlqg>+5u6ab=EDNcGE7&!a#Vpv z=kb=s7K8+oWy*6R2g`rW+ON_}a@~r*{3}I(Q z@5bI)ft_oVbB<`?COT_TZd`_T63&4xY$mh?Et$fjlIQprHWk`022X{FE?Qvn={*O9 zo*ERGdATP|?(lrLQ1<|$vez|E6anC=G(+&l$EBgQXTjTpZq8ZmxJG-CXcXvFv> z(TMR&q6Pe#cX|-_3+IK*MR3#)9V)~EVO<)X1;pp;uuNE&X3G@r(rhUjGSvVP*6))L zb^Cl^5zB?m^8#|78En`PK+@LnMr<-{Y*Iq*sYfgr*6rpUhQy*_-gD1LVwtd+gzxAi z77KgUXP>B4*pPG3QejNq;qYvQxkKIAP`jrysrC%(mO>73Vq{^B??2m#<-$f{=g21( z32SlBNl<6^gnK~L*)jRgjbgbPygs*ARA7k{e*?boap_Vth_!gTUi@(^g7T0AB zvABeOeh>>LJU^>d5mH<#E~6hGk|NF2XQgOl>R~CGnEHYg>zH~(iuFu=8S6nj=60zA z(a-mD0xLK!!CTr5Vk4FJH7S~z`i2ynnfjI#TbO!GiWa86BSkAyk4v$YOa8tT+nD;H z6x*5lu@pO)`l%FcO#NJncBXzQMF&&AmSQJUPe`$gsVAk_&D8Iu*u&Hxr08VoX({$H z^=B#eG4)p|_A~W&DGo68Pbn^E>KQ4znEHEx3-*s&cIHaXM$! zSlDNPb>b842DCpx5|3RAh>obuZop}BvFj4C*Tk;JH$=R~YzgN(CDunzP^t4{Hzc8P zBZ}H7W3Mm%bW)rbdqWUiO^|`LYJU7!jp%34jv`+Tu_Nd_hrv7ae4h>=7hHhFZN5!1 z`5q~*;_WnxTo2plt2N_eDcu=5Xul&fjAQTCpX$!4ui3<7@4(1V{3tcwyRbOUb|?mw zcrBEp}v}? zYz`l_iG2tspgAq%|53Hm9f{cOu{*KOhfUpuHP>jdW-9ldB!0RVt4n#=`gZ0Vf8QEc*k{m437G4_?%qeMiXP-LOz|4HnpN$q6pXBM)|*hrS5%^NCCm)Ia;&DjRn9kKf24Ar_SBgW z#AAP^9X6WSh|A`1g@neIT;nQ7Jhp?+g6Wd*< zKU?KK6Z6veiyoiMyTbV-6HuCiW~7F6d5nZFo$7gi6^?xIe1_pc=2(3 z2MKzG$1e<$I8_(_mfKgU>i3&6_*hvSD-9<(apdr+yNZ`3;-zs+y(fiSVexo5g5)F) z*lo-9;&WITbi`}thX{sDS?y2F?1uKSY>(1zknu_J$>^TrQ#7%{ZCV=I8mz&^GwY?# z)3{wH`%>E;pOysT=>_~jo8_yjrFKenIPsadb>No9;aZ-sRt6of{MX^d_+o#JpHFRl z0WLBAhgu!YqFNE@SzA$|=2oP&_@}D!CN=d^dp=ibA$m)WR~cVSbvrM<1b2{J%VoH= ziIt-RiC5#+hACK7m-eB|u#DF+MBJVXvc@X#)YTYYL$L9~G5+CV+%GY8DQW>DsuoE7 zldDwOjTr>8~_+Szshu{$SQ8@&u25%}FX`t@7*KJnQ+4u?Efpf)9;jWyi zEAr%PY5st8oCi>l=^9Pnc_bi1OI_`UtfN*bKeGp(N`%*fY z8$GNx2cjdCZbajo@< zD?QUs=bGC*O}xxIXSGxza5(tg%m&ZkALab{(7`qjqJ#OEhqN+bjp`n3arz1JI_`H6L z4*8{Wtt9WFrsH{0d@UZz@}>7XPhhG^(}r9>?ylocc>~k^K?UX?6Y)R9pY{w6bTP%_ zf9CrU_0?7x|0{00<9~_&4W2jFB%$z+yo#ce`zTlR85#dASM)yjiDTk+y<+TTo%A>6qZx4kq=OiA+CW$>T^V`8G% z>~VOxd2->9d87FrGPURCCr5;o=u!4 zj`ZgOZKHlfXqq)in8wxO_dqQkdY|ILFi||og`rk6-Km#4IN1FhCXH1W6?`J7t_wU5 zywGgG^FVVgW&^wNyu}ld&M4HI8y+6*UuJ2Tmzb9(MWu-c=gZuv2^S7VU+nc}BWBKK zQ$g(Llc>``-#`hjEPCEjbqrq=kme?uNIzjVqm2TUw?EiP^UpSRRtwEPzb&=TbJT64 zID>JHGZ1GrVIe@^5dwHRT(WwI-uAXxsbUJ38b07khW~WexKu$sKfw{#OfEY0ia`SAVb^=L8rHcx*Qrk(f_3m$qSUgqNQW5|;L7g!sel+qy0 z8_XM%F#fuUvCrVRrE@v7D$|oWHcBTR)a3cWGn;ar3fg@sk1^&O%{S2)b`u8AH)De5 zou`GXOf)f*rz4XJPn@c0;$*%pC5p_qqwbN~18#pOYMlS5!}XE-X}Mc{^SX6#(JZK5pK5j%x!Q9xgV_*l-OOyeg?@JV%_7 zEj!Mnc`t{|M-Vdir9?5c??q>eQhYm?zb>r69HBn=g)xjPx``DFQ)tw4XY1~K+5BXQ z`C;=x`cMshzG<1~I>uA4mfX>7-28Nj`4EXjC>q^5bx&4;jwON)T(T%arChIkfxYrY zc;%6lh>=(F8uOUy^j#|ya)CwZ!G zNL#Ipdz6=OE8}p_vpd_-vRZ~`5j5UJ&?(qJj%82S{+t`=7x2_CQ^GXgF3lga^L_)? z6Dg4(_f+OLdJpbV+&D(L=ajLAMV^z;H$u*);ovM_)seBR@(hH&_YoM3acg!B&o{9~ z`D5n1&oo+ zfE9RhFYDsz_&%oabUYx%o}@2Vkd-`7(&rum8tgy%(gbPb`Hw!|5YS*>ktx#1^VJIY z>T<@n2x~{wHJ4Ztv_fB8Aa(W{ee=OzN*%nmpRJ(gFJ~)x=;`ALWQDy(HfOr`6v5Y| z^r||sCMk*%ctw-I3ejb1CwDw?F?ygXTA@*e$3&P#*uUT$7n!&;aal>?l0+I*>?Jrl zCxM#}&(WD7=X)w89Qk}0q9d`BG@P{|O&pNg zR)d;_lpVwi!`?A>MNvOWbwViAxPKF_t)i``yGzJOOap%U&)+(^oYGOq@=L>4__F zHiTNOkvK#1PRuZ-C$7YUDBG)E%kjij$;1oe_nP8TQ>0DNXo@CNtTV-W&vQ~zK&-qH z*NRiN;;EpxI+1u);u@?xaDKCKVc819x;7zZCSF6Y)S4Y3)$mTv3BA0vAQ6`!(7H?1 zHgSCtMZMPd_U>6Oi6a(8-IzqhUuOzjZBw0*QoU+&W4lp*mRuE~2* zllP@WN#bUl_`^~50aI)aD%QF#xQ!J*1jXA^LMCoD1-cM_IV)W8yIA~gh~JYEr6k@G z6t8o|?`QD`ApX&mC?oOKp!iBx{F5yHAjChF5)(*#t0~Y!Zs?7u*U;EbVI32R>2D|T|C4l^6 z$B?@Ua8BZ1)C)e76jikNZ+aERvayk>zTs>JYsB404C?hn-rZE#orKp34S0l|#3@Mi zNnPrbR4tQ57+MZz`g>(Ers|o*9L}y#b+wsHNPQ|xmO%0(R$$6xDNB~wlGXUnOiq+~ z1xu#z+^L5}C$s1j5+yPE&rD8JUJ7eo+?M3AH)aPt_fpggCM%MOUnlXjx*|`w8Gcgl zu#&TIR~OQ&*aDvQ6n2l7JTIB}S@L{S^htddSLH&fS2Be;OesC@M40vDP9TxVxwK5_ zFUfhPI4t$KEVj@TN3eEY&9IVKiO|Q?GN~_M0Zbv4oql|+w~GFe$y)XBG`T|Ri&keJ( zX?Gp!aCQH4p0IGT!HW^Q8n4DL*ca`Q1$94k-VCP6mOeWJ!Ym4^$u1)0oKWsm`3WJC(_w|e7v@*+O=>6TX-JC&!oh3 zve3mAUI5lrDN#Xn!z^o>)8cBd_Lz7Hn|LYYUzQRxs1X9f?tqwlB@4d_!dIun%n)IB z5KLan!mokwbty3`MA#hylQ*#NjSzlaO3V%sb_c-Z8(A1LjO0xzabAe9JNzYYX5qI& z7>^^*4-xjX=sQ{XT@ZeEN?Z^kyvp_d`&jrE2){oiD#`obVej7x)@>=gutEFzy;dueURSg z8|Ylr3fQWF?p@7QBe-_*o|xMgrTrY7hLKgvHapj-t%}j&q2y;$Vs7%YxP9xzmt640 z?y7-Z-OB7_yK8my=&mmLaPsqbwwe5b)Gy{9=#h!Wtf+2u%R|TJq~DF)#TIsW)ie1fwA8zp`m-spZ(@LD{$`3(Qa{AM{8O6qxuVaYqW?~b z1ys?j`msclW}W)6#F!8(DN2cj$!~ZT$>3gs?#HU)B^d18MKU&`@y_iX1M23eq`V}R z1n5c9T(7RIk|}^QH6<3CkD+nQ$4zls>WA4wGo^l%so7E=Wa@mWA7`r46jw-nlrvRQ zKgrZwsb9g=e5t>HDUA2e!~QeXLM0gQufT*y=p|Tr*ugwJZ+RZ`@Vw&=;C zP!pSjy-nCOCvRFA_ExGvmK-TLO0C@wC%&Tw2JOHQ+ouYir`r9>UscxfRU&QofSjTf+ut6<}WDY24l zyd3Z5oT-TO3FRLD6LvT9Udk3;1`97w;T~lh^{8#q{5?1K)!<)~600a;UU7EIVc4!? z%h$ugYg1x1#n97i^mSmpJ|)(W(N|&hQ+G=J<4oOUidRdWmlu`X zEA@w%eV^1n$J7H-{{mAVllqsK`h+R2mHMNc`IOYZ&eTIv=amH|pOrc<2Pk=1>ip!d z1b6ta$-C&>*<8t&*kNCWcfOJm4dk$YvctXx7KYrl`ID7ecg|MwE!O`wgdacS1;dWVwzB}12`6cWB3c|lmi8Sd? zVEx~M^<)Z<0UyJLUT*EDf}U963F|+yrKh3xr<7ks8<@iAr?x%n68nK)$2{x@zLBZR@_g&Yn`~6Rl}&Kux|G;RzP*TjyAiBSDX}R( zURJpACbzJD3xr!!qM7vPvHo_jcBI5+w2hHs_#Jsj+{lx=*wSvO?MaC(WN8^&+6UJD zlxQJKQ`k~h&{CZnd6EY{iGfdI;A@?9Vug1aNrhGM?ozeRXJb3HPoub76oV?!)RicSIK%~?aTn3DP+MnDWNaDBT4K2n)UcDa`i?v22n)Sc#7Wzyv=LidZgP3!Kg}yb+Il@9; z59Soe^rhMoj&TzIl@9;?ByI`q0jMhjZ?5Qh33ZJhVo01fg$VdX&~4;)q=6!Jh~DgT^+lIln=?+o0#g6v74Ccm9aN7by&vU!qgEN zyP2te8GEbRcu+bh<1?5#CgTg3!al+cOpVI;Zl+Gi_#viF$@mykSIGDorq0OtE10@c z#;<4UDjC0tsTaxkyO?^3jNix9%VhjVOua(J|Hag+q`8KvYoxh_scWUVi>d3Rd622s zO7oTGtElETO7kA3UN6nh^RI7|=FgbANt(Z7>MhdzBU5jc=3kh?5~_bQ^-h^6V(Q&8 zA(?uwOr)5)MJ6l{eLyBIWZtbZF_)Ajk`A;kQ%y-_J-|C0}~^}D-kN!wC-U$XQg zrT0&Wl|Fz4-~DRSl?S>k{a7hp9B(WAINqtX=lTXSm?hx}TTzqx)9>pcRD>ssZk@Vo;(5O1_1A-IrVtI6A6zinfCRh{NX=!KkU_Y?XdIu~D zQ5?V*l|mOq_=^b>ACSS_rdW#y*|=*Im#7p6SE&@oR;d(+R;d(6R;d&RR;d)nRjCvQ zPYJE`lc06633hP&lrN7%sC;=GMdi!mKq_Az$5Q$7IGjqAgQKZbii4?Ciesr%ibJVX ziX*91iUX-sisPtMio>W>ileAhii4T=ile7g zdeBO7?3Bvm&?%MT$SEIg96aUADNs7%!dpD9x*K2oMqe4*GeC^((hU6_pS5?R{BFL{gIXa*h+t5r9ZV&d}vJ72cH>JDLyi$QhZ`erTD;@ zO7VFymEz-KDt*FAe`}>rTIuhs^!HZ!l$HL$O8;o3Ph07qtn|-T`WGwxtCjxEO8;)9 z|FF`3TIs*6^cgGtx0U{fQ~F>S;ng%N)veU9QhX>({f^IssT3axQzbh4FBvC^qlI?YO_TWN)r&al#%RyxZ{ zXItrcR(igbUSOq_R(he8USy^C(3h$YKJ%qgeB?`|_{5h=@qsUu;`3fA#mBu=y2wfw zTj>%jU23JvtaQ1RR$FO}mDXD63M;L%(v?6iObhVYPvC;-BU2CNmTj?cMda0FO zW~FH>ZM4!RO7-{SXf2$wggNhnsMQ(FFzEI8k_WVQAzBtKyjR=Ry7+UVc<~({*7h%) zq+Pz~UhUAm+TnY({?C>W)m!oMX#dq$+<+UB@+vh>qn#00`myr}dc z{Cfc`7mNAYacvmf5^*tr3LmJe98fPT1PZT5qk?+LctE|{2kNB`sFxK2g;QIif_mkD59(D8 zsH+Qsx+XfPYsUlXTA!z0V`s~aL!CrP~*D#{GeXv zfO>r)Pdl2f;WV14px!zjP&fKOz0Cpj_Cla=*iBSWJ2_n?{PrAw-6{C>Jt^zxIFbHAE;X#Q134U3g-(&1vM^D z-Q)xHK?l^Wg+SdF9n`oy^%ftf4>_Q2F9ZtbC_Sg1y4eTnP6yP73xUE3Ls3E9GoCKt zT|Q9vI-ovM2-JPgF{pR@K;7?vdY}*}oL3XoQy(9Xr{3cO^$7>mCkuhX!jh<wgGqdrhy zaX>v<2oz2{iQ0T$ACITL>I3x+2h=wUfx^P4{~@SvJD?sb1PW`g{)eExdEM!em@>i^*&HfIiUVf2-F{=gZk5WK&|qD`m+P- zFNHv1abDEs8`o2p)jm*vb3pyQ5GXA9iwf#rQ2#Cj3LDHLg3`6|fNJo9 z(sdh@ZWI7Td)=dgij4=4VlYRm`fdC)ciuA zuo*fksLRLWsZ%~s3ms643W37z>xiKAarINDeV~>&pq3T_g$d%NAE+%3sFp&YTBCy+*B$a5K2Td7P}>TD!fx!So*LI3@|`|VI~-7Lg+O6r zcvMj1>OAlAf$DHT?JNWed(@v(PP5SKd4tYpk7%B6wYXg2ud5*8;8&OJax4L>Y75JUL6^f{+jW4>R}(K>l{$m7XtO# z=%8*K52(-kKsjsj^g?U$=medJ%{M+zebEQ%jgF_@RLD~|MF;hk@p$SHAE=uhP;V^+ z3MZvR^wjuPS%1$5>g^7wcN7Bk&d8wjae3-TK2Yy+K)t&VD4ZPgoO;R+>b(xA_Z0$l zOJq>vn>{g>Rwb(<9dtb2j#5u)C;Zi zq|^VRf*O~ne&_Slubt-mO`+z)L4;93jmuMhP``CRJy{484n&L!YFwWBz0Xs>cR)Q= z2o%m{j0$R8p7MkGqXX*cLZEO)WK>Y&^3+p4PdO`M^+GFR>A1?MpvL7XKd8Ssp89(s zPyHi0sBwAfX`iS5>45rIAy7Dv^EvgDAJo4cQ2!|aip~X%3Tj-Q`jgjFhGv5@^g^I; zL}^q|DL<&BVh93J%`4lr4U!%gVWlj4Q<85hyfD7xn)6jRxjEMQa_bMU)n%r)j&HClu7Q0PRI z*ut6j8H;ZX)t<@{mNsM!I-y3hPESE*B1|qZmgbpUbe~ZZ4k*mzWkHj*#)>?XK)LF+ z@uOUApu);)>v1>?9Xu0XICJrR#--sf=>!>wI5m%R%@wZ!r+Deg2ML{gU^FN{TxO*6 zOPF<^u`y~1bXpEIXWVEqHn}A zdZ)3=GU*N1ec={;;mQXF8oLo=`;7y6xDaE9!mZ@vq67LIT$d|nc88nn{Vz=Rg_}I` zUzj{<^yfACB82U5xXt{qrK12jsOT_2Cu|20RD;S7BWEe$RMZma&_FI>%v-`JN*Gfm zoDO%(neYPg8&4Hr_c<_&czFIy6i1G38cm(PC8XP2ol4SB=g|q#{g%PD<1j^*Wrj zXxLS{0fpU&zt^cM-DJGkDsWW9@joHT7NWq4qKe{**nP%(!i(L+l8#5qjJFu?RmGMW zHyiI$#g-XwrDDmV@itJ@PG!d1K@}_N9iUh`X-G#EH@_&DuCRaH0}gN z^-UTd232Im((S77@*=2Agz!X&O@si&(?`PV_CPp@NOk+D@v-m#dNAB*egN4uGo6~5 zTr)d>JoP{wK4pA5?_ylEc=mn9!%+*Q3y&lArJ!^;kS|O7VtmLh7#E>^L89Q#8(%=f zJ_vE|;PvJ3*^+PYg7R0wJ^!_E%uzfq-X1cT-fes%+@cSUJD7@hg;eTp<6F@x^=;!Z z%Og#2D7kdv!n=*{JdEkoM~v?iNnrSlK0JIVIm?W6q7~+v@dM+BAqIcU27d;FKmPwT z_;ceIAqIcN2A_bzU;Td?{H^h%6@BicXwf~!H||75^Jm<7?TAALozq^8-&wtcI(bkt zes4TwT}iI#nfDmqif-DSjCZQsPe>U${!6?PDNAxSg7*kXv!ZCRh}A6`4gea z)1xVWGE{j+H01|Fm1jj${#2;)dC``S+p9S42~ODpdJQH03{pDqk5*`H!K>S4C5PI#l^Z(Ukubs{E2@ z%6|@3epxi-zl18kBAW7FLzQ0@P5E!3%GX3w{(GqMwb7LS5vqJ$H06JWD!(?G^1nir zZ;Yn=OsMkfqbdJ8RQZk3l>ZZ|d{ZQ4e8V#TnfKjAZ;7U?g(|-_nzA0M{Pt+dMyT>T zqbV1KD!)6La&f5gd!s4GLX~fcrW_Ad{y;QkGgSH3Xv&FD>wu4<`2MqZ$==>w0viH>fdGLJAR)962)zeL=)G4#5fJHufFQkhK{^Hy zq$w>_5fPA|KLzaIPZ3ZM1;K*&lOM|ey}dTOH+#3oqJK;L$an8v=6&bQn>TM}XZLoE zDMxaZvyCa|;wtYqrktCre9)M(%vC;YOgW0He8iY?9Et8$eij44;+D(5n$T%D^d8&j^qRnB8fxh7XRuQBCXT;&*J%C))51&k@z z;VKt2rd*e+9BWLu9#^@DG3EMPpe)a)beN#5z^9BbL0OScnac!a3ZGIoL0O4Una2cWDxWg13ChZR$`})rRrr(z zOi-rrDGQpQtjed1H9=X8Pg%qSWpzGfoC(Sre9Gb`C~NX5OPHXn#iuN3g0eQBva|`x zI(*6`6O?uNl*uM2>+vbenV_uCr>tOtvH_nm#RO$TK4q#2%5*+u6%&+=_>@&mP&VdM zRyRS}gil%11Z4)FvbG7zOg?2@6O>K)l=V$eHsez^G(p*%Pua)>WeYxK6BCpz`IMO^ zC|mIE+!~D z@hQ8RpzO@2>|uhk3!k!=3CgZ~%04D2yYVUenxO2?r+m}|We+}Oe-o5F`IG}pQ1;?e z4l+U6n@>5|1Z5vSoW`fzZ-R0^y(pj^nOJZ*w< z5ufs`3ChKM%J)oAF5y#tV1n{FKIKOyD3|gn&zYcH#;5$m1m*L5%1=#DF6UETFhRM3 zPkGS<^Copj^$TylR4S4WIIw3Cgv6%5O|iuH#eQFhThOpYo;&$}B$R zcP1#;^C^EYLAilXdD{f#Mn2^o6O=FVDgSGNauc8Ot_jM`e9C(!C|}}J{$_%53zssS zn4sLsr?i-$+{UL2GeNnXPbr$9+`*@mOi;eer*xR0e1%ULVS;iepE8#T%3XX)*#zZo zK4l&glzaG;c}-C6k|7BE4%k55_91m%7{WvmIx1ANLNCMXZ`DdS8~9^z9L ze{f1tBmA)6BaHWiA2IOAV0g%At?<`4%C8$!t`mNYqkP<$ay_o{Nn^_Oxyq-EDL3FM zzhz9hAy@frW6J4V<8UT5#1R@vKQ2i`Xe5tvNpgio@)*~lvH=p96#9!%{;Ml7+L-bH zZq50ODG%f-=QpN2h^tJEDL=tgE@Vu3Fju*-G36(@%0-PS58)~oGp0P0t8DCbZ)6xZ zND_?IJe;ds%9!#9uGxvklt*%FE@Mo26u0KG#+0Ap)?D70@@Q_&6^$v6;VM@$ru;P5 z?8?TJ$8wd^j46-fnqAG9@_4Rt4P(mBaLuk|OnCygW}TM-YL}N2xytp7)%>hj-~T*K zOgEtHng~UF@6Hr1iO!n_Rpv7!Q@JFW#w~$&{gLThl4hZi%-}jy=e35a=70q=xyr2! zSOChixXNveDbMCA>%1&cYxW#&_;xT>^IWcSCu7Rc@$~y1nRMjlk zvlbD@`<;ViixUjgEY~Bo#EBf`Nd}Zj9_uI8|G1U=!Al?UeSUFCotqtVy^1b((jk)4 zIcc9bZLjrwnKw2OvCJE*`a>XT*W;NVW7z$`&^i$`)TbDsF|3+r#?q5_iFeJ=x;EUE<+G;*rkL z;%iZ4lH6jScr05yc~m?F@?GLP+2Xs(*Y~rXl(*XBC(J#rH}Kjm;yPJ&c*;ZN^l8K%n8P=RQaoV%)_sv+gGMv$L2PQ38?ZCwNT(+$o zba4gN!82u9*S{i6Lbeoj>B73D)qOluE~Hx$v#y>!v*bMbdT5U-o@dDc?7?tOWv!nj zr|NR6t)C^Q=$BLl=uEkie#tadJFBs_%nqrYv*qIYwO~6_v~8U&x763Dp|+%&eo57Y zK3h)HFRAj%EICuZWKFQOwrb{|BNx;yuFf*E<;QeO+K{-ehFHIM)Wmb-A_0h5f6b7a z>6cV_VU8TYvr6s?CwWPJ?{XqPE+_K)Ru8zGNcSus%E53aWCn>RGs$i;OBhOK3M^EGqv6r-98s@sY*tXDY`{%EmcM3Cv;1y7p8Ng z$Q<3GxG=TAqSF;giKIGgLUM-Pn856&6PRkU`ub$*fKyG&^W?{M_0$13 zPadILQXOyeSRAJifq;|uUcb6(Z#w&alHCY z>Y?a%{i4dbTP0GTqka_*L{PPW=}H%;`<^Cs1Fi2m+M^rt{l?cqwQ!c}jiU)78B zo(~@BuG&43-pd>5z1c|b1(DuciS$06NEbdO5lT{x++}+Vg8gyT47otAqw6;DHWTdw zInnOh46(9(u|RIE#%c=PrX{JgD8JTw5Y+JvgQC2d1sMT|K!jWi+|5D;_7YO>B7 z7~L^vW&{WftIgKel^O4oR_cuD+5pg`(J)VW%~rqyZ!*n*a2l$FQxyoOVM;iygJe2f zNv5+PnT~KL(@1ZKMe2pvNN>kige8Lovi7gMj$bI()AbN`yzMF1R@!y=IEHoYLb-#k zqOt^I6vwI+_49EwHAj)jx`epFYJ1kbOY5)rd;mqYGXl)3N`&aUe2GkTYq6tSaj-(PO;M8g50J#CViLEEg(N-=lencHB=PC4 zByJtEVC9RORdewGF9rC>rFlLl+-gGQqO!P^*jctXMsEQJmpP2PwAzeg~n3P@(@x_ zI6H!t8%{mTgQgx0vC-7C+-T}qte1N7%d)CX##4{K=1PM@V0mb%N9CIphEvaqps7bg zY&7+(FrIpz3y^v={##)<_4q0FGU)dep`@PWp`@M_vVO$==~B;fNIfec^(=?fvqF`6 zmU>gqGH>d6-kW-s8&5qejHRAcA*3FW9j2=cr=C?oQ;&vNza!PFo>fLu&nmstQ&cXX zYLoHQfP6)y7lLngFRs|Wp4F<)_GIU3*OX|Wjyt)_oN;I3nyjQECX+<$+m5D z?^VE<6P4R*K(@)fHP*Is7<_xl`z;*4ZS{Q9y$NTn(gN2GREB3g1{;w&r-Iu&mI*Jy zMlS5<3Bz{TcDc?5_o()A*USC&r!>{Z`g(boZb`goun4ZI;k}Wt`J=uGZGFJK9ZenG z^y|PyI5u-XOSM$k zi@A$yy{`*}VV*7&hS|1j?$`nW-bc0H(}nQZp)h3&A$6DSRc2@HHUDI9d;O^G^`t@v zZSND3ZTmMM9I%kloRg0Nrlu`+yYuwQWwhY##+ zqx$oQc>E^Jb!Yv~I$3|CJV>{X)seF?VCX|k-om*Hj>?q^c9FSJ4ieD2YRMfh*}5iVICYJ|HicSpEn^+dQ7W;nv7a7lFER^JS+9^$@c?7s8v+fISyqtu!-y?v z>JmvR0EHf(&n}8Q>i@19VmqQk+!G6=-A#+=+uX7d3+JiiaczhXl^5Y>RSw zbkPgebnm?1+kJLeHmM9d4;_~K=}tqE->=Wv+RI9w zpJ9}h8Z&DF5X&II2yNNcVbd2of%5y zttj%cZpG@mJ)zVR?Yr!T(0i(@OlqN&)mlsWm2bVHza$ zHm;R|aL+R);1mBeP-^QP6CwW$$yK}iRyL`fXDuw@?VFy{KoH9y!1(X*!0~@3Sp0X= z#Qz!N@qZ??_y=aA@ef5qihn3?H2$He(fEg=2IIerf%peDqwx<#fA{!5ql*8o-uUlk zJpQ{sc>KF+_r!k>Z~XUUiuij0X*3m?-wW8NP5Hfmih8VyI^gDy>Q|&^1C2(!S>H|`5$=-oAg&kOvVPH*B2G&$*n(G`yXb%I+3g*G7qh?^eFAvcVD&{`ft+8ypydOoT z>Z+-4uzEkh4g7ffyk;E*%u95c)u-7|G{EMF>RK8UovF)e^Lwh>`${y#xm@P$(frbM z+#*3YN;BY-MLE%mwBm1<;ZHIMaXOzV&2pdlbp`?poaZF$lIDZ=tl82cXz!kEX(?=c zOD__`w79^#{iL`h(E=8kmjt zH54`4*HAQ+zWzX`ulMNp^&W3u?={%h2Lt!D%Lc=JeaO?-WFnkN!?-5(VO$@HJs`bq zAxEV*3LTJ+!w2H0&!?>_PmeQqK3z2R41I_z=_$Z*}P8Ulxj`zQR;32%z) z<367(r#_zqV*>p0rKWZ)xQuc6r<`=so%hN~Z?bvsB=pLg$_PB=Sx}Hi-6JrCI|AQP z^~#xp(m6s7NS`XM3uHoy*B>cLBJix~l|0U3AlH!V9qNV1ZHe z1Z?xmaehftd-h{BBkr8sTYtb6*9O=*r8iv;e8L-GPw3_QTfzG8 z96Sj|zk0tR##UcW`p(;b-?RPq9rWM#O8@zOKbN&IHcRnQNoe#}}&1K;+GUp!+ZUyQ?~jxe~w!>ZQ8Ywo9rg{K~Kk zzY5?&yjbb`IqO$}TU%UEDxy{}NwmP=&8us>G!`{9d=%=85-o6`}w@W>^x7nJg9Usqy|51A) z>uq>Q7YK0mkmU9D3HK@fLP*5Ps?=~Vz<^isb~)Ioz%2{)PQ|@IzZr)M_P%Ary#TjG z;tx#JS@|C0%Z1*oyihMImw#|yvNchA1+OnFvc9YUzO1PDGKK5QRFyA(4d%-#Twnfb z*q6VCy!N))_Kwl^P6zBgtPq#y_lCcY z*KC@V!OJT4US+&jRqXf>ReWOVouf8*29xI|68BvzawWMuuTJWqn<5mn(3 z*{NM=S$}G0h#b@r$U$jx8Na4EsZ${uK%ERx1dRwpRFy*%Nh1}aK{S#f%0+VpBC5tA z%1v`CM1yH=hDfGzARkrd5Jk}_g=h$kVuva zhVnpBcVf5enP%VWXIduTv`npO+kB>dgd58o)8HOZ-<3n3*P0shntz8jNZyIIpZ1Yl z<&uQ^adgyjobhp7Gsw|N%W>An@eP-Q)0oa$l6QS1H&_zSEbYrr{@v3>JF&j!BfA+Y zSywID`#!SoIAqK~^S7*<^2{aAfPh&3z(@8&sAS!>WFPv-ZihZ_&t z)JJ9Ur-}=ZeEVs8@-rV*SZGv_YN;;xs6-c)X7R=}(v$QaXV>p`@6zpYxfrwFf~DK% zZtfTNijq<80etQOTJDQJZii9sfqd?PTJB3e?g*pYgZSKowA`0{+_~J`U+uErfc+@j ztM;3nt-I{s!)H9xub!XgSI(_|r1_b1s{*uua&E=mhG}P>-z*Oa@SJd4%WV5EkP)Np z|I4=jmTkYE?Xbe{^O;I1rC6yWoKmLJg0!Gtr4Ht~V)9Tq7W$rlcZ0W5hl8m!oT;=B z{`d?kji7~?N@HoPf2Fy7J9qLhLzPA`m5NNIg=t}>(r8+ksk8_!;$LYDQ|a(PkIC@3 z*HOS%srwNJjzUKrg|i)T(T-y75V*cql$8)rdb=nss+bc`i!$cK(Ky8%<&`?jGlk@l z$`*=_!^bO(#b_~wF@Y9i7>m>5dW`zNOk{7ygsMyF_O+vQv?I~ozB_xxXm|T;ofV7X zX}n@l85+-6RDzapSp>iQV;)*6kCIF4)?+Ik?MU|dF<(-)10IL&sGwAnKogW|QfLBG zO-WkP*YGgr2R-uW0FUfvA8ZE&1GK!dCq0gqV|;l~D(QBCqnvEBF>y3TE~nc%_zjWm zwoPeEDOyTtOByZ3w52pHt+a(r0@7I3&wk}Gfu3{%?yKr^Uw*HRBI}o@jhUE86BQGy z(?rI^BntM!USc2*@dHX?dl4n$Yr0_f()2eC2#@j3N`|vbu38-gU<~7AwdExhsJD&PkIFI$E(O zrP3P*9Bl=-x%A9IM@RQ9c+n2eHaX6O}S)27N5b(`ks z7wve|O#q22!9!4&C72e3;4vRTDL6^r!)wSOy@m|&+>>a#Y+jtcdxm!6vGlQk^ZZp9zMKn7mygdz2pR1$3 zP_wH6&lKW$W|5BiV%h0)ENsBR1B!U+%XHM2$`Km%O+58gI_k^))Hm|fRrmHYu3M#5 z=j>F0>t58UeXZ8>;`hATx3cQ&$bFd;RO{tPpF?2IgO}&=IQQsr?&olBV7nMOIXkS- zO#Y5s6xpb5CeNIc@L&nYTkfX}Rj)`ZDv9!KT9HYVDKte%l&(h&9dvx4JdC2FN2wC6 zq$qtvD=|u`G*zYaiAzbz+m&f$MdMRinbD|1t9bIU4fFQ*&|KBqRdy)nll%pr;{rO| zU!wu^iJk_V*LEmph5V9C&fg&yWja~899cb$rYWttNYj|sRHan|x8`NJL;%}(t$A6l z6+nY+&C3CnE$Uw{zbq%~aw;$7_*~7~RkyUGpSomY-I7`h(sfHRo_|>`0L4@nf~!}f z)fCTPrqvkFSEtoop1%%Pl);Z1+)?4y72)>t_p=>WV5RMyWL{CNS6$s&gVs>UzoIo5 z@|v_JOKy8MIQdQuInFj<)}-pSXf36NuW2o&hT62YCvZeCU;QGp-2uAG)`jhW-O5g; zUO)qHD*RKu$z^xIM^37_13Z^S^(wI4a@_!(t9YRft)qD1I<3Qap)RfK^#VQtPW3vs zJ%L`rRh-whJpo?ErM|Cwk6cC9Vs+r|3Al7u`%C|QBsSHJIC}y-AHs1X+VQP#c~!=> zP{j8aKrGdx^%O7OqV*Ur)~EILy|_0}lHhuAudF}()vwvvE9(zxwHNmWdSs~TUgy05 zen{`Q0jIt=VW?i7w^z=m-Ii znXmh4$3)+G$ z>iUs4+&JO8rR~)K8x_jWWhYf{Nn0w^akM2v-HNtSsFjCDsTl*j2}gO(NA=dUwZd4O zwq_XH&^G?Ys(z9FYM@uEsQmt_++H`N#ka|0-r?Dciy}u=PY;8W2xp1`D^pcg;$lJd_Pf^&TluZi)-V{CF7P@YSZ8m3`FXdHJQdn2oRf*DSv?~*( z-Do#Y+`|omIE~}u%zO##c%XN=!Vk1yn(9uwE5tQucZRqJ?cpI-zt!@1fSyncYYFJ;6a-bJjI#nA{$K^QPUchIk`gV|xYxe2T zb3JKK#dGy(PsVe-XfKcF@XP^%&wD>A+r$$A7EaS1369BhJ=3+cnPio-IIU2cPfj zxE4+RTZzuDdOSV7JiUB8^&s7T&NHW<9?j#N-J`S0@;7)Jhccwm&S5Syq+NT(blv7W zrBWH~Q)#TLGG3+fj87#~S7owF#eG{U8rICE;$581gn~@!JQrZ);LMxtoQ2!(&Us$X z&-Z!0g@$>Fn)$pT=I6c4%YDqPAfc@EUZ8uNKCVRhYWg@6K=%UD{0nSU= zD|A>AZ_?zC0A^Y6DJMG6MAbFADDqi=O*g4Uv~!KGg>4kyAqRU6Es9(SLb29I(auc) z>0=-rsCeiFI*{?uAUeqBA-O1XJvfS73~+jd@AU%GC+HIj>3aGELpqoa4nV5E*P-_N z#Q>K^lm{J7s{SN>QmK9;eUhnu2pytS?>X;bL-}H$A6mH(}g%8(AD!&p+z ziv6M-;H6qF>fv;_Lj4jQ&QOn_BmAjV>+6dFUiGU!{l6#&cw;u4&unqW2CT0;_+n!u z9jVy0jgDmO8bwFxcH^bsvGEjrN+I1rpJGTy)6oG)jmE~M;IT1=j!~+Ag^ppWf0{nc z`damfv`fKbV=Nu3knW;m8Paid981cHjZ48}V?2e`A8aPf1 z1&NKlzS!vGi;W3%f@0S`I)SlkBAuw)jhBPR#3oIs6rInI zE}#ooQcfs+5j>O@(uE53TXZ2qy@)RIr&fj17luRWi;zO;i(sMTy9ZzF2B&;^*=4-z znRN%9XPJd9JZH>%ONbLLt-2nZ#oPgLMRolM_HXR5JkIlaoS$(xuljMu@Hj8)abD$c ze&xqmgvWVZkMkCX^O}~^sk%__@#h^q&bu7WZ!|ki*-q7kdN*eTJfG0_;~=JwBEmVG z*EO7Yu8$AFf)^p!^D;M-MUigOZ0A>ezs-8N;ZU(qir+$FZO}VwMwb)m=eSOtS z-B+qpzgvd-Y9(E%G&+i|WE#DSu3{RkdHv@t!+mwjSYK((ep0vfzP?&bS1V>m)76aG zYv`H)W(RtinYyoT1$fq|y031@ZFH^0zRK(Ct3K+!QawZVJ41c7mabJA9YfbLjb2CB zF^$%|FY!CWef6EOzS5X&q_19}FDPagpf50HXVI(xW*hIT?+o|VcR~7!`ueJGQg%ck zxEun9!jN!1Z!e4}s=T%^qFCF`@V>%`c;Cek@7C}4a()O1%?6`;){9lmA3qxE^YwJS z;=Ba9o^jp=x`AMrW*Q`nYYVr#8_|N}H4DCZ^4s>1L+Qnpy9*;jX%EtgAF;8=3W9qAw|C zC)1Z0v$xPK0n9c&>)kdy>)j4A>y`EOReyC~siu#g4E5Dkx>ad(dAgNp^ftPUX|!h6 z`^j)${ba1KG-eygq}%Cs#q5f7J7e|^x+8$u#xveRXm9kk zC-XR4>T$N^aNgB&!Wr6bx?Aa}_H;MXQF|y{`}IEbh`D;{IT!AtS8oqaz4zoo%&Ne3 zGwoiwSE;ub-OJRQO|t{nt9Q9Gf_J&|S53XHb8xt?!udTrs|zI1<$HJvW<()bwa5Sk z{hJx37N9WDPeK2I)vA|c0d*1mB{kf|a6LL=kT1UscIOwRP3-h12*KN=o{m8yXrufz z`smuKZj}(cRZsX@HH2*yJ5dOh;H^?mT%ZxORel=!_p7K|WeMJ@!M;`vV_U_hRKXg& zRq7NE8bMp-r(v{J*5Ivr($}gH%2m{Tbe}Sshthq_Xx>lvGox8KpBT<&){(Bv`jjiP zj`7c|LYPcdnZq}N@2N(x7|es!Gy_r$=D@Lj3Pwk;7~Fs3eEu87M8!ST2(|@plV&{H zf;Y-f!{`XM1#i`OU#mv5tx}C(DR`?i<53FUDnAXQu`UH~mHWOF5q^pJG}|iG2(|}r zm1aEJgSX00!)UAQ!CN)K*H`0|5qy9iP)6{x^Z+x057L9$5j>e4!Bbr$c)Du@&*Y3? zhk69#qah>agsmfaa41Jd%sUXV$U-&|c*cY47M|>gr5$&Y?xZ1UOFF=tt!9vAWCa}0 zkc;FCc;i(8AyG(%V{c)YFcOYighRp+IR0n}gLhA5S!!CETUx{d zx@e!G{lu)ej&c3t28vn5Ru|h*Y^Ru2{Ce?w#qWz*@zL=m;!DACZv2|~7vOj-{{8rm z#HjdDvzx^r}BI_o~?YT@|R*(l`>UoR;dHWAJVL8qL`J|IIUA!S2&(b`#9|r zF{^5!s>xNMU#gC(I=w3RqsE{b<7-S5vuYNvS*d0fF{@Tgtx~m;;P_6h3$-qZS+$SV ze!n*OvCfz}v+B$hv+546JE86*F{@rey(;ypiCOjg)E{1dl$h0^K!d~v&~FVR8WwIC z2gf%We$en^F)Mw4`oGfO7PA^X*=S-T@I&LijYl>fEoL<-)FinH*pYEOc? z=9v(eEgUThwJ0KHwSK$xXRX1{#2WcJyrkkwa_BzkKrHZ{HP7qS(v>$c*CJtVnMdAO zk=G?6BiH^-()>;PcL(S1+A{)`uE`_QxBr+#QN-AZ1yj0_OET?0b67n_+PM*H2i{Z@E$}8K7dWET@R{n#J1Q6 zw2igT42KaLiL$X2tK{~p}ROp9@H-Ddk< zP+Y%)B>fJJU>ov#@%uMMXhf2Kr^a|17CFOp{dOUUEocep$W3ky>Iio14@H;n& zZPp)AmsM$+(z@_ClJW<%j&0!|RUdTVL1iE(lhi+`iEK0f$U41EO(a$Rz@~bd>l*qe zNYy{MZdLulP*VN>p?;MOq#ddG|73&Oj?Sd^|DzoqTQE)kf7`(>Q{ioh|Ic1wJ@aR< z#k<;6CIg`sssAT%5bLBri#;Ak+4|y?BBYase-cNr&iXUi#E!e7q|u+qTdc?aY<79V z%ze5#lr;I1IgWMSpV2n&N+_9R{s~>jy6?|wpVx&GNwYtx3t2b*nQdf~U~$soPwY+B zqkncgHA%7!Y4s;}EbH9Af~^_{XOK330SB{A{;SxlarAuB?l0nK*4ck0o7D-q!(YhT ztjGUqc5A}C4e9h3b3E((zoPA$4#*%~{(>FAcEVrPesxD!R+Daj(T?zRhHLA$73uL8 zZilBWxLx^IZ$}tj+QCgK*M?q2((5nYF`mwG1=uzVKR6)Rp!lczK@%AB$;5wn zV6efFZfSWJyk-845Hu*m8VkEZ9^5=US6(Crfhz&Tzo7L6_q<<3Wdh z3&%KfJwes|+oJ2_`JC7bDfZa-%kf=k@yAJiJIIQh01P<**&xjEU+6)|Plh+hs+?GS zpjc$%F~^4w7LVNIxF#ncA2=Y{pv>{(g9oKvvRs!Fo2Fuujn5oknu||v^32K!P}2d* z25F8z%?BwrnF^_7Lr$zdlvrisHOHq9Ctf+pbvt=6Ctx31z_LM`X-#*;<< zc}Gq_|84=z26c{~f4`vCOV+RC#P;tR+iZO2`1<#aZ*KD5l@s8CLcRe5fNs^u8_dm?lrV@@jKE|DoZ3Og|w2!!*MogEuDhnJEV<0l1TPA($?M!j>Abi`#L!8ChhGP;rJuz z;7A~nqblj>cpQ%7NGHd3I36XP9X}As8BV%5>%g%M>FS&V$F-!J^E@1HknRyBi4;+d z^oSS^$7!Tz#8EiDPkKc{eUWjbcO>{D@(J+mCO94>k3`-kQZ5_mn+y1IwITg-&4S}< z@@TFP;dqTao*Vp~yCE5ndoCQ;k%76thT}alNKPk`+=)CX4&JX^{zne_We+iDa$+QB+iBzBhnNc7W{`Dkt z3Jikd6f(EKIgo!w=FvPvqH$ya?MW8WHDodUj4Uk}OO_YxN>&wILDm*LPu3SIOEwgG zmTW9^l)PBz6S5^XH`yB7knAX&hrC>54tb@>*JNkWMno#wl^Tl_sD6~98V<9iY*ei+#o4>1!zg&c^7>=AzxKL1D#mq;N}iFER6LKcw{_L3u| zz^+npc><|9(s(&S9p?rpOSWxs^u&*X!0`H566iF{Zt6OP@< zN97>?$}J%0%6$ySZ^-%b(68m|kWb5h2FJ_fvkK8fs!)<#r~v-2@Hn}cGK@$mQ^}>& zc|=Nmfn2Tv@m1vp`J!40B2`NvSE@}TSF3$OzN%i1T&q5bd{g}+a=k_pk!qAD-_|@y zq?+f+&Dy|Gdn&nA7y7bpMe<#}w}@2l9QnR}9FgiLkRKZyCQ^ell<_;od?iNVX5kzV_T@ac<+nRM3EX{$h zc_qQx{0@;?gb86ShQM*8AhxVRq?Q?ityKh(S``(fRxl=8{Uq31?@)K(+>CHen>l)`H9-^aR;Dkl6)@^@tZh79nf~Sr*8g78}UcgDjWuJ;*kI zEYi{nWE(*yTdITXMUdsTKs-cj0$Co*WRPtJS(F9Z6Y&zr@><>o*%pvRTg!lKE68H3 z`9QV}WclRjAlnYI0`gFh?EqPR`5wq#23bM*D#%^|8I2kWvYjA{jp_=rT_7tIbrod0 zK~^N{EXekNtZ*J|+g^~x<-xXPgRE$tH$k=!WX1FB1lfL&6^rf+vI8J15#0b}2SFAe z4SgGN2xKLrw}9+0$P)5G4vTmdWTo?t0@)FemC9EhWUqlNDIW#dQII9ZJOi@VL6#iT zA7sZsRwm{}ki7x2axn`)b{u47V@`wY1js7H><8INkd-e4c{<`vkfjv51hP{gs~C&@ z_Aij7#$vy{1+q%9JwWzvkX4Co0)4YCU$YaIU( z$UX;IX8ci*T?AQ13CL3smq6C6LG}*gX}KI9!YKpvj2hX z(d2RMxWX}zg@NpeiXA}~4zeK?>w!!J*^?Ewfy@T7VHKBx4B~+dO|gN@4zdvy zzXzEEWW!U|g3JlBQ7JP)76G!6DKJMzMuKc~$_0?+0@+iQV1AFx4YH>zbqARYvN4rT zfh-DS<0|a}Sssv$O^pXxG{~MwjRaX)#-&0J7z^Va!BU1lg+EFlHiCK(?|Dta~CWfox5kr$Ckp zvek7bfvhsf*3}&ZvML~3Tdx|((m<9~FCJu7LH0uZQ6Q@ZvJLfnfvh^n);EA$5?KRe zFE)T&5?K>u8ymv>6?Fl z+15rFlMO(&qY=hrLy&E6JQ`%_AbX{8ACNTy*~?APr;S0js|otF3CMP4VC-gqY)=Np zZYIcfXTsbQ*%V~inK1W6HUrt-7BGKDHV4`M781x>fNWoD7=w{5L3Xe;jKRoOAUi-v zn@-je)&xRiDm^bPCzi;guy$_(v!)e}j-*1#`y)H;kL>aae6!%{9%fMi@O_+xNt~;c zxDFZMKZi7(Y*7C0kY+@71&!k%jkJpEhzx&{r5Qwqin8@9>aMNm1D%TY=vTDIThYJt zE9$AO=tG@~4tpvR=W1JYn8@N>rA1ftE9$MS=p&tqF6dWu!Bdg_d1ger3;_ba!pdWVqAs1gUqgUrl54D z-GW2Q)uE2gy39kh%#%Z7?yt){T+2KoH0GhY%p=>miepDn7`6x-lS!|78>&py38+WnZF5*`Bz=$ty<>m zAu&hP*Ja+WW&R~J=BB#LFKe0ahQ{1MmwBg_`Ce$u`uTacmigCEm>rROBKHzOIcJY{ zpAXuS4n`g!1bN|PJ@N$L01`=Z5t&56MY(8_4=&8vNdY)gI2M9qEF6o#u_zpi!Lc|T zOTaM!j-^Nd_^PPu`ca!+!~jo_3U%_%pYQ|?(#x#@o8mO18dWD7a6r5qWjKOE~gn&@2kH%!p1VY z?+kb5cIJ1sa<+4JarSWbb@q1-hWH!joaCJ0oa z5^N*!6YSauwsH6gc3A}54EzMUB7$upeu7;L!L|ZF!LEZ~TaTY$mq4)X$4_upFW9!h zCviE}0eiB7?L5|T5&sHj=7Q~0_+;inXhv8RPAPaUoevQDdu&Ncr zl}HL3vw}T0{vi+k0T!i#JwJXeh+knPDcFnR*W&OMmw$pi9>12vudrql?4|H)5`Kk+ zmLRS|QrMyu?B($fDfkDp)Sd=k#nt#%*mV_biSP#pY(NUO2Ji=4Bm4@xhJvjleu7;- z!PXQ0Af1A*PB`@wq(jm)L4vJ+K{|nd_!9pBXKsS@8U6vb>ILZ%{$ZvxOK`#ot{_dt zKfvV=LE4KlI5icd-6(@A6@qjV3E%`xkZz&c5BL?%ss!mr{Mr=1!lr>BwZ*R;@GG3@ z3sQIdS{=W_W`!W7!&e*n3ioLRTS@pTuEDRcAuQM&_;s@NKZIvIE?Tr!V!em5RM|ej&Ka&4TR$eClF2|yoqoM z;a>=EA^aQRZG?9aP9vN_IE(Nu!g~nsBYc4HA;L!pA0wPYIFGQ-+JTTy@Y8<~K1KKp z;R3?v2p179AzViI0^v)9D+pH+zCyT$@HN6W2-gvAAbgMTEy7KNTL|AF{DANy!fk|~ z5bhxSjPPHCUl8sh{14$C!mkLwA>0QL2!eoML9il(A%r7{2sQ)>!H(cSa3Vw?L?Yxu z$c-Q)L?Prsh(^eZkPjgSAwNO^1d31)p%6kWLScj=2t^U%5Q-rbM~Fu#fslYu5}_1A zX@o?CB!n^u$p~c;$|00TsDMxrAqAllLMlRK0Bd{bP@xKZBBR6MTHz=FAr1dp6`>kJ zb%YuSH4$nd)JCWSKw6^OQ*h@Vc>;Co;vecE)JJH5Mb;y4Gj3V#hioJh#iXx~_b`B-Eg!d(112cZXk z?S{}3p({dXgzgAk5IQ0BLFkRp3t<+*Y=p-U`Xf~<{L~u2dc*oHvEH(NN5bO~N+2X4 zltd_nP#Pf-Aqk-jLNY>GgmMVw5h@^5L`XrXgpi6*8KDY78bVcsY6#U4Y9Q1^sD)4) zp$Nu-!XpTM5gteAhwv!EV+j2b1|SSX7=-Wy!eE3a z5r!ZPMHq%K9AN~)NQ6-cPa%v(7=!RM!dQfH2;&i+L70Fr5#d>cNeGh>rXWm3n1(PN zVFtoXgjoo)5#}JwMVN;$A7KH)LWD&KixHL}JcqCpVHv{n2+I*xAgn}Kg|Hf74Z>Q4 zbqFsYWFf3a*nqGR;YEZ^2%8aJLfC?^6=55~c7z=WFC)ByuoGby!fu2;2zwE-5%wYM zM>v3R5aAGjwTQJSfH5lghL3U5so69Ksbf)4#HW4 z4-w8G{0HG80$MMON5Ccs-y-~gfQAcbxNsl95{7`5T5=&o0|=AwQw#z{h(#!dP!b^# zAsL}OLJC4<0AV_Qs*X?#p*})mgiM6y2(1v>B6L9L3?R(GPu&oDBJ@G%htMBkAi`jT zp$H=oo&pdS;-{w(CLky_PsLBO5auE*LRf~d0%0wHumnG4A#6n0jIb492f|K-JqY^{ z4kEk?ASf)@0hTuqP9ppZ;cbL72=5_aS6HwsEZ7y+YS!w+Iv!`23)asC>t*YI1nU*+ zRl)i-e%fx`L9B0WByL<}SwFIVELcB5 zg3~x#jli_t2&bZ^2pI^?5i${)AvC}u^$|KCbVQgc)+b~-!m|id5N6;XW+JRYcphOj z!g7Q)2rCfQBCJH1fG`nZ3_?SMaR_Y@+9A|IsE3e_Fc4u7!f>3Fh9L~auR{Q=Wv%5% NcXx!MWp&}UQ1j;c&X&Gt*iAG_ z{H97nczs`_I~?fQ+|k?+?g{t0i9v}w8d*~Ye{SNYB+tUXZ%=b58lq%G9ru)Vhg+lJ z=H9YK3~r3Hb%c8Ry2BNe7;857hI^=XN(P-YH9)J~w5Vu8UIwkAONtIyoI%%6ueM&R zbnQh0+CJ+us`Ta5vQ0z(Uoz+Nr1(y!A4^8+s5%+~s`y~`%8n$j8B;w>*)xqnfS zhgOZ#T+?TG%8Mq9*gw2{aP|67j=#!PV9@b_%)ap0SsN$qHS_S$zQvm+`uE>bYSik@ zG_PXwK6&M9-7bC7;Jw{dfsuh>#`@yXfl;p9eR^kPuc`>oADfp~>vQEq=GAS4boU%w zxoS@5&CnYj@I_iIc&eayy2UTIlP>+ig>wY6wj$&y4Q%7Yy^YMW%KYrS(R8s^ADU4H zyk@#rW)$zAy>h<}?SP{3Wh!k61Wj;<(O3r*u|%Tb5Kc^2bxzKzDJ_w) zTiX^?(Y4wj|NfKwGu9M%YRA>njCrf`C%X6BYf97n*^n-*{}%pi4<(b$CSS9uD;jBz z^j3AYcSWM%gthDHL^+eCWcGwA`eS5?`{a#f}uVWs#QNwQe$mlAIWfhf%W65upvCvS_HI z4LZXOo$JD7_2J&Noh@@i9WBvtPjOvm=eoWwH`xpL)2q74-jrkree4rO2U*;`p*gy4 zZA*8tn~bEy6T8H&Ii}Lm+8PFh(a^DWZ{QaR4A}Sf^nk)XoIzevG9;;UFD?#sbaoV% zyUBP;hKde&QFpi{+*%yy?(Wg$Szr-H(v2?4X};sw8O%@JT##ZL>txHFqVZNX)o-7S>RTBrlv z8)Rv=^RXB5sV-hEfjPn13+t-E^w_mvE5vL3X>zc3L3Pcc+yJS@1IoGm944!tW5&7+loQgqb%x zu%xaDS~0I{fvT#S#zvku`zgX8S5x#RE5fEI!oZRg>@-E#bVb+uSJ4 zMJU*)2n8z@pk=Wn3}lEPsHv(l zRkAop6b)1{el^>Q5FDb7h&Tnuf+fNbFhX!N)Xb?}xWF{2F;LwQ^Yf-Gh`1fn`0VQX zK(KPjY_l`)itEN;Ei_nWUSN&KIJj z$gZslRL!jkPR2|E+kEocl4m*AAnc?P0!r+p|n&6_kC5>Q< zyRLD`Wa#B!A^^U4y)iFjy)iF&y~)8VtfLDmkrAfHi2<=*9)lZULL>$Xe$`~@dGi)5 z42t@WE_R?`#iH7(;N+_1RdsNYjTe>h#DLE-U|zovYjB*|G`ODV z9=Kl9!lpnScHB^MND;d>b0Mdp1}1z>(BkDZ$%AIgHk2j(A}hS|O5{XSZGBBcu&!nf z@YldFl#kDb#NAf0a3GH-jknCL`8{UE1WSndI7#TkWLDc$45-5KRwX$}_mR)w7E?^^ zyZbtNBkkd`#@_C}W_SV>idKc9(MGt-bd%3v6kOBU+1u0G9qOXwT&o3X?g_0o0YDq+ zXtRbS0Gl>VQUy!eJGsv&u$yunYE4rDIVo3C!QU~B~$ZzxE zY2fcD(OV-OEsXque1dG>QZg(Fj?d^C`Z}5*r{FH}Pf+9Q7gjH+t0{&@hRIP`bs?5N zlfQV#cjSBMsLeaXu61y~*ReU1`~X8kSExJG9)_EG%!?jgVk<4>DoUD>zmdPAZT|q8 z_)V95)bM{$PHo{{QS_7?Vl5|G0I8JLj7_RMjQpGY$4mZ2cEiva?&yK%l7Z&ta8FN! z-`GyK@+U#lu~JH1Uh*A@avq>V<3Wk`x@nH8Awwr^wGb|4wc%1~ zgD+JZI(DlzTuN>5rD{W$@4;sbSQ*5rE0^dVbz99 zsSUnV?fnzA;ZkaYFID@1L~Xc~+Tbg|A`z{^>_=fR&9>GMxXzTN4_*ZTDn$YSu1x@V z6#yv61OQx{0PrdRP?iY*xHbXcRREwk698~+0>G;PKoK&_vnMF+nMo9&VN!rsK>_8O z5GP!l0PrdRP_zjExHbXcRRExL698~+0>G;PKmjKJ;MxQz%YHHxuor7Qf7Aw<026a7 z0sbxt(3=|LWGrwbF#B=wcn+8rB{9V-31YI~Wzu9AN2B3T2aGl2YbU^647|o6%<=<_ zB-!K5XHpfQ!ss%3Xcoz&%K_61ucfd9g4nI^te^w(^qC~^9Cm!-BfSZ+%SwnHl)W)! zh;Cdwx|kk+Qkeu}G)NCeLqhNrH`EjD>}V6ImSstYgbrOVm_wTdP87SW@zo2 zU@r`~P&#oC#5>#)Zz`P8UfPF|ZGZxVLeyKMkuDfDcvO*&=4f9_xGc0O5^P=@>gWhZ zdmzsZ)B)x(iOfoEMvtUhk^d-|7@&!9+o0-=Pc;Z;1Bq%7(cbZhQUQz}M~}xCPJqJ0 z2fbB+IvC_*6QN!4Fj|iY52C*yRTWZtv!J>KYFNVWyn*H=2La%A zku`S!dH04j-H>ZxNLfZ_Yb(58hXx)C1l@ZC`Mr>h-5a{$Jylp*IBI-tN}nO-^SowF zhDLeN9#rD*MtIK|%Q1e%-&WxB81dL7lY9A76CH~gO2TRnl*EL1N$kNgMt9N&G4~(h zIS}oUIiPj-z(4}Ymm!e+$3*fUhn}K)Lwl%a9lV$00}>@O)7GQJ#3U6z^l8Wi4i+)&C3sO>V<)}r@J}W9bO;A z_fHVm9*(qaZ0_xj2D>_=ypcdM;(=m-fjI?gMWJH&l2Qb@*sI9J|9RRNeT%-0+5Qd` zY%EViwD=&#e7R}KRo=?N&CT$7v$?Yc#!amS+dWkEcp%iuk3<9hIHukb7V2~3MVGFU zD1J*C7AQIXXQGNXaz(7MJW%|G9e@iPMFyrFv%%dHZV9%8TVfd^k`3j%SW&(T%5>2s zWdNgJ(XX-a;E4yc%6Rq%r^ECgJK9(&K?sz|Z$+8Fa}KF4jN|Gyy9#b`N&}H`#pr%Q zr=TKc^pEsUn85G2#qq8krd9kom{~m)`Be&-5bn{V&)>eQn)D8-S%~S`5GmIVa{jfZ9>z}lqwKcc|1E)7Qn#+ zNd=s_3|B@L$#A(D*`FNXAugAPkqR;keOWLUH{bMAG6)PveaX+rf#e`m&IJuqxj4FI zGp4JrH;m6YA(xgiSDq{13wi~dRJ{97CN)|dCVG-W6E@h-j6xw)3s)etFks9Hf$jEHr+n13!!nYqI zbA@j*BelXem63VEH=U9B!nZ#ob;383k$T~q#mEBTJBX2m!gmNGi-fO|k;TGS%}9gr z&1R%g_~tUwBz*H2St5LOj4Tzt1&k~czD0~2Dtrx$EEm2eMpg(Pq<5w89m>cm;akDT zYT;YO$YH{F7$ZU9JDicjg>MZbA>o6^%4>wLm62xQTgym`@EyTOSoorhvt-Y(e7%euA$%JcStop(7>Nqs7Dn2IZ!04m!gn+yox*o4BVEFGJR|Fc??gtr zh3{lWdW7#(MtX(sbVmAw?@UHE2;bR^Y!tq88QCO!=QFZd_%38*i|}2{$dST#DI;5j z?{Y?t622=LIa>IxX5<**yOxn-h3|SsjuXBc8983~Zf4{J;k%WQ6NT?~MotpGI~h4y z`0i%p6ydv4@O{k4)x!5FBi9JuuNb*j_`YD|I^p|@k?V!;*NogCeBUr~ zqwxKXk(-3?4~*O_e1Bx*7UBDjkz0lDFO1wKe1B!+cH#SxkvoL%?~L3jeE($RF5&wZ zBXPY7QzBTow7 zSVo=_zVVDaEqo=6JR^J)8F^Ot_GRQb;oFaq=Y?-FBQFTwR7PGDzUhp-Bz*fb^0M&F zWaJg$o5jeh!gmlOuL<8FjJz&`b&uYr*dgs+K_4~1_jBOeLhp>o{fud3oB7he%4R?CS|f)8#3Db+0$N@H=T zQ7OfjzzM~D22hMIxf6P0(&*vqTcI^_0Ij%&(t2E*x5p%!N@+5|%iM2#ZuXEc9UIqtF?bPDd_?OsAQ+PEu%&S8(!qqjlMhebsXNs*~-jPO+~l zv&v4grrBqmZeMkVP%YU*zRKl7|BbTDTYJnhckL<5{I#bnbJ(7;%wv1XGMDWs%Y5Eb zLF3Y*{p^(gMi>fy3FRI$Czo=@n{-UbPS5?0yR??cwY(=JyQq^;O zY>kVmgo<}`vzQezQ>4B6W5{( zMOFKe5#zG#J;obbxxPob_^dWf4qDc^?=fmz)o!UfX=*QOCRUv^WsS;a4NKD;H09+&rZ!r@47bRZesBWL3udfiyQy$*MN%FS6RKzo=@n{-UbQ z`irVI>o2O>tiPz5CSOzbwupXVgkmlN8&Pn}i%?K?X}D8G?EVlssxHmcN#CWJS~z6# z0YcU9qY`%eVmpn{Q_b^|^ei)2)ewN%a`TO#REMl&v~KRh4`39Xvuu zHIqo#*dx?b&-%>$KIw^K+kn#BWE~C%iYz|V4P)fE&G%P&WZT;rGafq+|?xX@+~V2SY2WHnJ=MWq?}B~ zAOFV46yf^^BU6R%|8QlUx!z;X$08hHS2t-9$U(4)XBwG~R=|FkJd25Z*+d0%X)Ya> z9q2At5ti_4Gn{4s;4EJH39YshJYlG1o2 z=BAZeIO3x@gr`b0g}PxcQO&02FhAYIt;1@H9Ft6xnOh$OD=a{Z@7WQHBI1;C$C5ro zAL`Wy>wZQ)#(h;TeHavQTutmnbL+z)Tg1jLN)E7Y2$GxCtUH%vkad%h3}X67eUz8x z>afOwTNdi;fkkRVpgiJH#&WcR>0|U_uU@F{1M6bU#4QasyY+Ec#Fp%?fJ-dn z$*{gqV|yDk8&h!Eq!_vOB^T3q)5_46RFK1)9sRuo0r#Su8T&u*+0kgjY3dZiak zs~($^#)uv-5D%i6M{vchs9S=B`y;ixRfwU;)}}? z!oB-Jc}J?Dqktg^me@iGquxF zw)l%%Uk|MnqVDSmb#KNJ?r39r4=Q)-P|v*av@m^xXa*Z$1Ov07M}#&sw75Oe(brSl z)*TK(BQ1t?#<1fO`e90@Dw>z2KZ!LsYj#2nJyPH5h5R~-(E^cQ$1plr_>O}fhHnI8 z`UxWAPLwq>)ZWGPlSRcj1;$!o-)T@y6#cJTKLfI1BWwz82)9B4Ly(SH;}wdE!Pz{q zXc?fp!Yv#PMxUjhjXlIUd<;-kFR11z!E?eLP2Hgl;qD$BCZVc)qo3!6I(9zP{1oL{ z$Xzj-UI-(gxth*WPfZ0&gNk+j7ki=RUjltPbi%xk2A9I(OzsDlPL+Oeb5}}B~*~L-@HG)5-dFY#;qF zy%QB}CtjYyXpu$aJQEB%=M8(I@DW811J}gJ9n!uT9`m7}vQUTslMg z!KJVfl81v!50HLvUFG{hqWIJSJg#!+-NhAnT;)(z#T9s5#cP9^Yd?o_rr0f5IR21V}x;SAom|{ipQf9!hoUr`Zfa$ph?&Hh&dKV)pD(P1v7>iL%pEy zhgxi%!ONV2?-q*&+b@W#^Oks z_}ZLOiP9tA7^PmL#DF>eV9`C8$7VD{n%CBLK-)0(g_4n#J^4polKXj#G6Nc<7i?okGfF%nwAjk+7Gpcn&H62&29sx`8lB|o^N4>QF5}? z1PKL&waEumR#+^xa~O$o7L#IR{0I`G)|lrt<{I-MXX^0ik(7IJv+QQ~b?{>w%b_}a zV=Txg)PRm-tgYb&>eph}6rI|c#%n?R2uR)`8;u^L!DzziYN(^KFA{B;4M&I$@Wcf6 zV?x0+msMF*p+YS+mU)2$mVe5_Vl1R2$ z)m~$z0rwWEMp$Nl3p<*_ZsTxD_P16HIV4-^Ky20=FH;%hGuAMDD!mfxc?*V%WrKys6}8Hf>T8Mn&DByKFP_R45M61B+OW6M6-;L0Y$;g{tP=Aw*j{n zo?^&hIHwdhfxyNw>+kW~zn|Kt!T7e`=!VIV(UUysoE?cqp^Eic_x|zWo&UhQuZ3l|GY=OROBRt+>##TPxGLC{uO^@(`65MVaAe4@?q!A|rZsP5VXh8gQHiMgGoQfLfGFmOd z&S!Lv@LkC0JmI?-hPqgPntUs5cCE~~RCJP;LFKtTpJ>KHMi&Z#tCBn9DRI;_0(C8* zuFEGnb_()@T1#_-NmE^99n|m};fAdnc4c?>gqd+O`7F!0$+(5-e-ZWeHXyk@pBP5O zYjj~byUJlICnkL0ah1amBd);XDu?-{xB`!>9A=o}3OufIm}817@VLrhmMN}qx{1eQ z@GJDV@Q4d>1s=HhF>5U^54Z6c+}K}RE-!OO(mvCs8+|La2u~ta)E;W=N_UIBVU5W^7r_7MZPrN@EWfhZ$eFZ z3ugIIKHOSNXU03mtNAcrei!8WeqSPb!Wi6Pyr~+lYs5)pkU|7M$2YFT+E?d{RVQM2Ei&k_r1?^6_m0 zOiA+b#R7NXwE}nHr2=>1Q9q2#?}Oh(W`G`SS&UuJgzE}23x2D_J&D|fZG_x~J%Hfm z;~qKgR!g@=y0fJ_N4j&RTPxjp(w#5eI_cI+cY$;lN_UZT7fZK6x{cCplI{}eE|u;w z=^iTG<du+xpZA?d;{H-6nL-4^MFrQ0gqHtDXF zZbZ6ANOzrdqtb1cZijR`rQ0Rl_0sK@ZjW?(rQ0Xn4bt5x-A&TnEZr^AJyN=`V~wW= z_N#FhcB^q0_Ns9gcB*mrIO)PJHGX}9bWfD-Nzy%8x~E9@ROy~3-P5IehIG%A?pe}3 zTe{~+_gv|oC*AXlGn%^hje#J_d)4CB;ALl3p>VmdSJg8cVV{}cVVv>cVVX(cb||h>=NVG zPf7P_={_UfXQlg`bf1^*3(|d2x-UuhW$C^m-B+dinsi^6?itlJ6#8xM{BO5d>rq3esnM4003@ z8)O{yP%ngLks_K!8Fal68TpiE6JmSt#JZAsp zY$}Y|G$@@-@WB64w2F%XZ2w@qRoR`#3lh{vu`6+fjj zPc&*)%%}s?83j*#>>BlxMwmpS4vrahNIIk7S<^3QRAtPls&q!d!!El<{S3ud6EkXd zI-}sZ+b?L;+?Y|d>5PI$fOd_l`zaMYKcV&2$BbH#&M0`SXxFI4KgB5P3}HNNh#A#5 zuu+sY(IwKTnJ|IJDSSrBu$^?po#1m>CjJ>b#e;%hjp0U!;U*r#ZR%{fQyoES;qeH}J_l%_ zKHN2c(f6r2Q?rFv2%ar>TaAXQLGR`eB@m%s_Z$9Nb^qm8#V1zp%qK7mwqm@*-J43%w{kB0(_WWFZ~jHx~xmT;*sa+0P%sc z57d01fc5Dcb=rQfHo{ig{y@J~SD-(uNmDD3nX=4S$}&aD%nD>l2c+RI^!t>?SUPd| zPWpGd5n{s=jd8ooO3^IM#`sq=VrYbBgM^6xLH`K_i@kt#@cNH>wv;e<0eH7E=Upzf z&31Agcd?|}inQI&3YdGCXS+L#@~BlXy;P1ioMi{a1NscWojoNR`1>S5bm%szE|mMkbq zm9lgv*eYF8tpu0a1J@|Qlk9nb!5`3^d@IoaxU=O@V39hmSUaSPy*aJ5x!E@|^8+ONpD#1PWz!4?5&mQ;)C3vGf@H!=UvpsNB2|m&uxLpZ8${x5w2|mUi zxKjx}&K|f+2|mFdc)b#Ql09&@5`2n1aE}svnmur@5`2a|aGw%2>zRVtYs}g*LJ@8RV@KyG}M=QbC*aIJ<1Yc(l ze5?|DgFWzZO7Kngz{e}Wx7Y)ppakD$4}78$e1|>oNlNft_P{4A!S~n$pP~fcXAgX; z5`4cs@M%i$c6;E{mEaxrz-K7I584BtsRTc44}6vq{HQ(f*-G%^_Q2;T!B5x&pQ{8v zWe?@O7MI3z*i{2AJ_w5sRVyy4}6sp{E0pA)k^SZ_Q2OD!Jpd$U#kRvX%Bpz z68yD2@byaYZ|s3@P=bGJ4}7B%{Cj)go0Q;h?SXGrg8yU>e2WtNXM5mVmEiB~fp1fS zf3OF>T?zi1J@6e$@IUN|LvO0dTs_yHw2%N}^U5}a)h+^+=Z+5_)Ug7fTwcPhaJ_P`G+!Gr99A5wyc z*aJVT1pDoQA5nsb*#keS1P`|deoP4-X%GCk5?o{tyh{llV-Ng<61Wz)vf|rS`zjD8ZBLfuB`^%j|)lQ-aIwfuC1`r`Q9(paf5|2Yyiro?#FCk`jD? zJ@Cs)aD_eaD@yQz_Q0 z1kbkzen$zew+DV#30`Oq{GJlL*dF+OCAiTZ_yZ+)i9PU#O7Jp!;E$Bx<+i}u3iUds z2|s-uleSV#S?Yjtm6~#r1IpEE$}$I(hp8#c9Z&|436VO}W|uWuKZd=zwyAnlj{oa-*8E*#YGyHD%ZVl{#S zRa3S*pgc-V+3A4tXf@?}2b9OCDSI4H9;>G8b3l2VnsTE9%H!3Pn;lS|pr$<10p*Em z%A*`mo}{Kc#sTHYYRcmrP@bZuJi!6wscOoT98jL7raZ*~<>_k5(;QHqp{6{;0p*!$ z%Cj6$o~5Qd#{uQpYRdB*P@bcvyubnFxoXOb98jL8ro6-f<@svL%N$T%pr*XS0p*2i z%BvhuUZkeH#sTHUYRc;zP+p>@yuktGrE1EX98g}Sro6=g<>hM1+Z<3{p{BgU0p*oy z%DWs;UZtkI#{uQlYRdZ@P+p^^yx#%kwQ9=k4k)ivQ|@p;dA*wQK?jsKs3{+IKzXB@ z@=*trH>oKfcR+cwn(_$;l((oUpK?HXtD5o|2b8y|DW7vddApkO1qYOOs3~7^KzXN{ z@)ZY^cd02~b3l2wn(_??l=rA9-*P~CubT252bA}zDc^HIxlK*^fdk6>)s!DOpnO10 z`H2I{?P|);98mVFDL;2WxkF9)r31>HYRa!2P(G-p{EY+3ht!n6bwK&Bn)3G!C?8Q% ze(QkpQ8neC98f-{ru?%5%E#4|-#eh(rKbGB0p$~F%D*|Fd{RyM4+oS_sVV=@0p-(b z%6~hcd`3;V+X3aXN=l77pnOhEsX3s0UQKB@pnO41>2^T*qMFjrQZSNTWZQ-4k+JNQx11P z`Hq@$qyx%#)s#gJDBn|4j&VTwzM66$2b3SEDaSdW{7_9f!2#t*YRXavlpm`pCpn<} zL`_-dfbvr{Ww`^&&(xGt98msBO*zd0<>zY384f7FP*Wb@fbvT3ZDvc>`BZ`G7@98msFO4kj za-jptKdLDgJD~iNnzGRW<#%ezB@QV6tfpM%fbuVD%H=2St_HTKtZv4#W2XvAQ z7N4O@0E=Uiwg316E=`B?jgtlGrnDbr!0i%SIm@-jZ$*U+CW%25MG31>B9lw&Z;ob9fWKTniC zKYr3!){86P1a>@We%}%(mHLVO`d&Nry(emq>LdE~(YFxKf=T`Q*vEJ2`qo5kbO^L#!C@PXa%xpZmw;qZA~1Wha{-KNicSf91L zKtIsu^VROq59!ydcj>bsc$+@IUth>C7x(MSk}I7sNE}uUUw?$d)bYQG`ZPRXou5zb zap7Uo5P*@xhn_{`E;w-?qKB_mZqrw9)7L;u#-n=6a&4R527XIUM)s7GQH${fzQ%!# z?@CadHn3t}zrG19*wU{b-MCzjTQpPm>%4T2_3N?X&6;WW4MhP*F%2^_{23f^yg$Q& zaQoc~#7S2B^2j*@8+dA>=G_Bpc8R2%j!D_2pV_aU+pk}+OTVaJzjT-0crR3+y~qS8 zuR?Mcd5k;(?q}pX@_npMK0`8glZlCSayQi5SS9ti^%ZVC=+m@fPHV7IZmpK~IoO z{R#*($Q`6ezY@Z9@;!uI1z~RZfK!ovHH2kgy)|1J_y%Zc@GWyu+1-obE2)KWlKt71 zdVPbUUf;A!zo}opjn^EruHB)oYeMy&UHX0f`U95gQ0SXIaBb*IP^=zUaR-?2V1n}M zft4TP_L?>Q5j!=VBQpJ&ylp(@&$P4+kKZHOhBbw|thVHlvj;ZjR8H;ndpY%!ey@c( z%b%racF%AG^D~Zk&d)4}Y=3sDF)vt*8RRS4lPx*^9M1fbKgYtH>(5o#V(rTY`DP7F z{c?g0GY3|*b`^zrTmsuzaeH{ZUo?60l%;)#_Po~ z7lCev!}I<59R8s{-vTf27bxJLSWFx2TQ{(2(A|Az(H-PlHL&if_reF0%@KGad>sA| z==DA(-;(e2LYhNI($U~X=oV<;h4cz~C%p&UA6ywOk6!3{#r3J{bG=Yw+Hh@@*;~4f zBKgV@d^Z`L*z4iYp3)V%+{JQGOvKs;8iv`V^ZmoRNH$xTOTrQM@q67_2&Z%@=#dRxjoHwY9Al*)8cV29rt zhi!m?xrFgRE@4LTdE#KG(u5S!W-`dtLkeBblEGRF5g(v^5vQ9l>isG z5ay-#K-ljfESp{iVZVnk=JG(;A0R9jzTH!#e+ywbuFoOtj}VsUdI`e*1YtgH6oh>T zVFj8O!u||l`8aTzqb>Lb7;XO&o7dLEP>u6i|2F*}CD0q_kLr!fwbI!9C*{UZe0Ek$ zV*UE}auVy;|7w}ULa+}4;1f!^+q~IuA4u}xf14UdxKa^}U2KO6#fzaL^pJ4`ArBfI(8n5GCY#TfZ(-{N zL;tbO*zEIR9}FMAgnJd^$kJE`?7`a?yjQUdzQ)o0#<8f5_c5S;{G^zAmY5MEpmCRR zqHm$sHXt4z~P10&oTKnbGVnSAWvGj1^DbiZM-h0j2ejX#S6_=Fn( z=lIEkmSFySJ9mc;}90f+#NWXIrA+ zlN%9*-iQS>3ha3ha>f!@zOQt^sPt2}YyW~qkyNvo$Wf|$>ftJu;FTduEhpE z5s}I4N};;JN@d*ipm8gO_5qCrP9sTfEQLNlSZX%CyY7R#gdOBr@&XL-za~GBzrzHe ziylW$1ot|+jcy0`KdxL?K1>LXbDi(H2;8q+Ke#|oTdQr>w#Fs~CB(Ozya0VQcGu$0 z9`B;eYrG?J>p!}U4KOi)QDZ#OrDj~)oe(B||50@OyU7V8!ve5^lEb|+DjYFpxQ)l* zAGO4rnM7lyQvHTg0<=7pPE2K*h=_17K_=^;IRzT%cbu@KF!OP4H`zj%!cLRjWKO3e z(voC1X8j71lZM)w9edIfg$tBN65k#uP1-r;C_b4m7{D?JWEo8Ca!CjVp4(uY{DkCd z2f^65h7@Y2!{0U--5&?{UHGiw$AGvKhNBn2e>aSvF49l-HtvBDl#;)bOygb%)5+Il zgmE8)Y4k)0+Xi77w1X5G_d}QgpSsI59)Pe+dJTkahcF!MGU55gWEj`~1z|fN%;UNU z!gfL!a~%U=4?fqcP%+k9rC~}AcLTa zc*sz2{ooEG!^sHn!6b?l0l^q>i@_ZW?l^EK0GU6-ftlAS4KUQVuf*tQE5~e)y8c2tK?zLMyt^l3u}{lSET4w7}uwSH5+#% zVBaPN#L#M70lIe>U%+RNyC4g;;uAxPg-P*&E5!;!@ewA~;pqxBpe(2kGe0pY*rkBH!Rg$RBhh~RgA2!5}J;CFXO7)2|JHWX`7L{J=oVjYSo zigpwoC^}Jep;(Uszuri?(bt2b7eyb64JbCE*o0y;iY+LPM1dcVAovjof**4r_)!Le zA6FpwkpzMtJ0SSc0)ihOAg7@?3B}1MPC;=hiqlb?f#OUQXQ4P7#W^U>MR6XA^HE%Y z;zAS`p|}{uB`7XMaT$usQCxun56>oe2sXjPtO*`UP4IAOf`>#CJnWg^q0IyjUnV!9 zxEaMQC~ie@8;aXe+=1dw6nCMx8^t{+?nQAQift(FNAUoP?I`+D>_D*-#e*mwLh&#P zJUo=(A)o{g^CWmEC&9xt2_BM3@UTmQhgK3ie3Cqa;#m~Wp?Ds}3n*Sh@e+!cQM>|z z;)k)xt9bbuiq}!Rf#OXRZ=rY_#XBh8Me!br_fdR+;zJZ4p{M{s@!Q=Lznl(Jmi2_< z$Ee{c#+8JBJe%U@t|@+qm*Q8%`FG&?+dclWiQo$}7k;Xee^ip6$i~l5(^kPdMQt^T z!%zfK9F8J{VhxIB6fG#iC|Xgpp;(I|g5n4i>rg~dw4>-i(TSo9#d;LoDDcb|4Nqy& z@LUxQPeRe~>=JDg3OpY~!xKF;JcC2qisC2~N253f#jz-kLvcKc6HuIp;v^I&qc{b{ zsVGiEaXN}KP@IY4EEH#>I0wbKD9%H1J__73tl=(S4fo}0xRX}Hy|3D(C~$wNhC4zv z+{39|i{eTYSE0BX#Wg6dLvcNd8&KSc;wBU~qqqgdttf6oaXX4TP~3^)E);j8xCh0( zDDFeC4F&Ew(QucDhWk1++=-##-U)3d3f!Nd;f?|g_W)>*pm-F;V<;X+u?xi$D4s;| z6pE)&JcHs{6wje}9>ohNUPSQ{ikDHmg5p&auc3Gy#TzKzM1gA-HC$z=;krN#SMq7N z_D*{r1+H(?a7CJiYsj>ZQG9~pQxu<}_!WxJQG9{oOB7$B_!`BpQTztQHzp_qqaA&LSNgHiZVj6hL@q8PG>dAA%c%eYNq{7JIZo0E1S+6HGseC5-h1yD z8yR=pdySJgc5Ek3?}-yTtH+;a zj7_nwXZJ+-4|jDmuU{YS@H5WYu!arIoza%b6Pw$*q8)9KmWh?o^^t}hRqc)4 zEm1!+I1Ab)HPJ2I%^lHD=Z?09nrLUV%g-#%{4LG(6XD;_{G8=E_$PEWL|P)89a<@s zi5=0VmS{uQ#9EB5ZC>9N>FVx?&f?6f-_aHAw+TqJR{4P(cPREnPVA8ugS|RT{5|2)2RN-$1k3_b4^bFrLAiwcNb*w$s^17@Bvm{ zzX+ecv3yisXk?bpTrsNuppfsdjcY~~ZpfU&M;By;jDj-Ac2>o<33K?kky(+>IX+{8 zpRX9f&BHnu98$S{!piAQYrxM)XzJ!rC@*AISw_Q}yhv#AtoDjY02uXRu&ZG9%>9(+<$P}$|+6J-NsQlYme;C8@8it4wg61&hxFFxmEE_A81&{ zq|o9K^PpfxmhcgSjl41QCJvb%u!2KI7Wl^1uNYP6FAWW==$BP7a$d-4UB7f!M*EOi z>zaqpC=Lj$=g5GNthemBeGZwqZWZ_$uzbUYjmq1yF~et#9o0FvZ>d?cLe;-7SXH>a zdd=i@6&ZztTbEDI>RZ(?B3Mw$gBih+wl%{ZdFFXq`qs9>RhmU#GpQF zi=Ce@ZWuOeb9CUYIpc$~>W{6l^SjAEl4qiyOD6&rO;o!ERM`ar)WG{}anN+_b1IjZv!5A>I zbME**nT`=)%oi&FyWSg^8D?Es@Sn zKkEZ`TY8+I_2sM|0p@Jv#>m#l#Fj|gdgu(cwr`3~tcrGRXm6YsX=`kWb{1B)w{Pm+ z>}UCqNP1I0>(5z+vd2C_br6LeTN_$7ZD{N$^s_;nWyLPBYl@jPHZ?`T;1K9oI=0G- z1P1JTIy=E&m}JnGoDE9q+zJaLZS8G^#eQ};XM{wwxWIJH&?e7VHqAIwv-_wsv<#>RX}{E7}^P+oO%O(JcU-?#^;{Vv@%I zvQJs4E)=dRuL@UIRF|VyDwlQLn_Hq&z+ibo#O%s=jV`R;2wu(lW-*v{W?f``cv*W# zBWJtF>84M=Y0fzu zsHCzSJS1YlMA;7Q7{5!QX_uR)uO8B$p?~C6_10C6_10C6_10 zC6_10C6_10C6_10fqyw=rKMHjl2G-6a7jg7Ep)^xLh5~$zM`%?ysV-O?=BT1>p;gS zg7VT*+az0pRMkKelUH+`2*si95tXLms9vHR0VfnkP5Io4h1Ir8wV|?_m@aQ+MI`;u z&i5&+3WZCS%&|LzfV!>?SHKM`>%fROBU6IYs$+i zYRXIDj_6ZS8Y-Pv9-f4S1it0!Ye$*oIHRzWN{GO_IL~RJOU_AD8(tOypZeKm@gsG}SF$>9WNKD&{PK_O08qeWBa4eJR^>UPU#u=cIl-IS%`s z5aoF!9+hMrQdJT!Q zZw+aux(8`jx3Df$i5)l898$!t?NZ38DTfJP9o+GHnv{iZ>w73$>#8UM`bw2VT}4%S zO}MgrF7TJbFqDrEJ=E8zLKQ$6k00%rTgz{5v&J}L?B^uP9wxKK_Ci4QAMG^Klk{Bn zea;S7Q~QqYwyx&Z=)~Htj_w9{v=V74jkL7XHg~~eoFBp{Sl`~>)!Ee%+05BRP7l(2 z*>ipg0NUoZ_0E_CVBL<*(exiW-Pcgt!C8X+hJ`dd`k>)|0M)R7z*TCN&uIqV4t%uVCamt zb;1L;P(wqsv$Gk#YjQTt$)5yG%ldcrj{p?KKcR6(8XGyA>m*Vcb>#fNVg5h%Z!Dev zz+?f+azlG#X{2F86x#pr8I0U_*gpwT52}{-MP~mF10misn*cZJFVeTTZ5Kr4MRW{dWMY?9LrAx z!>1YyS}GXE3TIMqsjWdvwT4l|X$_a!8njgFqY|y*Qd@(TYCR*-8ZNapX#KE?)Lmlt zt1!6sakdz^&eW{|R005vBmn@|HULxt02P@4fNL87Dgl7HOaQ>O4FHt@Ky@Yn;MxX& zN&uh=h2t?4)ONCyU|~~$N>D((CZq}1HULxt09Bg+fNL87Dgl7nO#r~P4FHt@Km{iN z;MxX|bw3F!7+bvEEvu((P#XAE#nC9xP&1*L4L^EJf?=^G8fk-}V|2wB_)-EtH!$J& z00xFWqwPmbv%o~~`Fue(%jA`S>4Kk6us?y+oxiO>f0EU0lfd)R(TPv!#>6g5AayVf z#Ec=iBjU-$?Buh=B$(heycQj)gQu;L&X)GJ^(q(h;Z{-C$!Q}8Oj)lfRH#+WIdYIs0z7A6YaG<`Uxp93oygmx$+<$b%N=HuY$EikF z1l-SzY^V=+!T1Ta69+-M!yM_R!UbQ?H(+ATP=T1Wrk3W-FbK$`n%f#$x*MYtBioz9 z4I3hDZPAuaD02&KfO$kBGifb&8*fK`Ak9G+8r*VDj&M_ZOCyXSDRG5@pQ#{c>15?_ zt(?t4^Vl_*o~umH)7e_Tp+jeDT+;n}kozSA`J17s8$hAcwaFm6Q{yF@NR)ULzejZD zFX5MB<}bs+a%*&Jv@HxX&gQNiFh6S81g%E?5`oEPsrWGJ{ZdUKr&lYgYoLWCd@gU$ zyyPeVT(64e1|aX)THgVs7KNN;v^O=u@4#?_$0EV@7Daw56l2HM4){GOsy#e>bVW*^ zp{D1uWle&c@|c5|#DD6LJ!h=MA2w}|liZJce2XRNfPyDKXkR6@S^75nGyI`R0 z>}UvgM7MxRD&JB!@Y^x- z#;7u%7q7Z>jfD6tX;|Rwly8YDev=ca#>#;B3_AcH-V_;_cPs{fXS6Zg7;TIdh{`t9 z?_#2U3CeWIB^7|+Kja@^<^4$BmGR;aPn)7T+E^_?4AjYwRh@hsGgr<@bC+F(^f=`P z!A>30iqU<8PJtp8{HOdg%;3+Y$MLQmrd9H>mfbvwa;!)wEbQtRq-`xp_Gz00Ry$-l z!5_#nJl)X0Gsn9g7oG|JYyKO|5zXdC1lTiB#=Hm7b_nZv?2U35t7i=<{ z0>(ZcSlGseEjxE$zPh`j_}CIkX{qp;J}Urr8In}}l%Gs$|G+QUR5lGs1CmrnJ4uC4 zC^}f~lA#s@;3VAXp;`wZ*mQOjQsv2ckc>ZgeM!{a5$ULpR6VL}7X@WO@i$1i&Y zMYu4!1ty)N6IM;k8ncwMRf%!m;&dF@ho!h>FRoA?;g{B>g3XYX<{Ki|Or;GIY?jgv z6KuB93Isb^X(I$XMrk7jJ635&2zH#(#t0Tt+E~F#lr~N}Dd&Jf+PLtU_t<5Ou!Njuvcz(vB6ZQfVQZHT7_VX zm9{{z8l_bUR;#pyg4HQ)v0zJ-Rx8+2r7aO`nbMXCwp?i|1Y4oBRf4Tl+8V)DDJ?A6 zYNbU4TcflF!PY7*Dp*))>jhh!<3U$9Q4T_{+W(k>RPTWOaHwpD4D3${&ZR|>XWX;%xjLuuCvwo_@>3wFHHZWL^n z(ry;)1f|_7*lwlWF4&1myHl`}ly|RoXLxou{;C1v_79&kJ^e(q0toLZ!Vd*hNZv zRj`Yd_PSt~DD6$bE>+swf?cMxcLlp#Y2Op<3Z;Etuq&1JL&2_6+K&XgT4^5%c8$_L z7VKK3eJa>>O8co`*DLL3g599BUkG-i(tah_O-lQXU^gr6cY@ubv_A-TtJ3}?*lkMt zi(t1a?XQB}p|mdqyHja@7wj&j{Zp{JmG*yv-J`Vs2zIa1z8360sTo|b`;}%0_JGnX z!5&naU$8w&%M$D%rDY4YS806&dsu0G1$#tkd4lazT0g;hlr})HN0l~6u>DF43ig=N zh6wh!(uN84gwhTZ>`A2+2=X{LovUcvn-;q_o#fthvU^iqRBp00)jjJp z_oma8Y0*K-Rj>Z}Z;W->x?|R*>&{r0uRCL1!tRW98M`yqrR>gFm-E3Y8dnM(>8|$B z)MficQf$9X0Mc7V0V^s#i^3zk3k>;tL)R;$LhX%NpxuO*DX z5gg1UHc9EV65=a~6&E$!szt+kfw3~9#w&YmaYdQ3p{7r^4X!;?R%9i`S1Bu_2}CpN zW%05CP}$Jya3{$%&B~0bd~Ft6>Z~lOHs`doXATFmv!@PJ$K~Wx^&%hI9K=bvj9NKK zb)7b;V|Dex^KLJ?R+edemA9r`k*rLqnWFYUnyOpHMIj{L^HhV=#CX@sf=W~AYP?lu zV~ED2sT|9{l`VDOXj_#PyWXkBt_|g4aYdJ=DrMh*Yse3pFk0f$a%D)}KRRJtWqr_e zV++v_N*ABirYS+kvh{-|jf>PBZ6{6ZMa#sdlcuiG*luBIZb!W&UU^B|;W(B!-qF=; z+u4nQE+5+}F(Xv}E*BBUM^L(ofzH{gdo|LHLEa&Ba|R~mQ#{FD%OhzB>(%>s+w0Y_ zgN<^r{Q#=%=_AhBby2qymX(pe^ zrfDXh$);&0pUI|aCZEZsX(pe^rfI%BIZY4xUAA8|b=iK=)MficQRF$? zeJ4FpY*$ZupPa*CZ;8c+y578wZv~R|Np(xajv?h4Rr!e+@z%J@*OJ-^a%%o9l=J!ujj_EV0DG#W4VMvuraI%KZHdRW8g!zjPKwl z8q9EOo3!BL82IUAEE|U@{t{a&TD9VlTju#Rsd83)RwbT(LYho}Rt+qykRhXo%q15Ot9b z*b!8|y&)=3{_vZy*rK0J*4@?IGO?d94gp*xc|#% z9ttftZl?Ck`As+xBtvaL<7|d=hi;dp|%p=W7oQ<-{VO69$(W$e&yQ3l6+}6}Su~w>;&23S? zIVF=}r8^5iZ!xRDH6_NE=JbF$)r2h?f#z0q1`Te>8|4I~E1sF=tN^rv*{)ow0Pp-J z+?0pe?)P%;jo&tPADFl*7c#;k+tjNf#^T&ikmncx}fo>>7~ z;R;)u+qydo*LOrC(1r?Oi7o6MguaNgDMal%+Iy@$IPZHXz*Xkz0NmJX1kYBfgayx0 z8tyHTTbP8|pc-)_u4Qiz7e;V#+}e1tC9d7`T!?BMGn>ryScn^7M1$m^)7S{z3(*Dm zO{he%4ffC0M4O-lBXBRw9!(e$gQ0!6&oV%7jy6g(luDb~j&}&;61pK(VvZ3Mj{qXL z=0@A^=VQtA-$DO!iH?<$>ny$ir^o(kWkMsB3C6l7&y7_3-(+5mH}5rq z2bKTV2|iS5H^6Jai7T8GeAd?aK_Hdau4#n5Ec6)3)9Xte4I6kjnkTXh9WV)KNL zVUs?{yo9D%8tHWHDZjP#{XD^Kv*Dz2a4$0}_AOi$DuXdeYp)7-G3q753M zH3&v^-Kvs5#Nx7oS=M0d5S;3@b*WLR1Kfa{v_e=ztzj@sSvZ--BPLqn^8vVJMnRbn zqg4FHufYvqvxIfHRS4JuW!G`366=yj-b#vARIrB(yGJe8_HOZPB zu!^lIP%_X_7N(Z2U5#_P+vKT)#W=aJrsp$mL9aQ=Rp$;(Z6<6IPVKs>u9|7B5ftz%`J^{I@()%d8z>W6QN=nCYCx(p=}*&9TxzS5Vy}laZID)3Cot~ zx8NIr(Tb;_RN8LFGo0!-nIbiGS>@K8fK_JA6}&{Y>-s`eKv#cx%#8xz-9{{*YgYci1X$bagJjP<dJxcLG$Y}wXJ7;RK$ zRF%Qk_QZTB)3SIHe(OxmE+y!{?XHmMVG}&opU3+}_9N@;fOVF24z%ZUVFuSCyLE@_ z!aCnNBcGWTd}qjtom&l~W2xM3*{8NE(y*y2vbhe&3Va*}PgknDTkGK*AQh8e36Jtx zmclkvyw;JqD&vdnGlSrph^T<02|DD%EId9apdQx1lm=LX&?xY9r=`vE=$=qpTYHy$ zJP3xl@FhW=?4&p$s&6fxT~yK50>0og1(?Rj7;v8zFdsAFKZ9kmn^`_S<%OXyA0Oz- z5FWEakAD&9J~kfWVQX0I`bfAgW|QEv65RVGL)fk*L)dEtVLt9flHp7p&eGv*9UiU2 zV{~||4v*7eNQWglEY)F|4$E~oM~8EDI8TQaI-IY=1v;$MVU-T6b+}N6i*&eHhp@9q z<^%Q>$q;rG$q@Dw$q;rF$q@Dv$q;rE$#8`ZSL$$;4p-}NjSko9Fs#FMI*jPBUWW}j zY}8>?hfO+Mufq*GY}VmM9d6QLiw;|L*rvmF9d6d)79GOQAekT77bHX26(mF06C^{} z5hO#{4I#;Ym6?S%;_S@Khb1ro+>9c!mzo)Ztk= zJX?q7=F{P9-lD@>b$FW&Z`a`+I=oYdcj@qM9p0nEdv$o9 z4)53D13G+AhkJDRkPcyIj?542%aI}M%8?=L$&n%K$dMuJ$B`lI#*yJ;I(%G*Pw4PT z9X_SQr*-&@4iD(?Ssgy7!{>GQf(~EQ;Y&JvS%{!}9&?13$N{OCchIagce|Wgrg+VhcLquOSM4 z8enkvJNH4?3yHD!IV5Ub4!XtJ`z#k8*uzs=?b9yp(|Fpaemv8wQ=>WjKp>qO&I8)1 z@sv~WQ1?44C=S0u>4NH$uAt!Y@^|M`-3o7`m~Jbt-#4ked`F8K&HKkHZ$P@r zgD0Zjp;Pc6Lbs^F>70Vcod3((a~9MQ9G-jV7BwVY?ZE@r@35eT#R}@sbOi-ZeE*jP z)z8tQj^KyK3aTJoLBXTu|7k%vof^$Y#0sh~T|vRK?(eRkM#T#1h;#)7&(;5zKJ`zi zyfHDKiqiQ6&)vT}pT@;}8lTQ5c!>KyZBV(6f*Q>y#v0U-=^7L~G{tlgj=a1T{S?QdD$LDUH`WC7Wo^NWW zj!EYfJjwqKojNY&R4AQO@Ho@0Q)SVJ(Eu!IoFRET8MCHC*Jvv;ReWaSG+w{d@^d`vIW+Lb)D(v9=NsLofKMo(79rIpzA2tU6jErCDYWobGKDSQS_&P!Q>T#7JhssZ zq&5$9Jk~sv)7$wDO$pD#Wd5w8{d_mgD3pOJcJ(lg?}RpXB0nj`FKA<@(=4feoeWhL z^Xp_;m}k(GXM3PLho(H=1LXz$!jvi>3(fX&nlrW8UW7T9jp|}&v%Lr!)y1+LuIMF& zYu%=BWh{lOoGDxhDO@E}xQ;HC8|eg6D^Dh1_c=fd?ct_goW70bOf44KAY`%J>~tEM z2ESRRa67*P9z)Ae;U4ltY5hNHigH|wOKYx`@ zcAm0~m1qt>z+aOkn!}&vughd}_;Z*nGV;;^I*I($_Qo`T`;Lquj|AO|}RmsC@eZrsrqZyO@VH1dv_!0ge z7hv7WU?gV6M2S4Yzjjq3FyVw5fGo~Zpu+mdEqcUfyv+PXkNW(mqBH90ufceB%7j#9 zDOcYk+?VOgA_%h;VGa;x|CfY)eQ?jDc$lvU2LNIIe@QsdH%K?#_>5}oKK`GFAfqm3 z$ME<%_A{byui8^KQL-6S?fQngs$Djd1Rv@SJc|S$ z?hZVg1P^xyKAHp<-*Wf;-%Sqa?V?9k_`EZ*>P=PlC6*18*R~ zJKcesN$@Ur;Eg1Bw>$7A5`2<7a0>}O#T~en1fS*(+(v@Wa0hND!DqPxZzjR#xC3t? z!RNUHcaY!<+<`ku@I~&xT_pGtci?Uke3?7&RuX)LJMcCVe3d)!b`pGzJMaz?e4RV+ zP7-{BJMi%&_$GJYT_pGxciRSf>kfQ634X*K_zV)<;|_c#3EuAxd=?3Q+#UFA68xk)@Hr&-X?Ngr zN$>%8;PXiEbMCNP=H+2fl~|zvd2nF$sRd9rzLw{FXcLr6l+r zci_uN@O$pSmy_W4-GQ$l!9Q>ZzLErg;0}Bh3I5O>_-YdTV|U`N${WDfo~(h zpSuI!PJ;jD4txg*{?Z-zP7?eNci_87@W0%F?jyv$fBskX{_z@DE?+(0=1ow9b z?jgYg-GLt^!Gqm__mkj5+<_k>!9(4FA1A?wx&uE!f)95Gev$+acL#oo1Q)skKTU#1 zxdT5#f=9apA0WX+?!eEI;BoH2&ynB>?!eEJ;3M6EUm(Gg+<{*t!BgCUUn0TN+<{*v z!AH3Rze0j%x&yyTf@iw}zea+OaR+{#1Rv)P{00dwaR+{r1edu3zeR%QxC6gUg6Fvd zze9rOy92*Vf-7BtjVf{-lW*uZU&mxr)0BsLpj=2(9`1p15luPV1Lb0xvd{x%4NW=9 z17$5uIobnd9Zgx}fpQ5=InD#+Qkrst2g+qM<&hpJm(!G!JW#HnDW`a#TuD<-^FX_91oNYH03-G zl#Mjyd=HdSnzGUZWfM(V?SXPVO}WSensS{7%FQ%oy$8xIG-aa)$_|>c$pd94O}W7XWfx7k(F0{S zP1)jsaw|>Q=7Dk>O}W_v<#w8~!vp0GnzG9S50od-l&5&0Jej6E%>(5rH02o{C{Lv+&+ zopW0i zKvUk}f$~C{@+J?I7txfrc%ZzPro7DquAcyJy2dxQ$Fc|@&=mn zX%Cb)(v$~0P~JpSKIeh*W}5N^50tmilrMRpyp^VW#RKJSH05g^C~v1J-|#?r2Tl2w z2g*BX%6B|a-bGWs=YjHWn(}=Ql=sk-Kkz_#FHQM@2g>_s$`3tI-cM8h*aPJQH038A zC?BLLf8v314^8=*2g-+N%Ab3n+)Gpb(gWqgH07^7P(DIa{?-HKKAQ6P9w>Wg%0GIb ze3YjAvj@ukH09?WC?BIK|K@@6ahmc=50p>Pl>hKR`6NyGFAtPY(Ukx8K>0LH`IQIC zXGlted7wN%Q~EqmK1)-Y9w?uqDKk7!K2K9-dZ2uPrVMzXe37OU9w=X;DRVqfzD!f* zdZ2uTrp)(1`6^A>-vi}qH03}Kl&{m2gFR5bK~o;$f$~k7a;OK&w`j^kJy5<)Qy%Vt z@*SFTxChF2Y05$ml<(1$qdZW4kER^$f%1Ktvd9DF_i4&;9w>i6Q%>+e`9qrWNDq`B z(3F!rQ2vOfoZ^A4EZNnsT-W%1>y@V?0oPN>d)^f$}Fb zWr+vMpVE|N9w6cZ@Acuf@spPyG5(aCvU(X@0$3eZZ2TcN#J@EDoZ>-` z@p&?ddf7z+Np1-8{#OFr%jwMtV3zMQeU=7C;ZSlsy8G~=6_wAa(~kQVJ;4rLQS_+s z&wV~)?DKcBjIqx@^AMbeY_dUYXzT>!KFs(x8{}ucGQaVEsb>YNBoEhTA?N!8CUB!^&<|Jmou|8|nh4dSbMRl6|O!13k*cso-q>KkjEER>zbg^k7F6;PV;zp5kQa)HFtscK6q}FX`TRa7Q2=en7bj)WS>FYi4f;! zZ$R8h5SPLGL)^&_m&Lwl`=PnZ!+Y_5t(k9`pQ!8{tCwMo^}g9xyNOF|Tqoz5Lv{y|=t235Mmp8(u42 zuy3vFUEEsHgj?%I`qpwfeRF~@yLLRD1_6OdmO&>%PlyVFFC;+$$Vch$HCk;*q89d*;V$-o!vY2{RuwI?A_4W(d6f` zt9rNG11^W^3=Nzu}&)w9!YtUJ~;IQqNySjJV<~?weT5zWgf8N!$O2mB+`@ZIR!<9iswPkev!eQx)$z7tsf*D%LO=wr)e z$2B~$uT-6HQU~hun>EsS=YP>##z5bsYcynv*bjcq7PD-sYjt+7y&5=q#;`uU@i@8C z8kx3VwrhRUQ95Z4YM4a*Hp@F0wUgeJF_cCnkjhe>#`?M8cc$SQ0Hc~p2TCb6mJO7z zxyk2KSwCMn%#^QU{S9zJeuH=o8#|0`FUqmagt6@>lHb+Jr8lO z%CYT!hNW=}s!{(_?3NUGit6NFcF6ag6E<_Gvz z8~IX@EFZqX10vw{CB~QbwA}ib<*~l-qLD8l8Jx&IYW}QfzxgZp|2_Qwu?VK<1uOWY z=3jcuFAkW&ea5fB@Z-JazjAZ253C$3d%%B>jdg%oIH$%5v~#@qy2s*Zj+5(Q`z>R9 z%sg97fDur6z_M}=%guH4ez|Gp+E!MAVM&^95)5JH4<(3xXUe`?PO!n1?4afFMNR;c zoVRi$Ku)j$kQ?+n0CH-b1Teo&aBNqvj#>G-SZ2z(IFR=bW;w{^6d+bIeRJVUOzc}o zZXUa~qA*@tmG+mn{M5dIn7 z6K}#eS->apsSxhw7xGJBoaDZI-v9{D^j+n<)*dhU_gK!?tOB}9>@DM8N$hL<&fzyd z^qYT&@lt+^Ftuge+RvPEN(Y1*I8J354uBJs93QZg0$^XiwFCYj%~-mbNi>N6ZE zrROPhYJ{(2D#0K_Cg;CBGwAJe{ECyxtg^e43BpgC-DXZ_qw=E4FJ25#^e3>KG}Lx+ z%!O)_->62x)C%5b$_7Fc83Vog8tCIshSBJ5_xQ^&-?b3872ERI-Z3?zc|dZ=IYxh^ViRY7oh?hbxw4a_f}XAOi2t(_i6mwC`Ls5ZZK8gh> zDp6FSs7A36#Ud1oQQ#|H7{027;VV}dzB+~BD^M7|%7o!7Nf^FbgyAbf*eVpOQLI6+ z7DX7vIusEU^(Y!pG@^*2XhN|b1-@vGZ9uIV#YPmHP_&?EMbU<$9mQr8TTtLR_YBXg zXL#;B!}H@Ao&(SDJa>lYvNJqio#8p@>_imDqu7Pw1QfeboP^?J6sMp#6~$>NPDgPD ziZfB1h2m@!=b$(j#d#>sM{xm)3sGEz;$jqd&M(9BdKsR(%kcbMhUefiJkOTlxwH(= zmt}ZPEV~xPbttY!aRZ7QQQU;$W)!!exD~~1C~ik_2Z}pU+=b$96!)OG7sY)j?nm(e ziU(2bLGcg@Jm-+%d4&wm9b|ZZAj5M28J_3I@LWEI=j$;%CyzaW;z<-wp?Dg_Gbj$A zcoxNTD4qww@g2VG1-yI_#Y-q&M)3-YS5dr%;&l{npm-C-TPWT}@eYc2Q51vV_?lsk zFL~zp(qxYB&E@!}Tlt<}j&J7W_|8y{FFcm7td>7S$S3kXe4(Cv^_@I7N}lv&EQDV^ zj72CGqo_eqi=qz25)?~OEJLvz#R?QFQLI9-8pRqEYf*$ztV0n&QIDblMI(wR3Ot#@ zSdRive=zX81_Mu6Fz`$S15Yt9@Z13dPYN*FQEW!B1w{vnP83}zx>0OJu?@v`6gyDt zL~%TdT_{dKu^YvSC{99gGKy1BoQmQ!6sM!WosGtsC~)_nf&2Xo+@WXSo;m|}!5O%3 z&A^>z#-%7OL~#*{i&0#H;xZJMqqqXal_;)4aW#r-P+W`RIuzHVxB`#)ByKpm+$yUK9_bcm%~h z6g?;&MX?{nV<;X+@dSz|Q9Om>X%x?(IDq0=6wje}9>ohNUPOT_tBjXX;OZp<*BcqQ zLdd{1JqE7AF>u|Cfh%2%w^6)<;$0N)q4*w(_fdQw#Sc*Y5XA>5euUyf6d$4ZF^Z2- ze1hUr6hA@nQxu<}_!)|yqxb~~hQE(-`8rVik&C?tFPrDXQH(?}8pT)?6HpYRn1*5o zirFZRMUjC5UsTVtQRJY=LopCV5XDdwhoQh%sB`(|Wq9o-$KRW{58ju_eeg37=lH@~ zj&FwL_%>bn+F6e8mge~SXZcoRjxQeO_+n-GLRa~6ReU8V$6w3T>r$Eg%@E%xZ(R#x IwP7*#|CkOfrvLx|