From bfeaa72edad91bbbf683afec75b86243e2174c33 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Thu, 24 Dec 2020 09:36:17 +0500 Subject: [PATCH] lsc_ctl updated --- lsu_lsc_ctl.anno.json | 16 + lsu_lsc_ctl.fir | 302 +++++++++--------- lsu_lsc_ctl.v | 270 +++++++++------- src/main/scala/lsu/lsu_lsc_ctl.scala | 6 - target/scala-2.12/classes/lsu/lsc_ctl$.class | Bin 3864 -> 3864 bytes .../lsu/lsc_ctl$delayedInit$body.class | Bin 732 -> 732 bytes .../scala-2.12/classes/lsu/lsu_lsc_ctl.class | Bin 335569 -> 327747 bytes 7 files changed, 314 insertions(+), 280 deletions(-) diff --git a/lsu_lsc_ctl.anno.json b/lsu_lsc_ctl.anno.json index 5a8d764d..71e96bbe 100644 --- a/lsu_lsc_ctl.anno.json +++ b/lsu_lsc_ctl.anno.json @@ -24,6 +24,14 @@ "~lsu_lsc_ctl|lsu_lsc_ctl>io_dec_lsu_valid_raw_d" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_r", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_ldst_dual_r", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_r" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_store", @@ -93,6 +101,14 @@ "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_ld_data_corr_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_end_addr_m", + "sources":[ + "~lsu_lsc_ctl|lsu_lsc_ctl>io_ldst_dual_m", + "~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_addr_m" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~lsu_lsc_ctl|lsu_lsc_ctl>io_lsu_pkt_d_bits_store_data_bypass_d", diff --git a/lsu_lsc_ctl.fir b/lsu_lsc_ctl.fir index c087b0d2..e84d3e60 100644 --- a/lsu_lsc_ctl.fir +++ b/lsu_lsc_ctl.fir @@ -766,24 +766,24 @@ circuit lsu_lsc_ctl : _T_153 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 227:62] io.lsu_addr_r <= _T_153 @[lsu_lsc_ctl.scala 227:24] node _T_154 = bits(io.ldst_dual_m, 0, 0) @[lib.scala 8:44] - node _T_155 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 229:71] - node _T_156 = mux(_T_154, end_addr_pre_m, _T_155) @[lsu_lsc_ctl.scala 229:27] - node _T_157 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 229:128] - reg _T_158 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:114] - _T_158 <= _T_157 @[lsu_lsc_ctl.scala 229:114] + node _T_155 = bits(io.lsu_addr_m, 31, 3) @[lsu_lsc_ctl.scala 228:71] + node _T_156 = mux(_T_154, end_addr_pre_m, _T_155) @[lsu_lsc_ctl.scala 228:27] + node _T_157 = bits(io.end_addr_d, 2, 0) @[lsu_lsc_ctl.scala 228:128] + reg _T_158 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:114] + _T_158 <= _T_157 @[lsu_lsc_ctl.scala 228:114] node _T_159 = cat(_T_156, _T_158) @[Cat.scala 29:58] - io.end_addr_m <= _T_159 @[lsu_lsc_ctl.scala 229:17] + io.end_addr_m <= _T_159 @[lsu_lsc_ctl.scala 228:17] node _T_160 = bits(io.ldst_dual_r, 0, 0) @[lib.scala 8:44] - node _T_161 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 230:71] - node _T_162 = mux(_T_160, end_addr_pre_r, _T_161) @[lsu_lsc_ctl.scala 230:27] - node _T_163 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 230:128] - reg _T_164 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 230:114] - _T_164 <= _T_163 @[lsu_lsc_ctl.scala 230:114] + node _T_161 = bits(io.lsu_addr_r, 31, 3) @[lsu_lsc_ctl.scala 229:71] + node _T_162 = mux(_T_160, end_addr_pre_r, _T_161) @[lsu_lsc_ctl.scala 229:27] + node _T_163 = bits(io.end_addr_m, 2, 0) @[lsu_lsc_ctl.scala 229:128] + reg _T_164 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:114] + _T_164 <= _T_163 @[lsu_lsc_ctl.scala 229:114] node _T_165 = cat(_T_162, _T_164) @[Cat.scala 29:58] - io.end_addr_r <= _T_165 @[lsu_lsc_ctl.scala 230:17] - node _T_166 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 232:41] - node _T_167 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 232:69] - node _T_168 = or(_T_167, io.clk_override) @[lsu_lsc_ctl.scala 232:87] + io.end_addr_r <= _T_165 @[lsu_lsc_ctl.scala 229:17] + node _T_166 = bits(io.end_addr_d, 31, 3) @[lsu_lsc_ctl.scala 230:41] + node _T_167 = and(io.lsu_pkt_d.valid, io.ldst_dual_d) @[lsu_lsc_ctl.scala 230:69] + node _T_168 = or(_T_167, io.clk_override) @[lsu_lsc_ctl.scala 230:87] node _T_169 = bits(_T_168, 0, 0) @[lib.scala 8:44] node _T_170 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 377:23] @@ -794,10 +794,10 @@ circuit lsu_lsc_ctl : rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] reg _T_171 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] _T_171 <= _T_166 @[lib.scala 383:16] - end_addr_pre_m <= _T_171 @[lsu_lsc_ctl.scala 232:18] - node _T_172 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 233:41] - node _T_173 = and(io.lsu_pkt_m.valid, io.ldst_dual_m) @[lsu_lsc_ctl.scala 233:69] - node _T_174 = or(_T_173, io.clk_override) @[lsu_lsc_ctl.scala 233:87] + end_addr_pre_m <= _T_171 @[lsu_lsc_ctl.scala 230:18] + node _T_172 = bits(io.end_addr_m, 31, 3) @[lsu_lsc_ctl.scala 231:41] + node _T_173 = and(io.lsu_pkt_m.valid, io.ldst_dual_m) @[lsu_lsc_ctl.scala 231:69] + node _T_174 = or(_T_173, io.clk_override) @[lsu_lsc_ctl.scala 231:87] node _T_175 = bits(_T_174, 0, 0) @[lib.scala 8:44] node _T_176 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 377:23] @@ -808,146 +808,140 @@ circuit lsu_lsc_ctl : rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] reg _T_177 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] _T_177 <= _T_172 @[lib.scala 383:16] - end_addr_pre_r <= _T_177 @[lsu_lsc_ctl.scala 233:18] - reg _T_178 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] - _T_178 <= io.end_addr_d @[lsu_lsc_ctl.scala 236:62] - io.end_addr_m <= _T_178 @[lsu_lsc_ctl.scala 236:24] - reg _T_179 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:62] - _T_179 <= io.end_addr_m @[lsu_lsc_ctl.scala 237:62] - io.end_addr_r <= _T_179 @[lsu_lsc_ctl.scala 237:24] - reg _T_180 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 238:62] - _T_180 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 238:62] - io.addr_in_dccm_m <= _T_180 @[lsu_lsc_ctl.scala 238:24] - reg _T_181 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 239:62] - _T_181 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 239:62] - io.addr_in_dccm_r <= _T_181 @[lsu_lsc_ctl.scala 239:24] - reg _T_182 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 240:62] - _T_182 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 240:62] - io.addr_in_pic_m <= _T_182 @[lsu_lsc_ctl.scala 240:24] - reg _T_183 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 241:62] - _T_183 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 241:62] - io.addr_in_pic_r <= _T_183 @[lsu_lsc_ctl.scala 241:24] - reg _T_184 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 242:62] - _T_184 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 242:62] - io.addr_external_m <= _T_184 @[lsu_lsc_ctl.scala 242:24] - reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 243:66] - addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 243:66] - node _T_185 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 244:77] - node _T_186 = bits(_T_185, 0, 0) @[lib.scala 8:44] - node _T_187 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] + end_addr_pre_r <= _T_177 @[lsu_lsc_ctl.scala 231:18] + reg _T_178 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 232:62] + _T_178 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 232:62] + io.addr_in_dccm_m <= _T_178 @[lsu_lsc_ctl.scala 232:24] + reg _T_179 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 233:62] + _T_179 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 233:62] + io.addr_in_dccm_r <= _T_179 @[lsu_lsc_ctl.scala 233:24] + reg _T_180 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 234:62] + _T_180 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 234:62] + io.addr_in_pic_m <= _T_180 @[lsu_lsc_ctl.scala 234:24] + reg _T_181 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 235:62] + _T_181 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 235:62] + io.addr_in_pic_r <= _T_181 @[lsu_lsc_ctl.scala 235:24] + reg _T_182 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 236:62] + _T_182 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 236:62] + io.addr_external_m <= _T_182 @[lsu_lsc_ctl.scala 236:24] + reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 237:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 237:66] + node _T_183 = or(io.addr_external_m, io.clk_override) @[lsu_lsc_ctl.scala 238:77] + node _T_184 = bits(_T_183, 0, 0) @[lib.scala 8:44] + node _T_185 = bits(io.scan_mode, 0, 0) @[lib.scala 8:44] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 377:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 379:18] - rvclkhdr_3.io.en <= _T_186 @[lib.scala 380:17] + rvclkhdr_3.io.en <= _T_184 @[lib.scala 380:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 381:24] reg bus_read_data_r : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 383:16] bus_read_data_r <= io.bus_read_data_m @[lib.scala 383:16] - node _T_188 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 247:52] - io.lsu_fir_addr <= _T_188 @[lsu_lsc_ctl.scala 247:28] - io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 249:28] - node _T_189 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 251:68] - node _T_190 = and(io.lsu_pkt_r.valid, _T_189) @[lsu_lsc_ctl.scala 251:41] - node _T_191 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 251:96] - node _T_192 = and(_T_190, _T_191) @[lsu_lsc_ctl.scala 251:94] - node _T_193 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 251:110] - node _T_194 = and(_T_192, _T_193) @[lsu_lsc_ctl.scala 251:108] - io.lsu_commit_r <= _T_194 @[lsu_lsc_ctl.scala 251:19] - node _T_195 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 252:52] - node _T_196 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 252:69] - node _T_197 = bits(_T_196, 0, 0) @[Bitwise.scala 72:15] - node _T_198 = mux(_T_197, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_199 = or(_T_195, _T_198) @[lsu_lsc_ctl.scala 252:59] - node _T_200 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 252:133] - node _T_201 = mux(_T_200, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 252:94] - node _T_202 = and(_T_199, _T_201) @[lsu_lsc_ctl.scala 252:89] - io.store_data_m <= _T_202 @[lsu_lsc_ctl.scala 252:29] - node _T_203 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 273:53] - node _T_204 = mux(_T_203, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 273:33] - lsu_ld_datafn_m <= _T_204 @[lsu_lsc_ctl.scala 273:27] - node _T_205 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 274:49] - node _T_206 = mux(_T_205, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 274:33] - lsu_ld_datafn_corr_r <= _T_206 @[lsu_lsc_ctl.scala 274:27] - node _T_207 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 275:66] - node _T_208 = bits(_T_207, 0, 0) @[Bitwise.scala 72:15] - node _T_209 = mux(_T_208, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_210 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 275:125] - node _T_211 = cat(UInt<24>("h00"), _T_210) @[Cat.scala 29:58] - node _T_212 = and(_T_209, _T_211) @[lsu_lsc_ctl.scala 275:94] - node _T_213 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 276:43] - node _T_214 = bits(_T_213, 0, 0) @[Bitwise.scala 72:15] - node _T_215 = mux(_T_214, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_216 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 276:102] - node _T_217 = cat(UInt<16>("h00"), _T_216) @[Cat.scala 29:58] - node _T_218 = and(_T_215, _T_217) @[lsu_lsc_ctl.scala 276:71] - node _T_219 = or(_T_212, _T_218) @[lsu_lsc_ctl.scala 275:133] - node _T_220 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] - node _T_221 = and(_T_220, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 277:43] - node _T_222 = bits(_T_221, 0, 0) @[Bitwise.scala 72:15] - node _T_223 = mux(_T_222, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_224 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 277:102] - node _T_225 = bits(_T_224, 0, 0) @[Bitwise.scala 72:15] - node _T_226 = mux(_T_225, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_227 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 277:125] - node _T_228 = cat(_T_226, _T_227) @[Cat.scala 29:58] - node _T_229 = and(_T_223, _T_228) @[lsu_lsc_ctl.scala 277:71] - node _T_230 = or(_T_219, _T_229) @[lsu_lsc_ctl.scala 276:114] - node _T_231 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 278:17] - node _T_232 = and(_T_231, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 278:43] - node _T_233 = bits(_T_232, 0, 0) @[Bitwise.scala 72:15] - node _T_234 = mux(_T_233, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_235 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 278:101] - node _T_236 = bits(_T_235, 0, 0) @[Bitwise.scala 72:15] - node _T_237 = mux(_T_236, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_238 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 278:125] - node _T_239 = cat(_T_237, _T_238) @[Cat.scala 29:58] - node _T_240 = and(_T_234, _T_239) @[lsu_lsc_ctl.scala 278:71] - node _T_241 = or(_T_230, _T_240) @[lsu_lsc_ctl.scala 277:134] - node _T_242 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_243 = mux(_T_242, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_244 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 279:60] - node _T_245 = and(_T_243, _T_244) @[lsu_lsc_ctl.scala 279:43] - node _T_246 = or(_T_241, _T_245) @[lsu_lsc_ctl.scala 278:134] - io.lsu_result_m <= _T_246 @[lsu_lsc_ctl.scala 275:27] - node _T_247 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 280:66] - node _T_248 = bits(_T_247, 0, 0) @[Bitwise.scala 72:15] - node _T_249 = mux(_T_248, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_250 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 280:130] - node _T_251 = cat(UInt<24>("h00"), _T_250) @[Cat.scala 29:58] - node _T_252 = and(_T_249, _T_251) @[lsu_lsc_ctl.scala 280:94] - node _T_253 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 281:43] - node _T_254 = bits(_T_253, 0, 0) @[Bitwise.scala 72:15] - node _T_255 = mux(_T_254, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_256 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 281:107] - node _T_257 = cat(UInt<16>("h00"), _T_256) @[Cat.scala 29:58] - node _T_258 = and(_T_255, _T_257) @[lsu_lsc_ctl.scala 281:71] - node _T_259 = or(_T_252, _T_258) @[lsu_lsc_ctl.scala 280:138] - node _T_260 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 282:17] - node _T_261 = and(_T_260, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 282:43] - node _T_262 = bits(_T_261, 0, 0) @[Bitwise.scala 72:15] - node _T_263 = mux(_T_262, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_264 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 282:107] - node _T_265 = bits(_T_264, 0, 0) @[Bitwise.scala 72:15] - node _T_266 = mux(_T_265, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_267 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 282:135] - node _T_268 = cat(_T_266, _T_267) @[Cat.scala 29:58] - node _T_269 = and(_T_263, _T_268) @[lsu_lsc_ctl.scala 282:71] - node _T_270 = or(_T_259, _T_269) @[lsu_lsc_ctl.scala 281:119] - node _T_271 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 283:17] - node _T_272 = and(_T_271, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 283:43] - node _T_273 = bits(_T_272, 0, 0) @[Bitwise.scala 72:15] - node _T_274 = mux(_T_273, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_275 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 283:106] - node _T_276 = bits(_T_275, 0, 0) @[Bitwise.scala 72:15] - node _T_277 = mux(_T_276, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_278 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 283:135] - node _T_279 = cat(_T_277, _T_278) @[Cat.scala 29:58] - node _T_280 = and(_T_274, _T_279) @[lsu_lsc_ctl.scala 283:71] - node _T_281 = or(_T_270, _T_280) @[lsu_lsc_ctl.scala 282:144] - node _T_282 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] - node _T_283 = mux(_T_282, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_284 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 284:65] - node _T_285 = and(_T_283, _T_284) @[lsu_lsc_ctl.scala 284:43] - node _T_286 = or(_T_281, _T_285) @[lsu_lsc_ctl.scala 283:144] - io.lsu_result_corr_r <= _T_286 @[lsu_lsc_ctl.scala 280:27] + node _T_186 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 241:52] + io.lsu_fir_addr <= _T_186 @[lsu_lsc_ctl.scala 241:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 243:28] + node _T_187 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 245:68] + node _T_188 = and(io.lsu_pkt_r.valid, _T_187) @[lsu_lsc_ctl.scala 245:41] + node _T_189 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 245:96] + node _T_190 = and(_T_188, _T_189) @[lsu_lsc_ctl.scala 245:94] + node _T_191 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 245:110] + node _T_192 = and(_T_190, _T_191) @[lsu_lsc_ctl.scala 245:108] + io.lsu_commit_r <= _T_192 @[lsu_lsc_ctl.scala 245:19] + node _T_193 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 246:52] + node _T_194 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 246:69] + node _T_195 = bits(_T_194, 0, 0) @[Bitwise.scala 72:15] + node _T_196 = mux(_T_195, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_197 = or(_T_193, _T_196) @[lsu_lsc_ctl.scala 246:59] + node _T_198 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 246:133] + node _T_199 = mux(_T_198, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 246:94] + node _T_200 = and(_T_197, _T_199) @[lsu_lsc_ctl.scala 246:89] + io.store_data_m <= _T_200 @[lsu_lsc_ctl.scala 246:29] + node _T_201 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 267:53] + node _T_202 = mux(_T_201, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 267:33] + lsu_ld_datafn_m <= _T_202 @[lsu_lsc_ctl.scala 267:27] + node _T_203 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 268:49] + node _T_204 = mux(_T_203, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 268:33] + lsu_ld_datafn_corr_r <= _T_204 @[lsu_lsc_ctl.scala 268:27] + node _T_205 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 269:66] + node _T_206 = bits(_T_205, 0, 0) @[Bitwise.scala 72:15] + node _T_207 = mux(_T_206, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_208 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 269:125] + node _T_209 = cat(UInt<24>("h00"), _T_208) @[Cat.scala 29:58] + node _T_210 = and(_T_207, _T_209) @[lsu_lsc_ctl.scala 269:94] + node _T_211 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 270:43] + node _T_212 = bits(_T_211, 0, 0) @[Bitwise.scala 72:15] + node _T_213 = mux(_T_212, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_214 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 270:102] + node _T_215 = cat(UInt<16>("h00"), _T_214) @[Cat.scala 29:58] + node _T_216 = and(_T_213, _T_215) @[lsu_lsc_ctl.scala 270:71] + node _T_217 = or(_T_210, _T_216) @[lsu_lsc_ctl.scala 269:133] + node _T_218 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 271:17] + node _T_219 = and(_T_218, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 271:43] + node _T_220 = bits(_T_219, 0, 0) @[Bitwise.scala 72:15] + node _T_221 = mux(_T_220, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_222 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 271:102] + node _T_223 = bits(_T_222, 0, 0) @[Bitwise.scala 72:15] + node _T_224 = mux(_T_223, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_225 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 271:125] + node _T_226 = cat(_T_224, _T_225) @[Cat.scala 29:58] + node _T_227 = and(_T_221, _T_226) @[lsu_lsc_ctl.scala 271:71] + node _T_228 = or(_T_217, _T_227) @[lsu_lsc_ctl.scala 270:114] + node _T_229 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 272:17] + node _T_230 = and(_T_229, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 272:43] + node _T_231 = bits(_T_230, 0, 0) @[Bitwise.scala 72:15] + node _T_232 = mux(_T_231, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_233 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 272:101] + node _T_234 = bits(_T_233, 0, 0) @[Bitwise.scala 72:15] + node _T_235 = mux(_T_234, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_236 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 272:125] + node _T_237 = cat(_T_235, _T_236) @[Cat.scala 29:58] + node _T_238 = and(_T_232, _T_237) @[lsu_lsc_ctl.scala 272:71] + node _T_239 = or(_T_228, _T_238) @[lsu_lsc_ctl.scala 271:134] + node _T_240 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_241 = mux(_T_240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_242 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 273:60] + node _T_243 = and(_T_241, _T_242) @[lsu_lsc_ctl.scala 273:43] + node _T_244 = or(_T_239, _T_243) @[lsu_lsc_ctl.scala 272:134] + io.lsu_result_m <= _T_244 @[lsu_lsc_ctl.scala 269:27] + node _T_245 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 274:66] + node _T_246 = bits(_T_245, 0, 0) @[Bitwise.scala 72:15] + node _T_247 = mux(_T_246, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_248 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 274:130] + node _T_249 = cat(UInt<24>("h00"), _T_248) @[Cat.scala 29:58] + node _T_250 = and(_T_247, _T_249) @[lsu_lsc_ctl.scala 274:94] + node _T_251 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 275:43] + node _T_252 = bits(_T_251, 0, 0) @[Bitwise.scala 72:15] + node _T_253 = mux(_T_252, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_254 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 275:107] + node _T_255 = cat(UInt<16>("h00"), _T_254) @[Cat.scala 29:58] + node _T_256 = and(_T_253, _T_255) @[lsu_lsc_ctl.scala 275:71] + node _T_257 = or(_T_250, _T_256) @[lsu_lsc_ctl.scala 274:138] + node _T_258 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 276:17] + node _T_259 = and(_T_258, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 276:43] + node _T_260 = bits(_T_259, 0, 0) @[Bitwise.scala 72:15] + node _T_261 = mux(_T_260, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_262 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 276:107] + node _T_263 = bits(_T_262, 0, 0) @[Bitwise.scala 72:15] + node _T_264 = mux(_T_263, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_265 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 276:135] + node _T_266 = cat(_T_264, _T_265) @[Cat.scala 29:58] + node _T_267 = and(_T_261, _T_266) @[lsu_lsc_ctl.scala 276:71] + node _T_268 = or(_T_257, _T_267) @[lsu_lsc_ctl.scala 275:119] + node _T_269 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 277:17] + node _T_270 = and(_T_269, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 277:43] + node _T_271 = bits(_T_270, 0, 0) @[Bitwise.scala 72:15] + node _T_272 = mux(_T_271, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_273 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 277:106] + node _T_274 = bits(_T_273, 0, 0) @[Bitwise.scala 72:15] + node _T_275 = mux(_T_274, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_276 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 277:135] + node _T_277 = cat(_T_275, _T_276) @[Cat.scala 29:58] + node _T_278 = and(_T_272, _T_277) @[lsu_lsc_ctl.scala 277:71] + node _T_279 = or(_T_268, _T_278) @[lsu_lsc_ctl.scala 276:144] + node _T_280 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_281 = mux(_T_280, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_282 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 278:65] + node _T_283 = and(_T_281, _T_282) @[lsu_lsc_ctl.scala 278:43] + node _T_284 = or(_T_279, _T_283) @[lsu_lsc_ctl.scala 277:144] + io.lsu_result_corr_r <= _T_284 @[lsu_lsc_ctl.scala 274:27] diff --git a/lsu_lsc_ctl.v b/lsu_lsc_ctl.v index ef2debf0..0e21211e 100644 --- a/lsu_lsc_ctl.v +++ b/lsu_lsc_ctl.v @@ -369,6 +369,8 @@ module lsu_lsc_ctl( reg [31:0] _RAND_47; reg [31:0] _RAND_48; reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; `endif // RANDOMIZE_REG_INIT wire addrcheck_reset; // @[lsu_lsc_ctl.scala 118:25] wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 118:25] @@ -520,76 +522,80 @@ module lsu_lsc_ctl( reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 225:72] reg [31:0] _T_152; // @[lsu_lsc_ctl.scala 226:62] reg [31:0] _T_153; // @[lsu_lsc_ctl.scala 227:62] - wire _T_167 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 232:69] - wire _T_173 = io_lsu_pkt_m_valid & io_ldst_dual_m; // @[lsu_lsc_ctl.scala 233:69] - reg [31:0] _T_178; // @[lsu_lsc_ctl.scala 236:62] - reg [31:0] _T_179; // @[lsu_lsc_ctl.scala 237:62] - reg _T_180; // @[lsu_lsc_ctl.scala 238:62] - reg _T_181; // @[lsu_lsc_ctl.scala 239:62] - reg _T_182; // @[lsu_lsc_ctl.scala 240:62] - reg _T_183; // @[lsu_lsc_ctl.scala 241:62] - reg _T_184; // @[lsu_lsc_ctl.scala 242:62] - reg addr_external_r; // @[lsu_lsc_ctl.scala 243:66] + reg [28:0] end_addr_pre_m; // @[lib.scala 383:16] + wire [28:0] _T_156 = io_ldst_dual_m ? end_addr_pre_m : io_lsu_addr_m[31:3]; // @[lsu_lsc_ctl.scala 228:27] + reg [2:0] _T_158; // @[lsu_lsc_ctl.scala 228:114] + reg [28:0] end_addr_pre_r; // @[lib.scala 383:16] + wire [28:0] _T_162 = io_ldst_dual_r ? end_addr_pre_r : io_lsu_addr_r[31:3]; // @[lsu_lsc_ctl.scala 229:27] + reg [2:0] _T_164; // @[lsu_lsc_ctl.scala 229:114] + wire _T_167 = io_lsu_pkt_d_valid & io_ldst_dual_d; // @[lsu_lsc_ctl.scala 230:69] + wire _T_173 = io_lsu_pkt_m_valid & io_ldst_dual_m; // @[lsu_lsc_ctl.scala 231:69] + reg _T_178; // @[lsu_lsc_ctl.scala 232:62] + reg _T_179; // @[lsu_lsc_ctl.scala 233:62] + reg _T_180; // @[lsu_lsc_ctl.scala 234:62] + reg _T_181; // @[lsu_lsc_ctl.scala 235:62] + reg _T_182; // @[lsu_lsc_ctl.scala 236:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 237:66] reg [31:0] bus_read_data_r; // @[lib.scala 383:16] - wire _T_189 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 251:68] - wire _T_190 = io_lsu_pkt_r_valid & _T_189; // @[lsu_lsc_ctl.scala 251:41] - wire _T_191 = ~io_flush_r; // @[lsu_lsc_ctl.scala 251:96] - wire _T_192 = _T_190 & _T_191; // @[lsu_lsc_ctl.scala 251:94] - wire _T_193 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 251:110] - wire _T_196 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 252:69] - wire [31:0] _T_198 = _T_196 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_199 = io_picm_mask_data_m | _T_198; // @[lsu_lsc_ctl.scala 252:59] - wire [31:0] _T_201 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 252:94] - wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 273:33] - wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 274:33] - wire _T_207 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 275:66] - wire [31:0] _T_209 = _T_207 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_211 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_212 = _T_209 & _T_211; // @[lsu_lsc_ctl.scala 275:94] - wire _T_213 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 276:43] - wire [31:0] _T_215 = _T_213 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_217 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_218 = _T_215 & _T_217; // @[lsu_lsc_ctl.scala 276:71] - wire [31:0] _T_219 = _T_212 | _T_218; // @[lsu_lsc_ctl.scala 275:133] - wire _T_220 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 277:17] - wire _T_221 = _T_220 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 277:43] - wire [31:0] _T_223 = _T_221 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [23:0] _T_226 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_228 = {_T_226,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_229 = _T_223 & _T_228; // @[lsu_lsc_ctl.scala 277:71] - wire [31:0] _T_230 = _T_219 | _T_229; // @[lsu_lsc_ctl.scala 276:114] - wire _T_232 = _T_220 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 278:43] - wire [31:0] _T_234 = _T_232 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [15:0] _T_237 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_239 = {_T_237,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_240 = _T_234 & _T_239; // @[lsu_lsc_ctl.scala 278:71] - wire [31:0] _T_241 = _T_230 | _T_240; // @[lsu_lsc_ctl.scala 277:134] - wire [31:0] _T_243 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_245 = _T_243 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 279:43] - wire _T_247 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 280:66] - wire [31:0] _T_249 = _T_247 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_251 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_252 = _T_249 & _T_251; // @[lsu_lsc_ctl.scala 280:94] - wire _T_253 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 281:43] - wire [31:0] _T_255 = _T_253 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_257 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_258 = _T_255 & _T_257; // @[lsu_lsc_ctl.scala 281:71] - wire [31:0] _T_259 = _T_252 | _T_258; // @[lsu_lsc_ctl.scala 280:138] - wire _T_260 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 282:17] - wire _T_261 = _T_260 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 282:43] - wire [31:0] _T_263 = _T_261 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [23:0] _T_266 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_268 = {_T_266,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_269 = _T_263 & _T_268; // @[lsu_lsc_ctl.scala 282:71] - wire [31:0] _T_270 = _T_259 | _T_269; // @[lsu_lsc_ctl.scala 281:119] - wire _T_272 = _T_260 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 283:43] - wire [31:0] _T_274 = _T_272 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [15:0] _T_277 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_279 = {_T_277,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_280 = _T_274 & _T_279; // @[lsu_lsc_ctl.scala 283:71] - wire [31:0] _T_281 = _T_270 | _T_280; // @[lsu_lsc_ctl.scala 282:144] - wire [31:0] _T_283 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_285 = _T_283 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 284:43] + wire _T_187 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 245:68] + wire _T_188 = io_lsu_pkt_r_valid & _T_187; // @[lsu_lsc_ctl.scala 245:41] + wire _T_189 = ~io_flush_r; // @[lsu_lsc_ctl.scala 245:96] + wire _T_190 = _T_188 & _T_189; // @[lsu_lsc_ctl.scala 245:94] + wire _T_191 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 245:110] + wire _T_194 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 246:69] + wire [31:0] _T_196 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_197 = io_picm_mask_data_m | _T_196; // @[lsu_lsc_ctl.scala 246:59] + wire [31:0] _T_199 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 246:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 267:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 268:33] + wire _T_205 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 269:66] + wire [31:0] _T_207 = _T_205 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_209 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_210 = _T_207 & _T_209; // @[lsu_lsc_ctl.scala 269:94] + wire _T_211 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 270:43] + wire [31:0] _T_213 = _T_211 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_215 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_216 = _T_213 & _T_215; // @[lsu_lsc_ctl.scala 270:71] + wire [31:0] _T_217 = _T_210 | _T_216; // @[lsu_lsc_ctl.scala 269:133] + wire _T_218 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 271:17] + wire _T_219 = _T_218 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 271:43] + wire [31:0] _T_221 = _T_219 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_224 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_226 = {_T_224,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_227 = _T_221 & _T_226; // @[lsu_lsc_ctl.scala 271:71] + wire [31:0] _T_228 = _T_217 | _T_227; // @[lsu_lsc_ctl.scala 270:114] + wire _T_230 = _T_218 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 272:43] + wire [31:0] _T_232 = _T_230 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_235 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_237 = {_T_235,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_238 = _T_232 & _T_237; // @[lsu_lsc_ctl.scala 272:71] + wire [31:0] _T_239 = _T_228 | _T_238; // @[lsu_lsc_ctl.scala 271:134] + wire [31:0] _T_241 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_243 = _T_241 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 273:43] + wire _T_245 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 274:66] + wire [31:0] _T_247 = _T_245 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_249 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_250 = _T_247 & _T_249; // @[lsu_lsc_ctl.scala 274:94] + wire _T_251 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 275:43] + wire [31:0] _T_253 = _T_251 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_255 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_256 = _T_253 & _T_255; // @[lsu_lsc_ctl.scala 275:71] + wire [31:0] _T_257 = _T_250 | _T_256; // @[lsu_lsc_ctl.scala 274:138] + wire _T_258 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 276:17] + wire _T_259 = _T_258 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 276:43] + wire [31:0] _T_261 = _T_259 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_264 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_266 = {_T_264,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_267 = _T_261 & _T_266; // @[lsu_lsc_ctl.scala 276:71] + wire [31:0] _T_268 = _T_257 | _T_267; // @[lsu_lsc_ctl.scala 275:119] + wire _T_270 = _T_258 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 277:43] + wire [31:0] _T_272 = _T_270 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_275 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_277 = {_T_275,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_278 = _T_272 & _T_277; // @[lsu_lsc_ctl.scala 277:71] + wire [31:0] _T_279 = _T_268 | _T_278; // @[lsu_lsc_ctl.scala 276:144] + wire [31:0] _T_281 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_283 = _T_281 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 278:43] lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 118:25] .reset(addrcheck_reset), .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), @@ -635,18 +641,18 @@ module lsu_lsc_ctl( .io_clk(rvclkhdr_3_io_clk), .io_en(rvclkhdr_3_io_en) ); - assign io_lsu_result_m = _T_241 | _T_245; // @[lsu_lsc_ctl.scala 275:27] - assign io_lsu_result_corr_r = _T_281 | _T_285; // @[lsu_lsc_ctl.scala 280:27] - assign io_lsu_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 249:28] + assign io_lsu_result_m = _T_239 | _T_243; // @[lsu_lsc_ctl.scala 269:27] + assign io_lsu_result_corr_r = _T_279 | _T_283; // @[lsu_lsc_ctl.scala 274:27] + assign io_lsu_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 243:28] assign io_lsu_addr_m = _T_152; // @[lsu_lsc_ctl.scala 226:24] assign io_lsu_addr_r = _T_153; // @[lsu_lsc_ctl.scala 227:24] assign io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 115:24] - assign io_end_addr_m = _T_178; // @[lsu_lsc_ctl.scala 229:17 lsu_lsc_ctl.scala 236:24] - assign io_end_addr_r = _T_179; // @[lsu_lsc_ctl.scala 230:17 lsu_lsc_ctl.scala 237:24] - assign io_store_data_m = _T_199 & _T_201; // @[lsu_lsc_ctl.scala 252:29] + assign io_end_addr_m = {_T_156,_T_158}; // @[lsu_lsc_ctl.scala 228:17] + assign io_end_addr_r = {_T_162,_T_164}; // @[lsu_lsc_ctl.scala 229:17] + assign io_store_data_m = _T_197 & _T_199; // @[lsu_lsc_ctl.scala 246:29] assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 155:16] assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 128:42] - assign io_lsu_commit_r = _T_192 & _T_193; // @[lsu_lsc_ctl.scala 251:19] + assign io_lsu_commit_r = _T_190 & _T_191; // @[lsu_lsc_ctl.scala 245:19] assign io_lsu_single_ecc_error_incr = _T_73 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 156:32] assign io_lsu_error_pkt_r_valid = _T_111; // @[lsu_lsc_ctl.scala 185:24 lsu_lsc_ctl.scala 187:30] assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_110; // @[lsu_lsc_ctl.scala 185:24 lsu_lsc_ctl.scala 186:46] @@ -654,15 +660,15 @@ module lsu_lsc_ctl( assign io_lsu_error_pkt_r_bits_exc_type = _T_109_bits_exc_type; // @[lsu_lsc_ctl.scala 185:24] assign io_lsu_error_pkt_r_bits_mscause = _T_109_bits_mscause; // @[lsu_lsc_ctl.scala 185:24] assign io_lsu_error_pkt_r_bits_addr = _T_109_bits_addr; // @[lsu_lsc_ctl.scala 185:24] - assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 247:28] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 241:28] assign io_lsu_fir_error = _T_112; // @[lsu_lsc_ctl.scala 188:38] assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 129:42] - assign io_addr_in_dccm_m = _T_180; // @[lsu_lsc_ctl.scala 238:24] - assign io_addr_in_dccm_r = _T_181; // @[lsu_lsc_ctl.scala 239:24] + assign io_addr_in_dccm_m = _T_178; // @[lsu_lsc_ctl.scala 232:24] + assign io_addr_in_dccm_r = _T_179; // @[lsu_lsc_ctl.scala 233:24] assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 130:42] - assign io_addr_in_pic_m = _T_182; // @[lsu_lsc_ctl.scala 240:24] - assign io_addr_in_pic_r = _T_183; // @[lsu_lsc_ctl.scala 241:24] - assign io_addr_external_m = _T_184; // @[lsu_lsc_ctl.scala 242:24] + assign io_addr_in_pic_m = _T_180; // @[lsu_lsc_ctl.scala 234:24] + assign io_addr_in_pic_r = _T_181; // @[lsu_lsc_ctl.scala 235:24] + assign io_addr_external_m = _T_182; // @[lsu_lsc_ctl.scala 236:24] assign io_lsu_pkt_d_valid = _T_127 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 208:20 lsu_lsc_ctl.scala 212:24] assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 208:20] assign io_lsu_pkt_d_bits_by = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_by : dma_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 208:20] @@ -842,23 +848,27 @@ initial begin _RAND_40 = {1{`RANDOM}}; _T_153 = _RAND_40[31:0]; _RAND_41 = {1{`RANDOM}}; - _T_178 = _RAND_41[31:0]; + end_addr_pre_m = _RAND_41[28:0]; _RAND_42 = {1{`RANDOM}}; - _T_179 = _RAND_42[31:0]; + _T_158 = _RAND_42[2:0]; _RAND_43 = {1{`RANDOM}}; - _T_180 = _RAND_43[0:0]; + end_addr_pre_r = _RAND_43[28:0]; _RAND_44 = {1{`RANDOM}}; - _T_181 = _RAND_44[0:0]; + _T_164 = _RAND_44[2:0]; _RAND_45 = {1{`RANDOM}}; - _T_182 = _RAND_45[0:0]; + _T_178 = _RAND_45[0:0]; _RAND_46 = {1{`RANDOM}}; - _T_183 = _RAND_46[0:0]; + _T_179 = _RAND_46[0:0]; _RAND_47 = {1{`RANDOM}}; - _T_184 = _RAND_47[0:0]; + _T_180 = _RAND_47[0:0]; _RAND_48 = {1{`RANDOM}}; - addr_external_r = _RAND_48[0:0]; + _T_181 = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; - bus_read_data_r = _RAND_49[31:0]; + _T_182 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + addr_external_r = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + bus_read_data_r = _RAND_51[31:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin access_fault_m = 1'h0; @@ -984,10 +994,22 @@ initial begin _T_153 = 32'h0; end if (reset) begin - _T_178 = 32'h0; + end_addr_pre_m = 29'h0; end if (reset) begin - _T_179 = 32'h0; + _T_158 = 3'h0; + end + if (reset) begin + end_addr_pre_r = 29'h0; + end + if (reset) begin + _T_164 = 3'h0; + end + if (reset) begin + _T_178 = 1'h0; + end + if (reset) begin + _T_179 = 1'h0; end if (reset) begin _T_180 = 1'h0; @@ -998,12 +1020,6 @@ initial begin if (reset) begin _T_182 = 1'h0; end - if (reset) begin - _T_183 = 1'h0; - end - if (reset) begin - _T_184 = 1'h0; - end if (reset) begin addr_external_r = 1'h0; end @@ -1315,53 +1331,67 @@ end // initial _T_153 <= io_lsu_addr_m; end end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + end_addr_pre_m <= 29'h0; + end else begin + end_addr_pre_m <= io_end_addr_d[31:3]; + end + end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin - _T_178 <= 32'h0; + _T_158 <= 3'h0; end else begin - _T_178 <= io_end_addr_d; + _T_158 <= io_end_addr_d[2:0]; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + end_addr_pre_r <= 29'h0; + end else begin + end_addr_pre_r <= io_end_addr_m[31:3]; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_164 <= 3'h0; + end else begin + _T_164 <= io_end_addr_m[2:0]; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_178 <= 1'h0; + end else begin + _T_178 <= io_addr_in_dccm_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin if (reset) begin - _T_179 <= 32'h0; + _T_179 <= 1'h0; end else begin - _T_179 <= io_end_addr_m; + _T_179 <= io_addr_in_dccm_m; end end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin _T_180 <= 1'h0; end else begin - _T_180 <= io_addr_in_dccm_d; + _T_180 <= io_addr_in_pic_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin if (reset) begin _T_181 <= 1'h0; end else begin - _T_181 <= io_addr_in_dccm_m; + _T_181 <= io_addr_in_pic_m; end end always @(posedge io_lsu_c1_m_clk or posedge reset) begin if (reset) begin _T_182 <= 1'h0; end else begin - _T_182 <= io_addr_in_pic_d; - end - end - always @(posedge io_lsu_c1_r_clk or posedge reset) begin - if (reset) begin - _T_183 <= 1'h0; - end else begin - _T_183 <= io_addr_in_pic_m; - end - end - always @(posedge io_lsu_c1_m_clk or posedge reset) begin - if (reset) begin - _T_184 <= 1'h0; - end else begin - _T_184 <= addrcheck_io_addr_external_d; + _T_182 <= addrcheck_io_addr_external_d; end end always @(posedge io_lsu_c1_r_clk or posedge reset) begin diff --git a/src/main/scala/lsu/lsu_lsc_ctl.scala b/src/main/scala/lsu/lsu_lsc_ctl.scala index a1780f17..a4ac7234 100644 --- a/src/main/scala/lsu/lsu_lsc_ctl.scala +++ b/src/main/scala/lsu/lsu_lsc_ctl.scala @@ -225,16 +225,10 @@ class lsu_lsc_ctl extends Module with RequireAsyncReset with lib val store_data_pre_m = withClock(io.lsu_store_c1_m_clk){RegNext(store_data_m_in,0.U)} io.lsu_addr_m := withClock(io.lsu_c1_m_clk){RegNext(io.lsu_addr_d,0.U)} io.lsu_addr_r := withClock(io.lsu_c1_r_clk){RegNext(io.lsu_addr_m,0.U)} - io.end_addr_m := Cat(Mux(io.ldst_dual_m,end_addr_pre_m,io.lsu_addr_m(31,3)), withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d(2,0),0.U)}) io.end_addr_r := Cat(Mux(io.ldst_dual_r,end_addr_pre_r,io.lsu_addr_r(31,3)), withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_m(2,0),0.U)}) - end_addr_pre_m := rvdffe(io.end_addr_d(31,3),((io.lsu_pkt_d.valid & io.ldst_dual_d) | io.clk_override),clock,io.scan_mode) end_addr_pre_r := rvdffe(io.end_addr_m(31,3),((io.lsu_pkt_m.valid & io.ldst_dual_m) | io.clk_override),clock,io.scan_mode) - - - io.end_addr_m := withClock(io.lsu_c1_m_clk){RegNext(io.end_addr_d,0.U)} - io.end_addr_r := withClock(io.lsu_c1_r_clk){RegNext(io.end_addr_m,0.U)} io.addr_in_dccm_m := withClock(io.lsu_c1_m_clk){RegNext(io.addr_in_dccm_d,0.U)} io.addr_in_dccm_r := withClock(io.lsu_c1_r_clk){RegNext(io.addr_in_dccm_m,0.U)} io.addr_in_pic_m := withClock(io.lsu_c1_m_clk){RegNext(io.addr_in_pic_d,0.U)} diff --git a/target/scala-2.12/classes/lsu/lsc_ctl$.class b/target/scala-2.12/classes/lsu/lsc_ctl$.class index 190a28330274df35917aa8ba42a07991a7d2630e..5fa673d0e35f44985d48cdd8ce4e3514d94615df 100644 GIT binary patch delta 114 zcmbOsH$!g21r|o*%@?=k o*c={LuqyG%KY6U7EFWH1Mybh@czs!=7}SAcPk1YUWGvrq0AMyB%K!iX delta 114 zcmbOsH$!g21r|or%@?=k o*c={LuqyG%KY6U7EFWH1Muo|fczszF7}SAcPk1YUWGvrq0B`Id8vp&WhZv_0vw!>?buG@3?Ox!<-|@%oIP+}>}SWsBk{<95LQ_& z1AGZ7p5t*7A~;_U?3Nd#bghCf%HB z*jwAt*wdPhGuvXrG9oL}J9}C>)0JI&+Z$G zT2mG~tVR$eo$035bVGMZ9Y)u+G`FX^dpgr|EEe0kw>#Zs4UFViiDR}|ktv(3y;Unx zhgq>mYW~jD;Z{{-K&-NVRW!maE4N_Y+{A#`bgQzoG8Ty)V@0YeAv&kJs3?{gkT^L) z@ssU{J+Fx32SR+F?TJ6qjUOfBSI0npjEGN-1^LO|_@mtTW4!U>-T2x}yaRS7Qv9)C zXS0kKcqWVZBE-|?jW2QIPxi)_y775Y5B_pDev~(Ux*I>)8(-nZALEUm<;K@~%>ev~&p?Z!{`#y7k1$9Usg-1u5={B}2fvp2rYjc@bDcewEl{la3l~O-sfmHy%|v@2DNsYZ}+qV*0#-RQslQq;711RWc${IA$rGCStqn2-;o?g19pkPHT5#5|Kst|Avs!J9XwQQQ% zRxm!%a%3uo{d>XAg;w7BWs~-_t{=8#BeZAx#$4NOu*xboRSqAJml$6>B9ap?+gH&x zB9?1+C5FwT{=d+QR&;OQKVnGp(AirSm2E;Chi7n1jit~Zwk%q5;+pn#Wo>ImuP7*} zu1vSYY|wyGd#jbS6MK)el5Ndv_RZ*b{LHSUXn$Qr z#{QU${hC;du)n@=-@?hm=4_q2WYXxOqJdaHd&fZitQ<42vS`hijuyyw#nMc^10rL{ zZ_{%N`J$5bQyc4-4BS&Q3d&WUl;xT{pfX34Yv{5~)7qBqpIFhheri*C$-bjHhRz0@ zJsF(q$5z9u#-%_1ZJhW|H z1(tW9EN{lnjFJ&Ew*~C9gPm%Rogu=`hMBt-lug<_X+v4#+`$9Kb&Q<7Wh0hznOn|5 z!y4CijG7MZInn87=R`VVwMD~morrD6(z?{ZQ8j8+h=q^A~tH!ij{HO9#B_QlsYofZ%lVZ-ljR}rDKZn@EX^t2%{P?xRPEo6&&~0!U(<7w9z1egzmao9yY5`)w!@Lae`hx}uW4Ka z&(99#fxe;3w*OCyZCa^eR znA)@`f8UzgQL}fX_b+X3ABN9=?NC`S(|o1`pge z1^OSMzku{BQ_0AXg4Q8znfb=1Tsu~0%_~ZcwkM4#Dq67+`i;p&Yp1NA+SZ&8zr(?t%?AMA`ERwj2#zqE+=V?}J3qB9_yiu9*4u)wpj$f%M#bSJj zos=2(CJ!j8n%!76d*7P&k>ySHEZ6_}sM$?(XYie)htEvQ=Wk~k#)bT9tKZVu#k=Xb zv&WTenA&9@6VO`{9Z+PKw^vdBl(xr<^6Xp-{c2t{jn91ZjGnnO_6=UPNscEOeq;fS zr$ezE1NKZBF*7nkl}qqN_A%5C(>Nx|JH(1%f9UbYbrnVS7$Miyyzs<@ldT-Ax3L|Y zhi$2jBXHN z$mYnM%e=cha}iu_9T$Ca_f%32s_gK}dAbuVfoJG(sj_b2;qKUJM$ZJm5rMcdrL zN*?P!DeMiK-n3}Sq|xxcRS<6(m>6Fbv7_w+s2)UrTh_8Pf76i4D#SZ7K4n8$Yh`RN zJvT7FEEzt#qpA$X1uH@Q&B zu>&E*{v;RXLFL`e8Gk8^4ycSzJ0ZQlYxlB|<&BHLFC~829|HdH^!S*{c+*bkU)wjA zZQZ_X68H@~CqBHhi|oy%Lts9YUXCxi=oH+%pbR?iszyJ!w;~ay<GrCW>F)sC9Eb^ZPUL#+j(pIno7_?)KnY`zHU59EULO^}O~?P}gXVBO?la{dK! zupn8MS#4afXGd#GLrZs6N865;*7Win-7OvMUB!O3sx{Ts6=!*{${4N}XZty)q_L?f4FaQKO}BHGU@TVXCU+O#fAhHpNorA?H%pKrExaVVuRXKZ7uE1RUNPfy{Egl zE!EK3QCw=VsWq8OSF6(`S+dNl0Bx1-Y)UoYT5_bN1DZwY&FjGmg!ue)dt-g7v9Ys$ zM`yae4I-4xYS8Z`(FyPy+fwyAws+SzT5O<_?&)r6Em@OlZD|CZ@tWwPYg*bHT6-GP zVyzwZyXPDWvK*Jjk!`DQY4@<5g2l!8`gCV!2LMDFiD;EC)>f(>5Y7|z8A$YFhKSynAyTv_q9JV1o(ISd zQVyIQ`l+vqAfk765a}x$@FM%x#`?xocdDtq9smtQ=Wt^hIyyTsIv@zDgV62U(rxv- zQMtZrTT4@S8tRsJb$7s{BO-zA=V8gC`+H*KLl$Up%x`N?SAAzXMbHpI-u%i{mG!j? zYU^vNmo2~t6I!}8RkEsQM{9Z-xXn6W#L+cw2e^Fe3Gjo`oa|!onRHgAn(NngbV7No zY5>={OLkao;^!~n$f|pkN$AL`|J2FJZW84ByPaf4a9~aE4izNxWQUs(EAWVko)~0u z=Ph1UUpasN%KF8C)#YlI10s>Oa%JWE`uPivTeTRf5n~oD2I0!O#r4pc@=Qcs^#-VB z2U#L>=dGIOHJstdFmhhQc_=+^Rpp}kh0AN^19ZicwSmZEQdxcVviS?v2>?<|M3f5r zLQV3Rk;|6_(#z`o>E(g+a*^&}wuB&m-sZ3RaI^Myvk)u>*rOks)ON-A_U!!==G}>)UU0c zk53oHh>hWMDy6RYoLNC{fdZiwSO_SA%TOGeUWijb*C|2!J3PD;F%PUcM{?sjhPV zN=1uDnG6XW5`5nL+RFNQs~2WEgCy~F_0{me@(hC>k9i2$x_Wq|VU$`}S+@!v+*J!! zu3UZGs``}+=2x#=Pz6s!UUgMv)#3&9Wmrjot-s_wb(Zgq!cNK;flqOPS3=gD*HB&k z+DgDQAS1S*($nQBL5!su%h(h3Dto?xQdz`N^?I}RHbGK~LbJIA%rse{O!POJj3m2Q zMlNG&metp7aH~bMA^?S&>L(>I3W|sADr1%}tAWyH%2ijjY<_(W)W0i09a;uS-Kxq} z&{I*-Gg{aa%aUiR4WrzGXBrM;u_7{!0#J^ttXe7}{JsxG%0yrZA^QyLT1`--cs`&w zAdsmy;;4k8@Q_A&(#wUk$IHnS)deXlj~AlSN4o%U?KpN>o*xj`b~xvev^+J`slKGXc6B4eG#gc$LKnk z5Ya#(xK*B>w_w@wdh&M+u>%DZ$5mI=msPE=ssSbsnR%6f7N>SFFB815CX%2lu3x)+ z<$UNhgkI*+5OUN#2)R|uS5?+v#|l zs)<$AwF_3(*DP2B_7}h~REQh7XnmrX0;uE3<2bg678eqFvsduWEI8xx&UTj-N75;&w3ty^c0M6l7o|T`pxYe&;j@2KwxutgOXx(eE zVkq>%N(ah?)__9BtbrDbHnp@ja%->^M{7eYHX@*o?@KFt+E+nM700b%;PJJ~=dZ3= zPz?L~f?0WGA(s)>$b>cA8U@~kFNop|z0t8?ktlyhsx#G=hL?4$hAz=q8$C@*jm)hg zYc#?-61sZ#&5YLNtTE6;&FOAxb&Gw@+eEVNdzE~V?}Gh< zm0|^?C4;_^zv|cO5&sg;=>P|KX!V7ng`XPZj>Ky+?uI-hM|9UJ)q68z=RhuBug+r z_3iH>4sSQ+bZ5SQ$h2OTc)2a~o?-IWS z5Rn#u>TLnW62Aq&023uI1`v@Jfa+}lMjl!<0}MM_8tMVUD!&1ckOqM2Z2;QDX8;hu z%+QMfB%}dt`^|#q&%eF~CXV-;02yflr~&8}^kinbaTv=7G^QJ1gK$s%E?nZo1w$-L z1EBM|F9$1F6vl7t6&}s8-sj>{z(M<;@=iG8TZ; zSO7QcpD9UZ(bBOx17<)fV+Kf#8Spn>zQAV`fYc~}dx=$8v25&ECkFH(IinAxMjxC@ ztlV~{yKqGmn(&l>36RK`08(QDUC~=tz-JVI)F`0qc@==qC;+KZ0O#>30H0CN`c)bD zh*tr5seokf9Lm5gL^U*&!D>0Ir#aSz0TZqdp|HjT_=PV|*JaAIE>i{`085;y&Tcgb zUJ)?ix(fe{Y81c;d>~!_DAW2!88o?1!Sx1A(&PY5-VYyy?$)0Aw$4;T zeN&TT-5Rjsx=5MUMalv$f_$a{wJuTye!%g!h0b&{{A!0?$TtFcx=w?_y^)tsb=X|L zPPywhNNRMz75cYy)pxZtrql3aRzr6eK0@~g%(%W&uJxVrfbSqLi;JWtUvLL+vy{7@ zf}}nTWT6at=7?s^K68ifjnEesUH^^0u7_1rjitf5b zxz;txXE_WO(0n?sFO+M2VH)@XtV4Kprn$a=Wbg7!D|Hym0(?4d!%x#T{IoKM!Fq^K z$8Gy*+P0qt4V-}aczZpp-KQPv-GIL93Mi?;8E}PZt}7s^QGoWQ&apJpIsPt?r|TTk zw9YXt;2g+H2S-wqCp5pWR$R}RruB?z(DptB*DWwflLIuoPr>zxXJmA0>y!ZN$xgIuM>tWNujmTj-+S*#W>%m0-fC<;< zP*{Tp+(^x9Kz~p`-*vd@T8En+a5&^MwW)Qu>EKo3r%CrWZpaqiQhg)eNm)%>W1SDY(9aNtztM3498! zf6UPO#|&t4pMvWRn54-8+SsSyHvbH5^Unx0Kk}Jc(l-ALXii@aZcAg522!A`Ajjj&QK9>2IMmeT4$($7SD`donmqy*%Scjx(5nt3L0>a z3fDc5)F=epqr!C$BsB^F_o#5)1IgYBGXw5{ysQQ!H45MZ`YyiBi3HwvXS%L~q{bNd zgX*6_ztdl#GhNR?QlpQa<9P?1>ADG$8ijzH%yiuZNsR*dLb9o~r)wKbT6%Ukk&^>C zx^6R5>ozmN6ZGRNl=t+2G1q}mO@k)jKr>wjLQS+0{HsZoHY_bIquF-z+evjSd$dvW*a{W=h#bb!|BKqLEgAVTQ?t}mIhq{Bb1KbB}#)Xfe}ha z?-Hd!m%s?6qj!nYpi5wc($Tv_Y0xDwLh0yTqBQ6d7@>6ZE>RkE35-xWdY32-x&%fj z9lcAG23-Opl#bpdaLv@`Mi`ONL0aPnxJc^P@%RBI>U4sBfD5N8AH5&o!l*wVj~`&7 zE}x(u;9{xDNACx?JnGNK;|G|i%O~guxMZsG(fa|ehx+sJ_yH#B@(KC@E|;o&^nQSA zp#FS3et?OZd~glar-Kohe2~`Z1pNS4K$VW(4{*)XpO426Fj1FJ&<}7OROO@h16=v^ z=i~7MOw{EQ^aETCRr%=s0M|eL`FQ*Q6Lt9n{Qwt4RX%z@!1d2Uu_7ckPB&zh>+G?? z{5}4GO1k`m{(%dnDu2Cy;JT=t9Q->ntX6s)34)k3{2GN1RVpHI#oV;$H2u+|1J)AU``6=?ePs%(B&QU4O|3O zdFy=xmp%Pu^!NrQ>hcNt2Cj;#eDuB{)qYruC zx^aDzub@>GS1y@Z~K6-yq>!!H6i$37kUkm2!@gNk}FeOQdKGRZiK6+{@U`m5hiN#!39*G4n}11L0YF1bR%3i zRXTb%!nILeunO5GLxd1ziZ2R#iTF7sACEc1D9A;K3dl(3$C$>2&p6J-uaYG4fuhGP&!&aD4PmREe=MNyZU688;$sj zDddzXt$UPB4Y-GhP&!)oD4QB^4-uhswC+(hHQ*j1Lg{GTqikxxJw$}k(Yi<3)PQ@4 z2&JQSkFu!&_Ye_EN9!JCQv>cHB9xBaJ#b0Y>xN~X^;SV^+#|T&TIN}A6|_zVn%bWa zL}akx+N(|{=m(zl)-t4ZI?&YO=iy9G*ycpX1+xYjm9^eAf=jSvo+Vg8>#`2IhGz-3 z3~8NC&^0_uuw_W=bb_woS%NJ?TBj3q4bKv68PXb^;1X<^X9-r&I-Ow8fy=I$y4Ekj zmf?!4&ow+tup&{HPtY}R*;VDEcMV)|_2=X915DKA6YL^z*;VDE?;>!;)t?VUC>^~Y z1eajTFhc3*{Qy^7{rNzI($V`ta0#{yBb1Kb4}wduWu7HiL2K#_S6qGAJWH@;Nb7Wh zet^ra8EpC`*fLyk_2=X915DKA6Z8XIc2)W4{Qy^7{rPzO026cJ@Rl<8P^&eaYKM=R z##N7p&t$EwFopFbz^6)i<1&XH%mEP|ZI5>3GAFtNbh_b?a{SB%=5ZxrE=L~*z&9$1 zo{SMV8fTn3GDV!};-1bj4{`_Lq!Nbgj&idLRpZfJ(cK7S4;-wM>T2z1Z>CbfVqBc~ zAowLbx-WV%>g)&6&h(B}iyc0$`as#p18A%i{M3iSU~ZfXE?kXA&xn2=b2<}>w-tVK zZ%)@Yr=gw)j;r3_sesHmICggm;5(^pTkE^wvo2^IR|)bR<;l0VI*)!adLCx>C1^ls z#As7%3pCGgkyYl@o7A3``i5<(_V#pZ7u0zSWx(>0e#=^E9=#}fG1|WbTnZt&*x;A^ zalHZIH!nUh$Y`XSQEvqvy&`%g<^YQWaXfRRsuFft^;ciysY6FL1fo9OV@G7pfOeRR zHuF`W#K5E1MXyJwH^7s!wHw+C&MMiK>VmIn4(#aCl_ERviyf~LWM$y+LY+r%jeZp~ zhUJ9o?@*+-F1<{=Vq_SojC6c-2J zV73X07sodZ=q(B*{T`Wm7(C3EE*{W=U=%3)fU4$EFy6UqYbVrN8cLQ!UogP~t|CG9 zNizOJsK(A+op5wmS|U7RTy?KLgFYA)o>c}9<*^45Ia<|`=sEqII5-DC_^0pk9YKoE z@}t^1lY__^~hm&3P=8UGl5c7xusGjg$o^k6Ezvgjz@nM zeH+XBb0~(=lcQC?hK}~8mS*_q8MlBp@3z=>w_sJR9Sz&(C>8fk4mVEIbV^>67Jvqi z73}1Kznxv(@F>8kT`6Yf*4c(os^*cIR#X};d0+~n}U|^pU zMzn%s^beE>YOz~Wi-){yJo?+{@37iGgoaghLfOK|sbV~}DxNa&jX-+?eCGl6(ujQk zxVjq&UioKwfd8Vz;oB=AzSwQLFelNZ1%?HSo&G7AavyR{uBr|+Y1jco@S(`TvST&G zyWqUGMm!}(F+F@EH4qC_@im0i_YF}kx zSHCpWTLSW-E`iMsMfTx=BEv`b@<=nc>oGvaV?36JrOby(P|#{)TAT=23~wp+xbg7m z*YE>M00QnJ7+=j}g|Pu>cc2(Bx_5VUHNXj?FwyPYm0`dshLBSX1>bGkE-o{I?Zq(X z%hav!(RZW>K`bL2iODO2^OzjZ$p! z9L}2AHZ(d#7?sgpqdYd1%z=5BjmXnJsg_)jnL|LqU<*3|4Z<7WU?uK@$zv5{5njM^ z=)gOi)_coLXWenOowcH^qj3W-9YyMgb}Si!4@5@;mSX%@4@X_XVHNeo;(({jd4l!D z>76i*9Vbs)kaO$tYb>_WANMIv@X`9HUa&Gp4H6=J4PL`p8@a(k&f1Au%vlFfOE}v> zR1If4iCV^4CsD_7)p0s@)CSJ>5VeW3y+j?)*@;AL;p`-$ zwsN+Qs7B6CCaQ_E{X}i!>=dF-;OtbQS~)w7sCLdyCu#?0XAsrN+2@Js=Il(Oc5!wV zQF}N$o2U~xJBO%!oSjS5e$Ku?)Tx|(k*L!-JCCT(bM_^o&f@HRqR!#$0;0aa*@Z-% z$Jv*OI-j$Ph`Nxoi;233vrCA>JSDx{R~Sh`NHa%Zd65XIBt)HD^~6buDLKA?kY0 zt|ID2&aNivX3nl5>Q>IKCF*OOT}RYyoLx`U9h}`j)HgW0k*K>kyNRf8b9OUP_i%O# zQTK6nD^U+{_En-DKV?yMbvYgeVeGCaCSFQFLHJdQ7?0LFHx^>b{|o%adtmZZ*cYiQEzef9iram z>_MV_!P$3-dWW-zh3i25^U zj}!G*&YmFZ|2TV+sDE(wL!$o0*;7RQhqE6M^)Y8p3o3_k_G6+VoIOKSl(T1v%Hixe zq8!eiCo0L=Pl)22y+BkxXD<@fpR<>UD&*{Cq6TvIQ=$fQ_6ku$IeV3;;heoj)JV== zC+aZH-XQ7-&fX+yG-q!SRm|DXh#Je;+eD4y?B_&H;OrMfP2%jAL`~uB9ipak_AXIn zoV`cXG|t{9Y6fS&B5EdQ9}qR0vtJW+G-tmd>R8TxOVsB$`yEm9IQx*O`JDZpsD+&U zfvClt{gJ38oc)QY8qPiCQ+PM`WY6l$b zPE;qiqC|CbD@N2VIc|v~mfVp`9O6m`ywWHk2DbwV)hs8Wu{hM|gjTBKU`cSy0R-Js zVoC1E5IH7icSn$xz9czLV^hoinXs&5GhtcFX2P7#ooz}&2dv>bIRE-!B5IOy zz(#OS%O+d8;g$}@mWODQm~OnvoS$7ipJa5?r;H4quS!zdMsiQ$CZQ=BH#@)q-K3x^ zhCFM!Oq|Y(emy!NPF#G=hXK#HTn38b#;%oz2Y zAb#+&XATx8$q=mK(G;g{lc;W{C=m!zdQ=Q5A^6Pe98Ma>r(RT4NTbtuOJd_S&LmBF zjyNY--E$*lsVJFt*Ezcs6bGV{xsaw5eFL78e$b3j5|2+OLEZBsGscs|51Ow!Z2h3- z+*xf%4SJ4dKWNr?5WB~BLcA9x{jL+z)+n6uu#m@59{Wxx^>R3l`NunbGiT&7&Y-WK zjFi71y8c}plqnrnLQH@R)sb(U8ff_h5NkH3*q}21P?ApFz=( z$!AbBWbzpl4ViofMMGYmyrKtvdFm}3^77PMIOOH2x3H{VZRh3j1;QNi^3>aM$jei2 z;gFZ7-oha-PhMes9|(DQ3W^%~FIqM9UlcX;UlcX;UlcX;UlcX;Ula|g*Iw5#P`_}P zW-bDEC*Um&B%tfk@NxyZuz+NAU0Oyayi3bS;gBf?2wlIALfGxo4G<)!o96}OJTq9` z5P;J1z6dg@8=HiXdyNDM>bl)tw@Z+yuJ+tZCrCy&lknX|L1MbKzRVRB;fB=B72%k? z!{LE4bBDSEp?0sk5bde!mNd6w5Helk`!CBNIo(LC?$96+U5k6J);MrYxHoPb7?b}Z z4w5VL>{);fTHDu{v~wPq3p`y@&YY`Ld};*FS`%_8kF zoXsJyM{#yEQR6u~hNy|09ZS??&gK$T!rAADD&?$_sB+Hc5jCB&Dx%=?1F>mC?n}r0 zk75@lK6X&WjR!SH{?dL~+^Uzmtr5dSh)tEG5&H85PTvQ6St=L^AlUGdHmJ*Ynb2bu&2}C22<(F zj05%{Zi(O-t)LSB47TJoz?VpAyqbx}e-5!=`xmhNmNxny*O`Vbl+d}n1KG)~Z!%6i zH18$i@5bMU4U#Qwbjdp2OEuQ};L5wWytgLFC?5YH{_7;@|Hi0mz(*k-{~b&cGEL-v z9OCic!`{rsj`~y=7G`;q#g0GF{z0%5h#lY^= z5vnbNmmlN9wTWE!pS?7vS!|*^VrA}Us)noI8&Y`9{i;+aT${CEPeWSVdLNJf2b?Wa ze>6{v$3KQ`o**Tzr=oq7u1R@0m%|(@8ULRX;Z_dZ9>yY06r9r)qb7|zInaO7^<@^D zrEvrAC0!w&;W$nrY0Ywy5*c3DjRS($i`4KuhdbQKOUD1}svBRQ~P!L(P{gD@ah%B^)IHyh+&qpy&IFdzrx z%R=#VIIxFzxO$3k{sost$s6K3;UTg%leu|d4trQ^VhBgLeT2V1nImQz&cY;%I*Y)q zi*f1*8@D~*%xN}mui;L$vjlc&J4>N!g~bV&VZrTpaixu(yK_J@0D=}y6z~5z#~6X(}#GTWjQPKb_=YI`E2dWavD1ZA)YKHW)#NIXh5(C%6;TY>~&X zY7RJHsk6JaL~1x)PIrWICZkvaV`>7>%HDU3}@Ul z8FQ|2uEnnQI&L*nmN!5hdn*I(t&2N1L0bSJuEWF2tQxs<3-npe&CaddY9&SZMs`3( z(Q{>8+_??PjuCC?Hu3cqNIi{txj)O{@CxbA2wme8vxVzL|vTzRO`y z_dBT+cL!(C3tL)Sp}Fq$ehnwuT_lJ`yFcOF=RClzU1Z`x@P+7UNr-z0zNvxcD^B58 zH=enicqCNe`dl12YCi0IALeq-BMyW0l{qw|Jm#=tV4;VYCmnVyxAqcBd{t=eNHxM~ zP;idu*1bDYU0v9&KZe=4^F`+wkHcci#GU7o&hzLe`$_)=ST!QEFTq+7Q9p(CBcfjA z(U+okV-Nbe!#>BYGf3$z=oh!8TAR4@Hf8g3Zkzo_E8oy(`mAm7Sfby%sxqoJKJ7Fwk#R}u%N$~dm$6;j(b&@pxBn&z!5dVLG8P*>F))!BkQl_{ zr^IK0hbD&f%SjCFAD?A2#^U$~3S3BUk9FzJmQ-uYiDD5g0W;sx?i+)=jz}B{g@(Bxese}eUD(qu{AwyxQDI_iA+r)kiI0+l z$*M~G{G6os?11`hOSgBYT1)1oy3*80;KYX~#wVeTCO8b1fag;)O~wUC_;BC?ax<8u ztLcIGUOAp9!;W*<3Wu$9Se<7K+uhQ= zO;is&5HN#qzvy-o#52*JNVFw7xb<5yy_3g3A3qbHjxKPN?*8#1Vjk3cbvNi8=sdd% zK@TQ+o(_nHckKOfRBC}gq39_W`7{kioIlDL@1?<0vzLE^GPmXp}S zt$$PEl{~_Tx{60)L|wxpNupqdHXnxhp7vDd-umLLZ~z5Q+yL_`uzw?u^dq60d1N3_ zx8f)BZ7H7kni#keU+0lwlyW3D5Aat12twmfmoP?I87-Sr4;u&9yyMv-@`9br13}im5Qj3cw{xD{)I;1cs#liI=TPX2k9)CzACRx9nq*cfqOl%N|$taJ1pAvH* zFoI`i_k8x9Xj^JF8*z=Iye7Z9FoCcE2sK`9j$nwG4Y>U$G;dYd$`*{2>B>4bHey5Q2L$Np|KE!PraFY-7`2UgbJ_2GtC}jOn zERVz<=XQUhp5*brlh{)r_M<{pfMNqE@fojUHo{svPh!swKJ+}d2b0VT;1AeuHFu^{ zun?OGdGaOk5|n(|VH>zjgLm>(*cLz?% zZj9TNM8&x?To}ns^vlUj7P3KTgcjv<^SE72V*Ox)3>hilHZ8H|4&e3*N*%WqM996&+V@ga{{;TAgYzyG{)q%bDM^d+#TGe(IU5#+cX5^c5|D0 z`rKXIrY=1fpgloWPUQAeMD64DvqbIZHoZyZp33c?Qrzj>evPQl!?ql9!n0s=4^ij9 z1|6bcYe_v(=W+W@(l{Ts{!rY7+@>x%_abgn7oB?vx2fOEy^Pz`TjpNDZR#y^zrtXjJUWR|ALr2$qMqc@GNPX1(dk4z&7(7kdWJ{m5cM37${yn`yuxR3dh~P2M!~qe(!=43)LE;t&g7@kAVA!3&#+Lo9d|6LE+IFIgfEvEWro#32^E7)fwQMDWTZ;t&g7 zY(yMl!7GV~Lo9fn9dU>SuMr{+vEXGv#32^E=Z84Ng17k)hgk4#9^w!S-nt_=BqDgX z4snPDFU27avEbD=#32^E$c8w?g4fj$hgk5c8R8HNUKT?fV!-Wh(j!R2?ueA1+Us54zb|H8N?wLyb^;r#DW)J5QkXs8VlkO z3tm=191ym+-#1R39iRaoP)Hsq-~or^fdU?oNFFHQ0gL2;0v^yv9w^`ekK};@9uP?$ zDBuB;u=AI^z=z$xF@kuc>{1*qBrovcfFXH- z4~GoN3w$_eNM7K>VMFo)9}XOn7x-}Kki5W$gNNh=J{&$IkNCvhMS>R;@ELr90zQLJ zP{3#K2@3cOK0yJW!6zu-Gx!7rdbFt7yor^ec;5G6MXz0?$r*y0HL2y zJA2x@;kWP-5}og65zm8f0WQ{<|FpLc_%;{Nt^^-qneOf-u=4VrNaj7B_hi4EydT1E zA+2I7gac)>}mi4r4 zO?S$e!W!5H-ns@3R7VLJ*`Er29BxZZ!?J7_{0dPGmuz&3t=?&1lk_bP?9c+M!&Xww zu4(CN0V9>|?H%1>YdHw^hsD9}u5Q>tLpC9*UmfI_s%~!uEVz{pezg=a0G}1H2#dnM z9Ol3on1%TDAZ!;Y#Lomp2wwz>5WWW#A$-Qith{G{j<6XZ2OsnKc=)8x$HPZ@ik}Vg z@Ts1#10U*%5I)lrA$+7KLij{agz$l$2;uWQ5yHoLB7{%#Ld9Q!!u;~c^RH5LpV8DlmpHU79pG(EJ8RlScG4Y zA)FU1`154=B^jPC!wY11p$xw)!;55iu?#Pf;iWRXOoo@s@Cq4TDZ{VG@G2QzEyHVM zc&!Ytli~F;yg`OH%J3!`-YmmgWC$n!igLlZzaoTFe?uSWcY0v-Yvs>WO%O(?~~#EGJHUW-;v>iGW@O#AClqsWcaWQzc0f_WcULa zJ}Se>E!?$GkGa0@u!=KCW7c%^%4BwIAyE1%FhVRP| zPTm#ef^&C82&e9f5YF5c;csOK=j{sqLmB>FhJTRZA7%I_8Ga=x`-3@A5s{Rp)JFx3}YBZE`)DP;r9U+ z$$J*G_QA-6n-?cPXboblX5xhVtf94&o@B8}cYWJBY{CHRh>7=E#rIhdKc#l!gh}^V zWoswh1qycFbMQBpS^s5)af`>TS$WSxL=FQu?47)yz~3Xla*U0Gk8!3!Y%UuOpV7>K zF%5FF9IJw{ycd9mdnFv!A3)7E2X%Bdpx*U?I!1vyHVo8Ub5NDpfO^jdYMugB6$T1^ z3^8rKh1r05-v?@u0<|~{6#Q&!3TkOKpnmNGRii-FhJk|LjZHxvmkp@j_&}{tpjL)~ zf?uXgL9NaP)Ng&D)+kVG!$7Sw2ely^P`~qm+NeNn3Ihe7u9?Hu5QFOQf%<|1^~Eqy=b3}bYLeIG z1N9{Z>ijTJ7np#|P>n1?u83P?vnBpe|LQE(-&7xjCpSv#F^QeKqwJ1?s9W zP*c-C$)J+Q1&0(N!F$eY4Y<%iu zUrl{Yf%Qo=7?qd+|y2I@I; zP|s%r>hnHOKT)7w2m|$^IjEPi0d=Mi)XNIgPs2dHVh-xnY(Smm1NE8$^?DenH_So3 znGLA3eW2b_pnetx>TPpSKhFl#IX+OoP@sMp2I?JiQ14{}>RcbF_Z6sLg@O9uGX?c) z1?o3ppnhu(>cebm>QY}#{a%6kLl`LdEX8!uEGtl#`9S?if%+&6)SnMAsLOqz{-Qwr zH4GGdtaC_f>IxsI|5Kp;9tH}&8#<(*uJnQWrvmk_Fi`LblPReGX5;8r`9OWFK>aTS z6rR^$2r3fE2GrGFP!T%=DiRF?6*C7F?>i_*fl7pdN}7Y>eFv4NK;?&lf=}B_o3Ehn zpb8bJ0b!s9nu8kLcThtVsG(t?hJB`>hAU7b!a%|I&!)|HST;3vz3=HeT!A_w3>19m zXbLJTNB4sotw0?a1`58pGzFEFPx(QOQJ}_#fja6l1vO5A8XpE~!eVa5-tRDmiB0|h4!n1cEgYHFGS6}D9gPdG9KmDSVd zuc-an$Wz~GQ_-d+Jfm#v<3QqMh z^{LuyrY?R^%M_^PVW8kN1XEBevjKIhucqo0s8wO0R-1#$s!Q;LQrq7mVcXyEh&9ui z%F5CGpw_FJ+7MP#8_hv&&Zhak=4-y=6{z|!P+JZ$sIU7#r4*>GVW1igF{s;opc)mZ zbQq|nLk#M6AE;&pYFiknmO~8c4j(ABQ7{s=Q4kLhG=2KA+9>#KA1Jj^FcP*=5YI9+ z1(nrCK|d(9Q7{s=Q4o(+{0u>Z><7Wu!MCIrwg*o~@b5Q%U={)_-cZvda zY8a@~%t4)z4XC?)j;=NeM#44<;t`yOwE6s?)JDNb*hWD-4fK$Ly2n>jYNKEzY@;9^ zOlk@$tA6S}A1Jj^FcP*=5Kk&K1Z8FQ-OT+yP!}pk|8kh4Ut|m_l2zyVfDhEg3e+WG zpe{8Bl~wckL0zUmT^=dRJ)l5+CkzyvMS4g~=TT?Oi)Fi>zT>LCU7xDV9B z3e@+*Ks|DZK|SFE^#cX!(J)Yt9b!;V`anIdKs^x#>d8Y4>W4m1KUAQe3Ip{cb5L1* zPVf^SsHYXEABTZ@#vD{upVIk3J*z-H7Y6EiV^EP7vKf&5pk7p|PvR^np_QJR@QIJn{H_)6O%intIs>>P=NsZ-vzq+yr0X!=CJ7J*SH3yZ|)8_~Eo&xoL7^q(vgUW6`e8ph{bCy44=O2I!`|+wIenXwj&mA z*f0c@UFZ3VuldxD*htupSiJ1R6jWBt=Le;B#74q)#Nxdprl7J~pYnrJJ7ObYJ7Vz) zltT*YHDB}nM?HQ24SV`NHU?#Vn9bD159)szP&QnqeZaGV@Cq2yn#$@ov$uUUrFL1{VY{sHmMK$ES)CQ+2Q^AH-(g|RcepvItY)4+_tn%9 z3RF=TsL|%2vN~+W59&w-syGbP7-LZOQP~X0zx36V+GTBr?Xt!j#Y}xFs~;iX@qtpi ztnIK})_A#@DX6TbE`CsIm$eyd~rl7K#y7)n< zUDkHkE^EA3&JdK9)iHqLVhM?>@**txIP)DmS;h3;4;aFo(*=+&v zgPN;AeJ%`Cr8%gqo<2V)b#$g3c626QGicg;S^3oaKA&2oYHD#Ibz_fvO7wwaOe+R!2Sk%I8z76{s~~ zpw^m$%4$C42enRtS|0{#gE1(3Q#PHaAJk?A>i95F^~RtgS?zW4gW94%rNTgMH3pU4 zUKc;81_i1y3{=`2R92nm2R=t{QlOf{K*0@-ru|e_3mkq>Eeg~LVW8l$S3^+Q%@X{e zS{10aFi`Erpt5sxKPa`!+78=gjaT3r)>L+m?g!PWYN{)&rn=2RWp!+{?<{M(M}gWE z25PrCsI2Bweo%W9sJ&sJPBaE(@5`n~_Z_fppR7Rb4+C|IF{nsZZ!>;Srz%jVg@HQV z7?hRO0o%S)zwI*=sLzLiI@26fRzBqib(R8kb{MF0j6vC7$i}C9N0HlKRG`ia1N9|i zP}%vEAJq8@)CFOnE;I&ZWpzIKhrR*%%L>#*VW2KH2bGmi`9WQxKwTOJ>M~Skk5*-c&ipl(s1ZVdzVRbx>0*R%1dk9PZFahhd$o>7^r8>L1lGDtRIv*Bi0T(Bi8-|XT)apHsc5N zlB%hf!)ofM#x<4Q_BTJMR}`pM!$7@e49d#tH)KDk*A=KY!a%)g49fmlHje%;pQEe2 z!*;>pcCS)ZSq` zZ11pbzIXW30HyX0+hKc$?N6|GIIB%vAN!h5?H#tm_72}>d zS5tmaaRtf=1C{tpK_wNa+%Qo55QB>PnlDd*$`1q8?=uC}Ux6wJ166p4LB)JEH9&zH z7zS$4X9{Yt0yQKI)X+l=D#uq-!xX6DVW38Qrl3YDP@}>?9d?L8#eFq(xB_)V7^os+ zP+2WmTe&_^>V)QK*a^*1^Ano0THFtSQYSP=!%k?9nxD`d9iPqE=dY;=s`(~{HQyxT z=F956&*Hx3o2)=h2?JGP3@WSlJ}b`$YN`TN8V0J&7*tjZ905?}3e>bPP}4tCP%{*$ ziZD72GU-QjUpk{}Gn)8{0QhSG^VS9(8=6i>;^QnHmno@g*qhWi8qvm^uKMhc7 z?{GA1?{L(7?{IdY`ul2Xf%2(^VLr9!5c`xL)M5pyItb zd+#gsfl?FFKt=MNN1j>mHzAA+MYM|*mi zocKU=?_D5dX0j}3^2F##y-WhiQ|>f2*$d^V(bJ^K1iFBG3kNmS$dd9kccXI zoJx*r(bHKOn8G?pM6eDZ0_)-I=sCS}82Lc-eA67x#kv(ad?9*)o5Q(~!xuyj7e>FV z&Ee8dEr-jZm&+V{?s1hqgWm3ez?FLt=&PgGNRuT{IxJso!UNG8^%gk^P_^eh1HzH$ zwNSS=MQ`qf3w-SBdMmwg-2zRfaNQy*^ESQ7JNsbr8+w!9>VwH|NAK>{fylycOi#|L=KPWtK~6$2E7|kWRU4| zz%2N~;|Bo!l-_3VY7q`0s^tkUXmE|_6C#HnMW1GN-m@Tb9E-w#j5!lVjGX*H^hJFZ z6G%pN7*6yV`8Ye#XMw`5)`>m`nc32>e=LfWXM_O`HTp`-MJ>-bH&uX5mEN#M%=@dn@`&C>r*PP^32?vp3=2TcSws zMBkMecC$GA$5{RZ$Z%M6SZr9%1JU2>vt3M*sz&pp??wM0d^tb*e)Nwb+x+OSFk7@3 z{QxLzr~K%zfr<(0H$deGP{VSf?*X9q;oq;|-v{vT*YNK*0^pzY9{N{3u-+c}5!Oe> zLq8HG{}%l}=|7tQ3!+IMrn2X&Xn}{TWvGbn8zYNyvgA-CJQh)i3Sg}d5$r^(tWUvejmV82k z<*{iRgEPqBEHF6Z6B?Wyo1-y!3>o|!7(C_^8mx@Xlh1-XMVokUtms=%X9m-4%V8-1 zB&JreD%od2By<(A`LPA^xpqyDxHopBxoLOWO(t2J)?F4ExeII8Dp#8+cW9NDnknzl zD%YAR@6;+UH&gD^Dz7k8?$RpPnJIT`l~EA z`)10wX_bFqrhL0r`7tx)JG9DAm?__>RsNxw@;9`~KQdGPrdIjKX3BSIm7g_J{+3qx zc{Am2Yn5LxQ@&fP{F0gSJzC|TnknC_Rese>`97`k>t@RLYn9(LQ+`0J{4+DLj`S)hZKhP@w z(M6xK{aZX39@!mH%$0{G?X-pJvKG)GGhmO!+CT@_)^gf239Z zpPBO0I^`V8O!>!JW!p^o8Le{6O!--@a@t31F=`DLy0AT#BkYL$nWDZips9%iQes#bY~neuB|pu546g2%#?qvRX)Z{`8QhSxn|10)hbt- zDgREZTxF*Gp;mc;ney+o%8SgD|DaW_HdFqiR(YwJ@}IQIwPwm6X_c3oDgRlkyuwWR zFIwd~Gv&W(l~e?(-e{)$53TZMGv$A3mFvxv|D{zEoRF9(<*N_Qnr{@xy?-3(kgeDDMz%*JI$1Bt#X%{ za#X9_W2PL_D(^N@&e@~i$FwN>eM~uf^(L$PVDd!0$)$ZTd6M2_Z68eT)0hUamKJW*1Mmd^vuq*s3sGy=Kh`oaK}}N9R=kxYRctNP(G`sd^QTo6>7?rQBbZ_Q$8031-<3d-lzlxw1(d_hgQE(*#Q)s*X_pnOS9xiJdL)oRMkQBbZ?Q*McZa;=(j zTNIS*)Ra4-pv+QJ?uvqPy_#}Q6qFm(l&?lXxlv8|dK8qK)Rb>VLAhB?`F0ePFRLl{ zMnSnnP5HklD7UI9-;08Bo0@We6qMW5lpjPvxkF9)Q52Lr)s%;#pxmXV{3Hs>-D=9i zQBdwtQ+^%=nJGSP*Z*z1?8J+%I~9~d`nIF zV-%Ect0{kug7O_T<*!jt?p0Hsh=OvTn)3H3DF3IX{4)y5ch!`CM?v|Xnld{I%JnG%1_mlDN#@!R#O&^g7PypWzi@oKUY)oC@7DpDN95_`GuOYR1}n7swvAv zL3vb7SuP67V`|C@QBZ!RrmPqR<#9D-R#R4ug7Or38Kb5g7zJglnsRUylyPdxp;1uA zt0{*^L77iYIU)*5Q%yN43QC8XawPBrBfQBby4937oH-?{VFrJp@>(;6z5_S-%WDI_4zZS5$opNo{%>Pm zYZi&Da++CGQ8~nyF$cDsuB==lvX<+ZCB0v(D|b7RFKzaGO|MxBUzMDpB#HdXn*~XI zB}s({h9UK&fs&+BYwkyUQ4tXwCu$}N-m$mc_Si=iKeK%F0b6 zYq_1Wa`VV4w^vqf8Cm5H%F3-HtK3mpxou>XJ1Hx-kF0WMW#x{MRqmp!+&Qw!U6qx) zMpn6-vU2yxDtA{_?ipF-9?HtSBdgp~S-Edym3t{G_m8Y{Z)N3ykyY-atUNff%6*lU zhelSppR)4s$SU_&Rvr;q=<-y9z<07j(L|J)!WR-_1 zD_&R->UwkXy7fRXRS6LjLkA1$ub|^Y|ihWVm_YC9&vYEZ!TPIF3B>NXPGOuo2vrl^@#Y{KF`%l z4f8Jg**@=2e3*B+-DvN*Q0={-Xm4(g?aj@py%$5Zw_4HOyd2w`ms5LdLbbO}(cYsu zw)bdG?PY~(Z-b(}$8v1%v7Fl57^=O^iuRsyx6MH>&*aqJ%c0uas%Y=o9NT*~r}nmm zYHx?4y%jmOw<4$Zc7|$ix1zn3IkvYlr}p-QYVTD=d#iG6Z&gn1z2<9gm^Z)F^W>K| zvdn$k&3CiR{fdS+xqIdyoJ~^0^;C)GfUn^J-iB-Y8a}9Kaz-ySmSr&;FbtIcD+toosQAX?5czu9hn2i!`p`16M>^Ox=BThf`$ z?R|VJF#moi^>MhWkH3cM;|W_IPXzSwqlo+Xkvpe8ew0%mPlgH|Jf{lX!N4YimZgJI zlgiNj9;!(=Le=D<9Gg6pQ|QH?zI_ z!HO*A6f4eRup>0hQ_L{U>{IxKLF;dT_m~b-+KwC%BcR`tEY9*d3OZ7-eKA={MJv$2LG^(PJkLruFF{&?YqblYb6%RM6;=WORgG@rPnrx3%N%g2o$H&T<<;!Gt zTgcSnD6a_Ym+lF}bw`XHi-`Ymi$TzCS;YQWOH!Aze>u9FP zh~Fu?ZGW%uJJE;G*SwDAzV<@Q@Mr~f#cQ`#}qHgs&yuh zlw?RC$y7f{2@i?mj@6DCn;kRzuXo&+<#@nqeyF>Y)coue#~g3Xx7L|u!qyxXSo1-D z&2nLD4iBvPkiTXHxu*Fpdi$`{+sguLKH{%g$y;+iu1c(3-~zQ4OZ)c~?vd^~aDe)P z#j%LEy3`Om%BO1hc9aR3aXe1!DIH6CXE_zWQ6Rwv)XoZT8gu}w5B zx8`jL_d66#)#9~8Z#(l^Qg3VX+Wz`hQ)xU+oZ6MANvB@QFZG}5YigRJsdSz$>UZbq zQvEu-j=#RuR9#+IoZ6Gul}@e4>-kUhH8nk;DL8kq#goA^1W#|CA@S7b^}ReP#+&{` z)YzMGJvpEOZy>7nJ)h4`&uj&W>sx56*oARcj>R{efs@jY<^Hr6$O08{GoAc(P>QLTXs@j6L@KrtR zZ?&!E+?Kqhs5P9olxnr&t-Q6Ye9@Y>7UzxNt)=tY@HYPQe8HTd2qu)!2| zuiAa?TK?>c3qR*be}CJHp$3xRk|U60Rv?LW35x55j**hwA4qb!zbBm|C3zr_WVD~8 zYosKz14+jCNxCaZaK#nqlR1GTSNKVKDoGTMeK3$@te>QJq$Cdol8p0{^!1Q9uMw+q zTi#aaZ31sA>8%}aC-jEZ+nJua$vK&^EaxpL&RhL7{cSYud3!-KmA98@I`9rQn%liJ zclc=r+GslRj)LYc-ch3I#5>t&?)B2l^wS`TnLAc{$JCj37A&)PXNjc??_y(_BUmu+ zd=ukQcP0PCa6Smi-47eq4|b=feeg79TE=U&b%<``^wO?pj+gj^HB%;|4xL zVjRgw+PZ5e9TB>l9?y^BqXg+DK1w3JoL?@Jiu*S9@Go-T6q4GBr*r&~TI9YlE0Fm3emk? z{0gaiWBFKn_r&8RB2we{I8k8_A176~l3(emunIR0#d+iTcyZpVe7tntRs1TM*e3hM z?#>}cVIS~f@$^;L6md1bS~UMUzglYk8h(x3ydw6C-B(21iP0g?l$^fUT~l&8EhrN+ zujSW@&b`U6l{z98OoZ^`t=R2apb^JO}VIRLvs&GBO-d+KBAf4~|!u^!ErC^2I z)AB?8Cbt)|-R&X0Y~E>Sdl1HVBu`zgOcYW7BcV{o&d zuXc!==$VuGWKrh}K3S@B6Tit*$0Ia*>RK8`7xqPFsk?oc;89wX=i*ZL@Q`N*@(vAo zrUMVY`BTSI@#c64Z+?m0xA)$vfAfxB9m^-(W=dvDliBRh&HZj~u14rH>2AL-eoGbc zyP4lCVsV_`EXCp$eoJutz7c}GmES5Ve8+EDgk;3nY&fU2AvcD?|o+fCf`Hyi=E`!@Fek`yTJh@ z$N|&%G|}nb_%x~0)A@9}OKD1P7cRY>-!3YgyjrsXfOYajS@N4dCa1`o8K)cPw~4Y%6s@dGNlVoAmJ)XzT$W` zi1HVUat5D4l&*L_L!!Kw-)p0E22rjEqWsCCoXPPn6(|$mU~Xo!#{v*$xn+81JUbXCYxrR~{jcL|(k$}sCUtQ>pYQLYr;=?iyC&RD#C8d>CWoB}y6`xETy&uVe_ZOq0=^(PShma9 zHSSR%U0{#Z8h5yhDc|C|#$8Qv7VRi4XL0q(&5Av! z{RYUoFlj*AgRTor>DymE$)6N`t<0a4`nrfOvIW+5`z6cWEwn>zH!-r@T|%BIrl3s& zS?jln&wvfPBRN1Sqe$wuZ%F*&rSj zSFaFZc8ItMX(I`pj0H)^9pVzcM2tR-FOf#SlrIgQOr9O$)BI^sA)P-hRanNCS?;ng zfE$w8vXIVl+G2`RcZELnjCP2ioDq^TXotAL9p*k;;C6CDxaW;*3f!Q$HM^WI7hbH( zmrGuJhCgGuo!-lKWl-|NeQRsOVkJWQ5RP9#8^a`bm*;)_XZf?DxlI17)Z7ZbLNw>t z8?bBH=nj3s;W(bdX>Fl+9t*zzWK`n1q+!|ZQ*9oq^e4WIV#j`vudp^*C z4|pPX1b@KuWp{0;+q>!s0b;2Xqf9OC&v*Kl7yx4Vn@`|rfQ$loyb7}hf5JAH?$Vb3P^J<sc6T0U|MbCHe9ir!x`3|Y) zJNeEqJ%1&qo_iNwwa;IPtmm(U?)mqk=ezhW(es=6E~)3c`R*`1e>JC`hj{`rnY|iW z&tDDMbJr9_W_ita-%ZX3^vr8eB6>aK>8@#t)87aEdyTXHtV zV+^k8{PiZ+r>-=@WcrSllVtj+Y;cuLhyq&dp z=&Xf(l>g(j``m@3)dH)U`Kf61P5!26^gjNk)aYCMEq|lFSpHAuaLqz}x*s$#^KJgN z;CO()EpfcV-%)V98^kd&b1&a3>d)bOrTY8$KC3=$U_5K&|M>sJsSol0NvFQc-wi(X zJ^r3J^%4G_bn5&3eb1@Bx54)F{o=fNe7|(w0e(QH^emLN8!hjLd%xUv@%X;Gap)-7 zE=AsVhkg&hewq2cxIPN8;&|Sk`G9{Q2DX5IAPww8{-GF{wV#mX^uBvQ$d0-e`gHKV zZ4*j&Waz`nLJA-8k3^e`_(xKk2l+wSnVwS*@k8R&r}!c1)Q|bc0rB~SenwQ!4Jp?GN|vfh|7!#Rr_#zO=t3v_H(1vpqih#j`zXPS<>&EB6Pvl0JkjTzQxu z7UN#V4@=|zjDIGN+jHvY{Bv>YGyHSu)Fb>zfGfY?Ux@Qo@GqqEzT{uZlnPfKh}e|} zB6j70h+TOgGFKi5a^=L#qx`5C@G5>(8t^fG%o;HAx%Z0bEB=)@^?Cl4bn0<_T%2lM z5v>WjF8R<+ANR0sPf}u?nE5sTT5zr7UrSuy@Na^f{g!_#PF>Hxl}`PRe`jlUb5OG% zDVqJBe=oSU@b4wAANUVevm%dL1OAc!D9+x-f0WMtiT`A4c~?-&2W>6W?4OwVGyhp| z?cqO5T)*&Nlr8_te-&rH%72y4{*C`;Yx&KfmJbEAOuGls{0V+S5WdY%NQ5W(NkQn% zbo<;*ZEwwf67EJ6ed<6^|IU9G)bH}&CF(!;9}>0WdHc1*C*f`Z(p?+Rr!Ty_EEN6? zuJp+b=_bV|VLqqi+MnV&;NK06^6ZAZo0LEKpQ864@;{~C|Hc23dhZ%8F9Dyrn}%Eh z9Qa6->tMjU!k>oy$cXUa-~4aUi;wx=QZN4D|9E;KJ|pKk9K;*?5g+^Q%umI;RO(bK zZd0YWKJz__2+x+f_Amcebj|w^mdJ>-=l0(=IvnP<5xEI-;uJq6sK4Z= zeAESYXBuobH<*W>Hi-elKI{(jctUyj|5#83`WAx_qqp56Je;$izViF&3Xh*W*%93~ z&}~Bu=xaK%4Jiglj2M!YC%+eyH^n7=3ThmA1w^qpIaUz;L`OEFI3RI8-&naBmE!S2 zyr}dG9oZ`71A-ZZ=W?vpPX?uqBeumsWNEOPsA&q;Kj_HD>Hy+Ub;XGnoTAcSbY!dK z0^+iD<=>#Le4*@00%|4@YXa#b!N!^hBvI-LeWq(Z!Xw<7gcp-UMT$a_tzv#4`K^lh zXeQlzOo;PVEPxjah>GOE0=9~7Aa1K7X*8cK{x8K>C54b2zG=fhO}-SDup!R$-W2vG zL!Z!+(RQ-v7lk0%)~|v<3d;UXNc8yET;(35_F&mI+sy)U7CZtJ0B;w-S&_7H^PPzltbWmBCS2@K>WF8-EobRXqImU{P19 zib^%;$W|#82zG+1V0{%yu&5i=1a~buvT;`jQeAKd1?#KR2^RIbhG-*=j%;nz1X9!A z|A1h96&Ng$^r@${1YbHG+4yP$scrH3u5X|iYC26+s!K<BO7ZoAk9==X^t0~i%Pxe$X2NZkQTPC^bhLFcL80YOEvF> zCzMFxX(`wT(vgk56_8do_Mw73C1IFV&4>K+&DabY$z!ARvP* zhuNP?IT7X=O8afl6Ye1)w=}+93`R?XMK5lqBU>+q02vbG6#J)vPPnfM*@*oqx)bgz zL!K$!l|A83k#>)fEZfmr}^W_=79plMxx61HUES8)`p}kR} zFSpZ?tuK@^FAoYNz1Qg1?8>q>I}sm_wt%G+MNBCmq>Zp`pJ z03F#zITpxR|0wPJ?3q!YxXK2S4quEvxZiit3|bWbY!b`4UlU*)jWCMbN_FUH!!rc zTq~+RMn|@)6M#$zu6m6eq3m!sbLZ2{!(9j8^CoWG9021ad?g%6tgr1!nBg{UH?yQkn4koY3J`dDY+klg$1NcPqyBCT@1vEJoj4I^h}D&i>p8|Kq}4qK3DQ*yKL-x4~q`R^7Ww*>o7 z4wAtl2@-87ZWWC@O-HsyrU01|)QD}Kpb>iTU#$d}l~oWVw+K=JDM+Xq`a=kcAlar8 zDxY@ZK^^+31a)8S@3)^yW_N`?)fOe%VNDedTuw(e2i^wcwqOSyg#d*+n;VPV9Qz_?#3r-pq1B*X<BfwO_k4tAhznadYRl;(hFj%Z{f9oZUr5Xgf;jo2qjz6hg4%N*u| z9Ho5jv7!AO93}f)%6BGF$`^5zAc8Po6JVQ6banNR@Ze@TvU%`fAP)z7(7Vpfu|GLr znBkt)lAj#FnUSt@@Kd;fSnC|JvizaW{?1hPQw$Wy^pZRtNLH#RI=0yZp3XRtX=ig>?5S0lJnhet-iC+$X0b3kYzzt?P)lFn1m4Veq~F*ekK3uUzHpABTlhNkzbY51;ldUo&V91%{$Kk zc_zp^_WeqMNLE7H$v-O^d5?~4jjRB&BDfKoU<*VN0`GnWx|Fj=fpCrvTnPg;UEmBf zT_EBp<&XHPoGxir3jgh=Bb)zL0a+F7KihW29Z8Vr;^#Th$Om*}Yvg$#&j&SPpC|4J zgGAdEOb0ng?$fy{51dNwGYFD9;vf|We=#dxQ_+>w3&MdP(UHx8F9LZn*n!^N%9eoL zN^-qOPVbY!cV1tcrDsx4;}%#B4Z@B*gbnOv0@jChr!i;?wW%%9Vd zZOj{hYzQ8+waU>Y#zs-~3p%n@-2`M)P*wZvO$j5Uh*!D5tMZg^7mFb-_fjIBng~Xu zSLJm1uvvKLC>`0n^D>Z^gS=y34-!g$@j&cRRN2I5=i3fk8>`Yo%3pW+rVJRj;9oRaX%#)OkGY&na?3*rH+ ztc1V%zQm3gTaCAkeP(uyD~89EM42AbDyA*UCt{wDc?spoSTojTX2;$i`(*4>D38bf z8T*f!9XBcN?znqVejE2s+$l3Ven$NK_$SP4M+HY6M+V9l9XlMmQGV$7+VP#4?JVxB z=B$ZwnDbia^(dD)*E=_%e8+j%dBn_ixn1R4m!PcgYVYcV@+Q|z*ZnBJcm11SnAr)n z6PhQqLOC$u%7m*?KAx~D;RTehBz%x?(9BNEmsm6rKkAv7me?Y(HOk3}_a@Flxi)c6 z;%g{>Okzo~W_D6)Qp2PsC`TntN}7yvQPN9EYf&CZ`YP!gl>g>0kiVdr?Y_!A)jb{M zI`=E?*HQkHoRsV~vy)pS_e}1C@{#0a$7Q2tyvrf|HOUARr* zzJ&*%e6#S!g%6wAMaCAnxyTfhj~7{01mi8TtH}FBJ}|S3wkX=ODC!qIT=b`+zna;_ z+{MZjga3;4FE+N=c$E8!eO~NKGn>cp!n~N7U3_-&#l@GR+)@19;``0)5;aRSEztty z7bSix@w=H_vQWv2C99a(rG}T9P--H|wWaoyg5OG;rHhp=fpS3Uaiy<9`CRF(rFWRw zW$Kn`Q>H!2yURRU=5drCm-)WTPiA)6;bkY3Mg6kJ%l=swIw?1^+=6mXn%U*^moHPk zJjxB_UoZcbnO$LIg^3kzG_xz36^m5_f2H_JMJjQW^(wWk)WOWId`sn7m1m>;w(>uf zp|2{ftMsYTALYy{3#x#x%C;)|s=Q}rS52r|vT7NW4XSpi+8O1YRp(ZH%*;;hnL08R z@ko6)^^4SFW_Gpm)zYigL%E>ZbJbonv#a;1KDzo?GrNYnM!6dBTa7nr9IElDnO*BZ zt*>f92epmb1#1^Z*|YY@+Tf}ETJ4W&e{5!_C8m{1D~qyI+TgTdC~ru+Cv7Imr_$D> zWubf{?NAzcE?sizTKsB9c6!nDD(Tfwwn*=pj(DYyO}{xE@vL)Mo$KmMLOH9>!a9pl zuB-D(9q6p?vbyW*VqVn!U)>{hkDA%_O4X}bFAZh8dj0DSLiupLr|Ug~a%a8w>K!n% zGxBGY$$(!o8fA3O=!SAu#=;EnWgO4=GXwswzpVcH`rvC&y+Pv!%}~DD;KK%o%b%UfuAHhIgaf)9_%!Pf-5Vs6Zpkheq8RjcPOo<%~uP z8-c&k&PE?JI%sA$9?9JIbq? z-`@N#ly5XY-28}{-J)iTmMz+#oYLZ<7IRUqY4KW%H_hyp&X%QHmP6U3WzUv^yJ){R^DXx$s-1Fe^~ zUXF5S>knFEe64?L<7|^?X1D3rW_+7#P%dnUYzS8bcJLsZ)r}o3!k3{)v2S*3^sYAsMnH?IV zoY7%n2l%yP;f|>tYoNTjt&ROd;Qi6{^Wr@2h^8C;c<}cj}Mv4VXOO{sD8$?14iEUO(^#l$!_c8;JQi@cThA zgV3)*%LlzYXq%Zmxb)!k!O-2{-h;;uMxGixd+^hPq30pD4|#M5^2m^_L*5&5z|0={ z@z9@#{$^$mYd);cu>NND@M**64u?L59~=Jn@PEzh5tTdeP&N8z{T`_9PsA(vdj@md1cU z#Y+|TiaO<4KJPD1QysZpATIv)Hn!I^SBdJwnIoXOOrd%$*6IS9>&9G)+A>3^*R9S_ zH?j=Tl?Pa22r`*4+&Ka6?9TFsA(TmTIxpDmcQSVl+%kV8=la_4y5mY#FoG83Rw6xL z;rmBep$Hq38$6vl!HveuVMR`(QLEv$LM(T%Vy9WxUcU@}x!vzmV^%r}oY~+6k3!3^O1a2u zagz&jn^$s0m0a$!)oqVU#&uX5mW?k~ILuIGt^?t_E+XdeF-hp+?<6f0L zdp`74_HIko<2>-LzV+MMZLu<}-+AV8+3n|J z-(%_RChwcQ*RcWTq4(tooUi?tqfmwoI!~h@N8)@QL!5U`c&EiJY{+>W5IHF4`#9uj zGM)`P&!b{R#ZK2C9))O*P`iCuGnM^%pO#V}Smx(~An7eREb2wO|e^%u#Qt#Q*5bTN(Dw?iMK*T(_^Yv-F2eC!KAaa(O0X8aDHpLH zEML&9xY+&RTO3ES+b(iRShDa;kyUKE_J{3r&DtMG82HetQ+$vVpB(qRc_AO*)hg@` ztsCD5d#w-cYM*$tL;7)r){ppV0izYWOH0TnAuBD@YOVd^ml63zeuA+ByGN_WrW#o} z+BbIP1gqy>t)3wD$O_W_306?Bitf`YQmRN+lJ=2WNx^EmU#lsknq)<3KZRCQpsK2$ z_fMU&Q>+J@eV$JZcAEdma=#eIEFQ`n;yxhiC3XkXARL;r^^=Ck=)9pVDZdY?l3!*e0!dt3M>wm?h7 zcMWdIMEk^ly)8dLx|l80YVoN>UV5}&{E8vJ_!7(#wn$6HCK*{a+BbIDkZ=`Y!uu`++=6S~Va<)SID@T7>K7%;5zc3BSA36QYN6&b&3a0s% z5SZsTpSrVET5dVI&T_4FuSNSTXSrEEGySr|U0!E8$&G&CtTB6DD=%W@kvB zdr|xDw0vjzj}{E=yVIGyo^$1=x?8fp}D!KU=1eWdmp`3ReQ&yNyM zVw<#-lzt*N$#T+uQo6~Pmwl3I%U;%!Qu@l0lq@UlE2XP^vLavkq;)OZs->m$mnAmrj%QNZGu$)@MKF%mjj7X8>WAQU#q)N|C%`2JbAsWvOyqtoN z7hhMqBYO07#%hUE`%>-q)A1!fyz-WKuBIV-N9RnXKTpSDu>xOL_LbmaNjZmaERBv{o+d zTcvByShf@k@!XX6GN24Qpw+8%@tLSru45@!`&a4Ss1!_o#`P?&WPLw%yN`XSg{<_n zEXp%Iw#2M`taNeIVy3vrX?YwwsMV}=^_eO-u%4x8?PsN%b4AhQb7`Oav6i&b*MZWE z=5I^Z+Sf`~=bEf3MsmU~!#>sOR=PYIHLL1c3fKNtx;s}DPW}(rXaC4P(?VBzUM1FO zF-z>)=Sr97y4WdR(vIbbmb%jK(aJhheM|1z?@G6y6}eL^>^qq+wd$4b52f1Nz%Au# z-z!~z)|5}N3)tZtXUFseP(~p)gdUEACV}-3=W!i_a}ooJkClvc43r@_tI5ckA6Sx; zP2ZOre4}TBG8C4#&UPthrtfqt&S@;<45eeC48?zvp{zM)&s0C?*`W-H<*om!^k=M! z>?a+QJP{K)bLp5UL-L%T7LO!k|OO`eL4oY8b_l%Y8% z8O@rba%OACPU_jC43Xunb5&aRTXcMs;W?)>h%^yP^Tsh+d^oKK`$NwnWth&@?2+5G zW|EGPGDPQmCQ+>7y|c;0_xJxK=F~d&m!4C~fXUiEm&ew;(s5FTDNoER(np-NQsHy{ z`14lwkDge{h@H#H6xg;kxpb_Qp~^Fpi{h3~nqa=q9zDqZ)zeHFyFjU*>%Xn3rsJgy zSDu<`q?Lg6tcQWGroxvn=r64a3}0UKiD$AU=$Hit%lmg;jG1+D=KrFoSBw}v=ado5 zi}9mAS@TZEO&PX4x5!yKvKBkYT z)$t1oodELUyp#JRKatknaOmxeGK{jv^6IgiOUb){dER7OD{sV$9~Hp!67y(+(wn>04Y!`F z%HUc)JD*Bxccf#g4C#3{UrBrU{Bzd-MU^v+f_mC2V|+eMSGlj&)YWlShV{Ihx)fih zclzQ%Me_?YX*>RJSRcvmX%y14SQ&14W}I)w@`X?l9p4KszNAMhTkH5L!+YLlYb)2N zE|iMtxvUJf<+Jm-wC1ypu`Mrl2}l_5XhXLMlytr@Q4 ztqk`CHNz?P@!nZZbKUcPWfh~Wp6kls2hNoX;csic>zFG;e!$oe!enC$C;OS3a?}D7r*SJJaf29soQ|Cg#tQ4SQuMGVKn*u!g z^<3Xm0@!Oh)2;n~N28L?1WGwvD6>A)IIL`-%c?trsX8Yp1#uzG{cvNk@`BcZQU(`AULbvhxPw?-rw64j!cD0Q?KLY! zXe}tEaM7fQ;56a8(^yld38gkJv{aDOc&t>R^`Ml)MU^T%T2bGTY-!Zi8ABzmsy)wx=_l(=O@cw7fWgXE~Gj-YbfP$v8X{cPI*q9Azi*|Lf^kH9R>gz0`l!@i7i@B6nn@p_}trNv+W6ck1)zLap%H*P7 zbu69OSD!{Yt0?7Sc}tg`uh_Bfq&3lc(RvB93R&~TT8*?`lycFlQGkZ*t5P$aWt6h9 zW|uDQ_wudPsfE^!)=fZW2{%`)RZ8ndDI2{?h0v0`T6H&C>8zuaPq>+PvA6} zq;;f}kxoq!q$ZEPJQr@h^yK@fTPK~Nl+p?}EA?yk>}6#sttF+Dbe4)ZOPyj4+eXUv zy$ksX5>FtBTQ2r!b|)!Yu*%wb0uy**8`i(;8DsOsBCZ(->(@JUfg#s`P6rHH`r} zn<=Fx&q{q9S@u%-$;xP2XG)prjFt--jdT|$?vEj>c@o=nV~|d4O2w%rsm9JM!CA>o zYfULNo!oLMxzYTTw{4S+Av(J$C8smlnPsw2ezP*1)|*mpI>Y5+h6~Jc^NnFT%PD0S zYPM?p%(EY5I_qJe%e3ya?s7S^g`1n!Dywy;l$~B>&yp5>?`rimM(UKO)Lyu0t6w|w z<(7PBr9G`ZrSx>#J4kJi|OM}S%!EgY^rg&&VWi8>MR#smdnY1RuVtL8WKYY8sPt235*5r&+FFKf4*$ z%A#7IN_pxmdJeNF&Ha4xQ@&z5Y9t#s>SU@^t4@|@H(5sFUn`+%jVdLo6Y4omsH9=v z^Ksr=qy3DVbVgOmHIf;x&pG>9*UG9|r%IXXteOY1D&+yib~W9&MJHFKYIWi~`-wAB zA6p4lYgH*#onZ4wf+al%Z(pbA46BrFq_bb2_uphoY2M zXzgn4=D{o*E+1IC2CZGCboH(w59>MLGcR}P^sCfxxaqH7`|q|2p?qznV69)Je02)W z!zq|F9rziVuZ(+iCRR#0R0h!axzEB@46JOdHLR4d&c=B>8~ZY{?K3&|>Wr+Evo-5= znRhaos5oj!LCTc4|Yb`6KtP}KklAtNS z1imZX&$wS_Xr-)mHqM*bI8jVrd(b&T0MSu52YDk*3@?UYh5d4t+V!dn6*joitTn?<6)h= zl`0RHAoOc_JF!~{Tx(k?ZJof+(*#a=Cvf|{TK|TwTI5>g|AETcDOSmttG5tJ3F>Xa z+21B89UlUucvxElty#5`v}W_3W@E+gpW+vyq2I2?qdMCvrK^>j_mnGVT&-KBZ1pzv zzu2a#U9Uu~JQ8PRUC&PoRW#=7#2eOOmg97p`-xcT_z??ho`?@8c zp3Z-A4C18(oGdoK&lwAJ4pzET_M1M=TRNtASb13MS1Dh;3C^RNU@MnMxtKnfir$Vj z7V2EA6tm?sU7nphOnyC`|5S0Hd~AL1+aj%Fr8`xA(^&3mTIu)@U?|^Pdkd{&wc|qh zP2;(zWwqzkXehr2d>`CXIxQ>x7s`Da&s%b)cvz`fYgs8}ec6}CFZ<-268JrF$Bd;q zLn~!1drcqbURIWUsdRh@P_Cyswz9O=v)X|wpJ^<2b*=Wi8qLY~fsY8+G?wX1t#oBh zp46{LuX3g1Lx7R^+sf8j(`qM1;zNB-bh=i1UX4cLYsDw?l8t9{(pGvj5{K$@@|M6U z9#-Pkx>m|s-wZjAZidMDC2+gl&sd=|w^HJ=*Yt6889J2zl#UMphT>4+R`%A~Ry$MW zGmYi0#?_uzqo?D0#r8YhSf!J=(zB=ISbgr9O#r3iLx892V=IwseXAXMy8hJXpT5S` zo>!x%>)+t*`13lGD_wiKp4I2)Eu~XDtZc3|u9UdGsdAp)RFQLyVtZc4cu^;HrQ&7B z>EqK+*pc{5>G%*}Bz&rKti-N$u6C@-XBx|0y{kR1M$gpuf!p=H#%i6}l`cM059@l7 z&kCjELx9ovm9o3_`LnfJ?@A9x<6m7jGR-R;9|DZlr`C=}>s{^OX#K0}=d5|P=hbMm z{tWm$Tb54qN0j-6HJV#~54?q0)7YdlztZKo z<#Ejudd4Un9|FuxKU&#eYhUf`-1N2PQtMysc{Q4wK2$uRkZioH7XhW`bJOvfD{oB% ziifojX#FeYuW$ZbfH!~SJQR32(9hVaR|4f!kiDjl)tNk6zbG9a0*tmTReftU&~rfT zbd}FEmb-I7?RhnNHhizRJg8%A*NcKO0%s!tn$td^l#UMpo-N;53xl2qYR8`~ziVFq zTu^&njh-#P1z#@g)GLEB1ZOJ(nm2FF2#SZbI_SBeoC^9T(gl7KNzO@%%ZIwgZoNn- zXNK%Jee6%{x#HY&CibH?^%m&Xo7c@-NSI|1dLY)o7xbH;UMhMXR{03j&d%WQ{Xj}huDMeB<6INWe>S~pd7^>aig#9Rcx;NGnBuw zdC8TTGr2B%H2EI(Sn?tEc)`wWL7_TqVWCEBQIU~sanX<1Q^k6+CB@!gON-yjo-R>^ zEi2WFJyU8qd$!bHY(?qLY-Q<1Y*p!#Y<0OC*_!fCv$f^_X6q_+VOf{_#@1K7ifyPo ziEXTMC)-pto^7r=mAzc`INO?9lx<6G!?vf+W;;^1v7OZluwB(=u-(;LvOU$a*(){H zvsY_g%U-Lwh`nBOFMFfrzsy-Hmc3Q09?JIY?OL~>e1N@EYbVNmY+voI?0;!7?A^3B z?EQ2X+h3Q ztIdpNVl>k=W z`4+H}z{IAF|uu86az{&!v=(-Hv%gUta5?@tOBr9 z*SEkf0ai7k6|joHswdP0RtZ?OgsXv7239knKd>smY9zb>tSYeD36B9w1y(EJAh2q{ zE=|}CtU9nX^xTnH16Uozr(9x9VCjjifz<+5FR>P|+Q8~2&H|POtbXDRz%B)rk@y<0 zbYPi@tAW)4)*vYsSY2R^62Avl4_L#bCcrX)HA$)htUj>DNt1y!0M;yN1h7nCO_SCF zYY41G(n4U3fHhD023TWYt&-jc)&y9~`~`tE1=c3%A7IUZwRTSj)*M(n_m#j}0Bh@h z9au|X9o%bxwF1^Y*$u2Uuuks3fVBbEF}V-0w!pe1Hv`rVSm)$tfwc$LE%_l}9e{OB zJ^`#FupY^Wfpr4bz2InIoq_c#*d16GU_A>y0IVypJ_RQO>jtcM!S%qp1M63CF|Z!M z`lh4<>j`W?N-1Eyfb~xq2&^}-K`E_)^#L|8u(`k zdB7Hy&jR)+uqP_q2<$Oniz-|OY(B6jE7Eu$2liA&8t(#Niz{(pPXJq5DHhm5U`r}> z0QMxXWtHjxTLkRs%Cmtj2KG$ln}9t9YaF|?71rM0ecqMi&eG&TLJ8as%3z!1h%@W6WA(XFIDXf>^Wd-t7ZUu z9@v_yj{$oDSXR~BfxQT9T`JA?bUk$+XQS|4Vnv^f$gk8bKzxRJ8FCiYzwg6 zHC_X@71*v?loPiBd!-iT#O=WL)GiEc2e8*_odUKK*sHav&%1!VQJea_8`$f$KL)l3 z*ju$<0rm>8H`B@jdllF_X)a)|0ed@b7_irY?Mv$b>~Gc1NI)UgXx8Vy$|f8 zbjln1fqk4#dE)@EL+O-($VBgd$ z0qjd)U)LK1>?pAB>a_uO4A{5zo&ok1upjC@2<$kp@9P}^_BF7d>TL)14X__GXl{KA z?3WChTi*fuIink}?}7c6kqPVvV83S2IDQ0nGK0qP6R;B*G=F~v_D2TI-(P_JUZ2MC zE3m)n(>Q(u_Gg1;z)k@Br$H*PlfeFNa0uA%!2WHp2iPCLvNP+k#Kb=xDF(|d%PJ=R z1?*HqC$PVP#bkcZswMuzY8ytw9>B7J#Wk!4>|bE94etha3Ru2|<5;yM1}wheCoD0^ z0On}816T|&vr$1{vA|pnPXdbr=4>GI%nht?lUBfz zffZ{~1z15~MVovKECpEcCfk7(0>+!td4++MY)a=90al{vQeZ`am2P?uuwuYUHT?(} z2UfP}7GTAJm1$NNSP5X|n{i+zft73a7_d^nE@^fvu+qRPH2WD?8DN!~?FUvCSjFbu zft3SRrFmUo<$+aheiyI`z*3u!1$GIrs?Co8s|c)m^H+dX0#>a>8(@`z)ohUptO~Fi zE#?BN3aoaEn}DSPtJUI7VAX(K+TsOZ)q$n8EC;LxusSW{fzDgmq>u!gO!1eO7;Nvm$a z>H}-sYA3J;z?!vM1}qa;(^kI&YY41Gt3$vV0c+m6H?YRQTD5KftO>A|t(OC93am}* znZTL>Yu%dk(i~X3)})sfz}mJ+1lAH*ht@v(=HiU>$&UZ95cLM_@hLwglD*SogNi0qYE`SKB$jx&Z6h_As!n z!1}b^1*{ve-t7tj>kh16+dqKy0M@r%S71GX4QQ7RtQWBU?LGz88`z+BJAw59Hn9Cj zV10oNY2OZ5KVXA9kjDE18`hpQJ^GJJ8%30&GOb z8o-7EyS!sEuwlSPb$kfeaA0FP-T>?}V52)t1~vlN*iM6ijRbZ@=c>R)0lTtu60pmG zjqAJ!*l1u^b-n}G7+~W&e*o+XVApis3~Vg0tGiG>7zb=ZXUYdx0=u?rJh1V=uJ7_S zu&aPw*R>h2tAS1GdI_*=fKBW+1lYB}ZtT_q*aToVblU~&I$$?-TMq1cV3T{q0-Ffz zmhN8xn*{9U9+Y!#05+uu<(wOV-P-e6V3UE})^irHn}AL2btkZ!flcpq1+ZIyP3!eC zuv>xM(Q6T~DZp;;MLL}d?5h;U~_;yI&eL(2Z7BSM81Cr*!+Ry z`-g!&HfS5LM}RFDv;^2(V2=;RT9Gsl*uufZfjtWBiNWK6JqB#i;O@ZY1AB7tGr%4P z_SE3}fGq&Fc*x_xo&dIV$W&kpfh`$w0N9hjmJQhiY!R@hhyDg^F|cQbehBO-V9ST~ z2et&*ieZg`Ed}=MaLT7o16wtm^64^QD~JCJY&o#!haUm<46x@$GzIo7uop*E0JZ|y z3nNPaTM2CSh*Q8;0efj=4`9y$TRXBIu;+oT8958s3&65QUJL9+VCzOr1NIWI4WmW@ zTMcaeDAL9nV4FsfHr4{$csb>vb--S}obpf>u+5{XFYAGA9Zh}N0Bp;c+kkBZwtdV< zV4Hw#8*>!cW?(zVybkPTU^~WE2DSy*?y(8LwgTJ5*f?k0gt+S%bGwpuB<*Ad?jkal z!VLV6F*9{j(wmIEh(pHmCA|yV0XI|gGdD{{nZgQ-o36!Ba{OI_m13oFgiRnT2Z9PH zFF{!eWo49AQKq7-F8Gp@-a{FKn?~=WOaSh}zl7`1%l*KwXQho~W*9DKMdpCz&f?Az z&XUg3_*dMEO>|E3VUxVrbOkm;fz4K6^Ay-W!IjJadeg#%Yfpt<~y%g9>uGQ9f zaU}fW+N8jCD(b$Wz`j#pClpwAFqV*@z_;;{bCtnQO>gOJ)L@ z>&RSBW+ItMWNsjHBbmu$ZX$CtnOn%*N@fa~sbp>=GmXr2GPjetgUp>|?jmzHnS030 zAagI7nPl!GGmFgqWF8|WPT>|3z=WZ{6^*knUiFG zhlyK20sVvi{FBUIWd0`e51DK-|B^WcV=yuXnHVy$Wa7xg!>|!#+L}cf>qVw3nKopG zkZDe)72bY}n;SQe#oZKlGmE=B?j9EReB4qNw>oYOi(5iRD`41AoE&!~?hD2SP_;T{ zNyb{xQ5u^ndxM1CvyjxJIUNd=58|gkeNZ| zUNSSu+(%{>nfu8+KxQ_XIbWd6LW` zGK<^rDUEavy9AgGS85Cmdpw=E6J=P^BkGy$-F@3MKUjuSxsgQnYCork;x*n zp3DX^8_8@Xvx&@RGB1ncZaeka>m7t7Kjy^E#O~$h=ABEi!MD zd56qiGW*EBTIY#CyGRMh$P39Xi-;()`%=ct|AoC-cpUC`7<`*)*lKG9y2{I?i{7&W%GJlf! zi_G6-{vnf1=3g?WU`$5FAQM9-mP{O(cry9Om}DGeoMc>N638TyNg|Vd7aE#Fve&)+DqnrG9QpRNahnVpON{3%rP=wllczDz?-Tp z=0`HWkU2r-4>Es~`4=YEAQMN%B;$fHuBD?SGHx;{WQvgCWJ;1LL#8~Lieyq@j7fA< zgA8tjvDkDn^~hjX!eX0{X-=jUnf7G5z!;P1s5_ZnWcrdBKxQZza#-vrGGoY$BXbpu zF@=sqPp_w=8_3*5W-6KKWUwJ&vGSPD-pg~!Mwb07Ulfr_O-#ZjQ*@{w_pNhDK%OhGb*$rOVz9-yNVWJ;4MN9GbT zmB~~mQ;W={Wa^Ts4`Vz`M-9m|Awz+Rqd>*ACDVaSXENQ$^d!><#+XM({mBd>Lm`W! zki}8R;wWUs0y0mMd5X-_WLA)Qj!aWBtI1@M*-U0DnH^+ylX;cQ8)V)g^DY_cnsI>4 zK{B6`IYQX^Z#FKH6Ay38>BE!j$#$(8JG2}XfbQ(kc zi%BI@gA8drhBO{ik4ytHjmXf5Vp_l$gm>Fb9D#l29M+SsIfl}y# z777&T06Hk8Ev0N)N-3j*E($FlqooumrDc>33Uqwu=;-MVD>veW@B>HZNasj@9i1!b z>gh^9{_oxQ8ip~mkeSAS_MW~n_)E3-G^Lt)+vA358e^Jvwe@7$E6dtCdo$gg>Gra^ zOl!L7V0~9}UwbBQ*rqWeE3z)Lx38@`Q{8j0vuRzXC(|1@Vx|#qZ)+@rzqk=MjUr$E z(|elI?P=3Ep^g(}-IHGR8z zux4%g1T$u(7w=7;}>}G9TcDFbD+L87+;+}3F7v%YHHhA%4{P7#S_-X$5Ov`ji~P2G2b!kOU(4< zs_v46IdPR^HLjjlR5ERA+*)>WQT4RVX8d67q5Xv?oRqXju)XOKvrcav+FUi!w%Qu< z=9*<&D%xvKm^yK+IWrlX);zI#(ZoHq8=E)PWBQ_^bm#WC)i9yREE{DNj~~i5myMd& zVy~*()j8time!%AZjCu_->BG#Nn_3VR=m7!B0Tx~TazQIr;nlvS&wL^RUM1>e$)I^2U>S`slt@qvyBmU00XE z^!mKfo2Hqpt7djxYvgw8XtJVpw61Y!3PRgj3}`awfm>%SypjvNl|%CbwR<1 z#N@G-X%#2Z)ysEOwl>V0x@r2zIellvMih09E-H%8Wn0G9Sk?*S2dAso&hM(Nm>OSH zQ(a_FEGj4(Yg(nTba}z{**jBPr%qhGV^(J6#-gINv4pcDZ%i@Z9MX_1DQVk2r=w_c zqHSC{hW&fd-lb;2mQ_;^v~L-)a~rg0=eB&?ZZa#Xw^xrGRFIflI?Bq6R~)M97!}L6 zdlDlSQU70TI#s>9504twI(**FWfj{I$B9`SGh%7q-oUyTUb4ACdv1^NpYO9km zXMCb0&8!jVKbtEm7p^!o8}KHE#D)wiw;Ro*oj5qoOm?(xJT!a2X>)p3V*Z<}viXnC z=3f_UFpceO#eYgcB=9b}Cszs)Qx zX3NU9%xF%n7<`~^4AiSKDe5(CP<0-!*YH)_XLhVSJf*5*%Z!%HibE5-hR*|>eOa7a z#+wXrF74PpziG?RLmNA`RP0;{`K{V9qX+Dpm?-R9zQQa-`?ArMJ8Sbwhj(nQ!uk#t z_08rpyKK~)U7>tBA)i`bKErrETj%UsQZaS^)U6fG3x^J#)HQnE&TUxFRbD-ZjA-7} zHD(sH=M;BPtqH)R^|L2cP8@x4_A+vjIiPADnbyRLdkOv*z(>G9co zR@y^&K6|Gm5_7?ctdV(V4JqFM`BXK)^El~ryJ+(AvQbsZL@YM69Og6A;JGXunTXi~ z@+VKRZEI5A`0*t+wyQm-@U$6yVAm~Ey!IJfJ9PAZwte2dg7y!lX7Wxsz(ztn zQ~A;_%vfd1vcpYSpFQ;>M{h0wdq6%Trh54lr6-Ku)VaB;v30ue%h_0u>DhWruoo0< z>H_~*nOC}>Z7uJsIlLR6o6|hMrspOzboAx{qv!K>-MiUqhjHA0=e4wMY+eS>&mQng z-j0j&Wc!v_SdYBYW%WBazPZypeEH}k%=6E@mL0{1 zh8B#h>{(ch^_k_>XY%CM!mTqJYl{aT;C@lYq`j(ZD!XbA=PxT5Id5kM{Hc7qSC0|( zrSP2ipNF%&@~Yj;%ECiS2W*G>uV7Uj!)Laloy)v>BzZkXE@)oJ?K{uIQ(artwRzs@ zwL_=2)^DwBZd-L|V8b)h<5&BAxqH5Bldo;h#k(asS&g1N`qW_+Og$ms6l$ zp3}2%(e`Cs!{;Z4Bw|ILo#U8a%d}DRc49lg^J(XK&*xf>dt}|juFaJ_nNtV5i|j=) zul@EG@%Dl7j6uJ!?eMrbq{ok(4t{4ZN*p!w_yKRl*o=h&_533ez&>uVB65ayQV|`!}XVt zeswx&4J&FN){&iWY|po24d$Yf^jLf9_>z*fOQGMGRCH4a9hiM$+^&Mu$us`(qsL*M z=cm#dLw+Uu0w2s$+YLVE)k3x(c5EE%Q5I93GZlT;9BF=;%3J!)G?` zt|*1^sK^>WtF8*h=hIiuo4O48MT*~Q4&F9l^zef1vv%zmTDre(Yx%w{WZ%M-jidKZ z-46b`arEX6wAYZub720_yDV?&9PmRZcWxv0H?@U0Zi(`!e>nj5A5lMHFof8jiEplGlzTjuNqz1ybSzO;1~TN;Ezs^kFSom?1lcdb4Nwv?p0I4Z{Rrz z;GI`u?&?!G zGFGx=@zz;A3+Ekz@kxPy1uKtEhx&!=5BLVwfSo#a%-dO?2Y!0mjGpRP(Yoo|<~44a za7yd+k(Dyuu5TOGxud+J;e_5z1zTsd*5G(O9O^^QA>tNx+AW^LN~|Z%Gx0e*a=kZB zkEr*yqt$!%QR+Rrf4!$}?^o~6Bh5+q?l3 z(Zi;lhW(~9h{nez@axLPw!E3Gox|r@CI0#Ph?!jrp`SGQ{M;ue=0RoE`Pg4Ah51OP zW*uv--=3Y{7g;BU^O?;|8|O(CVjecK7v{A^))X9PHdnQ5J3N0mf3H}zc-X3u^IK~3 z$`?)BUcPhN(Dwc9Cm=p}KiM{`wQXo=e7qU&Z98=^K3^EWbXs+ghyG(A%#SDH^9H!! zd7N?jjy$u1-fzYYD>x1Eh4}@H6GeVGF`g7PjhVj(#u0kYSp?;vJr~e;yRxx#bN!e( zjav>68g4G({p7}i6X&;N=CNg9KadO0H$g5|v9I;;pv}`pi1`=D!GdH(cC~TIfj#YQ zO>Mn3T^)Pc+B2*7^tN?%_LK(Mn)Y;0PuwVgRmNz&xG}&q1}T(HvV5Qz zTpRA`fm|l>Vgzd%!$OPCrKRc4uFlf(xG}{vhIFPo+B#cnx?m0ZKyPVBx~aRXwA?gi z)Mbq>SEos`Y?WUD+A7oCl5WDaWUH+Unnmg@>O%uUd|{@uIhAg1?oRFL&ZIgZLdt9a z{eBYN0Kd5-o!YazH`Qz!gQav|Z(DoW#&mmIGw4iKM4wXE*4fnF*PP*N?Wo^7|5T7= zxHOJzN2;yU$98iT7w1!%?(QxCh%%;8cGSE*aVjB!d35)bBVb-yS4#`5(j%MT(W&nA ze$#Nh=$MesZ|Q4qC-Z=C0k@MURU~ca#SP#ZG69P~t)^X>rrq$M)n%JoMuG(f@O+_# z`40^Ut1#WvlRKA@GE6vARB1kBV+?9N6rQkgP0+rKW2#J?TKgz8?@&Uii4CR7l(ctFcC!b zFAgFDbpxIk-`<>RPWPr;I#U2>Fgk}9)6~`7jnN@NP#uD9-;wD^?MLNQ&#tzX-VE55 z_4IbZqr)SC9pGchqX+t8#6uQpaV)Q~uP4==NfR_gP_VdqeRZmSNqwrWcGVJmFrlT} z(`D=X_Oxeag4=8kM4VFRb%3iIPlq3r=I0iJ&!oFP-J06e)eZGAYXMyMKG9)y@Snf9 zBg^hlB%veA{!=9C ziE&|jVjSQrTwGIApITJCYGrCs?fM27&M1P@1Bl+Teo1Om?P7eoD2BIzh@lLY)YN1} z^0^W<4Y(NRmijd)4|+zZOv+=`1_A;gD35hZmesCam4(z$y?C9Z`J+r3aU24C!Q%Sr z)S?Ybvzc!$t)5wUD*{lk z)BquYQBXZ>R}r&%RUOneTd#(iRf|(~V1G}5IUp!uG_tustyj@zt%aUYuILI<=u;Noq~qh6cbAuWQ&) z0lged1c37VCd&xF$+FyU3Xww}U9|{v#5ce|gzV)px&bCcG*EDEy|3pjTD3Yw{*EDb zpnzgcZB448W=l;SFa^jgss^+;wS##X=Xq{C3A*Ccrq$~fL$AU0vX2IrqwayrtzW&q zx(+*TXgSP6@>wesu3G{VzV-0Li)m5uc+mtV`7`SqAwpfnlf^ zH*(SXL@5Pe<7tz8vv9sDGk>x#CcF2G@7dFS&@@V+(nqTuC>NW9iVedYY#L5W zTW2#fhnjKBZJ23{3aR7!(z?FR^i0fB~w14*)%R(pwC2%?|{%Az5ex z)n6O>d`}z7hHlYcHY5vep!#dW=-`(IR!9TYUmC^@zcjEy8mRu#FrpOlXQHi>R-EA3 zSQE?vL_`ii_0Iu}9n1mhw;`AVh=?4NEi)Gei7bXaZA~eF0P{?LB|t)C0MsCCZhGRf z-7}2QfgAur3ZncD2_mj=HXs#wfDeXNb08OZR06qxP&OAJ6}f=(3~J6a!4~Ac)IMB9 z#U)9sQClb{5X|O;I{oW}E`_;d4)nPutfvNWKw?%KNQE{yQa-M8wbNE;*X#-900P+@ zfK=oF&NVPwlkBpnYaR$?15(*+fK+4yUKcrqUN?R=UQDkdD{zGnEZLbEa#+ zic6pzukB|l+kPfAZ~|uhohkTrAmf^U3h8^UfRYM(gj`{!=L$$F6rjDSb1ctxj(-i6 z={d(trE|;-IS2CMVMJ0lNXnVf`@}dMJ` zcs@5v>2tHdjpV!r^hbsCJ%>X{1%!~p&GH-$NreJ<760+m`xPCEg}2y%89gtYrS!tt zAumK;cp;Mgi=7?vLgcdwN-vxZzU7C6d{#l}cC*2G0t%jAVUhw8I7mRj^PSmB-^1CtabK$8a)Ja3q-^oH5c#sLMd`7udRLa6y?d(DreLIIjHP=eRevz0Af6>4eZ zMLQtbzl5q#OCz6EP_}ecsHKt5DkxjJD%8@*XBCt!T?NhQz2t+tuL~Lh)3X^UTfPcg z9=jm9v%$4Ch4S#60i_jo2{}WR=L|?H6hh8WOAO4LK0p2OM3I%BTfP&{0bCq5(H{=z_XDhArin-AA3|`k$UHf3% zhLqz=$39ccWZ4n#;DpjA51{y`mx zkUBuCbif6IIuIdsfL7^1n+J6uLh1mm(t&0V>Oh3l0a~R4Eg#f@2&n_KN(Y)cr~?sF zN9_mYVL!l#tPawOa>~PgfDuwh?FZ%1)G-{{q2v5@2qvaUb+t>Bhg||Aq>kDp%EK;! z5mHC(66Il+zzC_Mc8T(^OJIc5QM*KW*d;JR>Zo0!JnRw}A$8O)Q66>)jF38Nm%ugC zfE!^%RtIT?OW?9;P{-#In5fc$rVi@(`~a6#RXSlmz_n9}P3;G`S{f|J=LeXmDktm* zxLzvDQTqX|kOs@~`2i-X$_e`cuA0hn)P8{Lq``80et?Opa>9Oq%cQa#wIATZXrLU7 z$m$@iC>s#XD$7y( z2d;<)%klXKCaTH-7szjK?tu>y`qJ&Nyl=y=*}+VF4ueams!YNTgX^RctlD94Wi(ih z&tWi8RSx)s_uF~5YvX=C|GW`bPi47k2g3DIUxgu)HK9yUBAW@)3LvkEi zaNRVh9u*+Uam6K3BqZQB@}39KnKp zo`VaaDxI+B@TE~P^G8~x6ZRZj^OQzWdk!vW2C?}(1rrtJ;F@MY2P3lOAg$5~I|eR! zN*%Rh;38+R9G_!gqN<#*W8iA1EJy7axV{-I$LAQBs454VI;i9G16==9>4g0Nmpvsm zwIAR@XRsWIkUDBVz_rexj?WJ;QH2ftAiKYWe>eplz{kV+__riJk+z3}yRe6Qoh%c^+9v*3xPS}lb)l^zb?MApx8nl+rjWAJFPS}lb ztyGqyb|YK?4VL5c7)(@^15OdA)mmtfUxhR9xeqRvDl))D(LkXXkp+shN(Vf|{~04V z`%~dud=7=nsH$ARLk7Y|ypB{yx@R|i5D7Uw8_vn+RJgvX$_e}d87hG}Ei$Y<&KNi><0`!v2J7tP-x;pKw(*2-oLN zn5ZfTydprt>L?wiVg|H+P{(r(o~SA(=uzUE{TPu)O>4e?Gx87QTv`Q!J9=`R~3Zzv!VfXN@w^ksn(h0kVZ@skwX_XE% zd%z8S>#Y??t8~JC;9GC4Kw6=LOR9mMu)}rcg^Knq!B(i3U@O8)uskCB1gMu_D{z%H zP(_T8I%?Oz71yASujjx-RTaaofy=J49JOoUifgbOU(bPws&c}vfy=J49JOoUifgbO zh>$vJ*9b4cR$zqGQM(4NxCYDdbrG1Ts84taw!*gr%W0KPxQoDL*DN;m5^M#oxCXHK zmSB0Js+_PN;IgYMN9_l=;u9Oq%dWBn7Qfq~JskH)ER)ab|KfuI%IP|6hK5%Q# zq~ZGpXHxBC`0T@koh;0k0H1gjOv)Y>F&{*jbB^=De8Y9l1)W|v+#Np;g4N+NTp<>p zJHWR+iN0(maHP;AdDIL)3&%UvW)YMQ!bvF%#ZB~zi&SIIh0a9? zde~rp!leFy@LQgM7!)+xE2zH$b8d9Lh9$t_Kpf9%sj04mw;=f?qdcr-YbffyJ+4KR z3}{DqXtQ5a3JlEomU9b2{Wd%)jlIxbaK_87bkD9RM;ESS?P-GN$*%-OX*fJrXU?6@ zU05(IFBJLnNVRmeH^cHlzqnH7e3w-26~*#(I09RU;*tl^?~~~LqFSxHx<$37hMwP} zJTG~eKORA4Ll&akY-Uj1S)OHs83j4-84;ELq4OiG{EzV?xP6&@na&h^K-$)OP#g&r z=LZL2u?dRj$6gKUFA6pNIc4<=@UTESe?W`EQK0ZEGRB@al^gsQw?R`Y%CG(Y+5B zFyM%}j&yGmJRb8R{U}(OQ1e5dhIv7N%?zeT4!cu0D#>36)T+j-mCTa6&=ELp$xw03 zdChqp>-$%zhSU?I)qtk1&X%@T_*fh_wzuv#jon_wYTCP+cF&h8-d!eMoTBNZydo_G z4IV4lp9cSS_w>S}0H>Y7K-<&Z1RpBxP2mwz5ZRGwYu(?}+ufep)78!&5z%I?$ z2Y{=4k)dGDAGd1f@z%l9%bb{> z4DlW~=dKw~R}%)IYD4?3RkW`n%c$Z)24Jy*SRpiCYyf{MJ@cpVhc4`B@%t3sN)Q8W zQcP_!NQx6UF0R>CaK!x{5K)%QE{9G*l`)GAiw(yLj^K#Bt{tXT{D6w=VUh|v)&`7) zUH!^PZwbjqx&$^mR5^eLstkt}h@;@Vu15nIi=7ZV5o>u8OoGC>HfQ)rily*&XHS|8 zAGD1;q68pd9)iiWELIvDkNHmE14i%uuAU}1c@-wQ-TSf(IK^agij%>2J9hI+pkUK9 z%=xmm4Ltg;5P`DFJ#+TEMhZ>}QK+-n^jKNGkr$i6jGab0VOX&WW;7YiNP)+?*~9wa z$U*?%9jli;r;iyKqXmWGJ#R=>xU~I@gIKS=-V7c<0#@3{V)J72lOT5r&lGxZI4Cuo zDaDq~XGW{B3p0g1A&{wv_GijsizvGqJ{F5pQprjl$n4>%kYSr~I%Wu;IS%#C3k>F1 zOF6=uQyv`@h|_w1o#~7~X6!cFF|Sp)Et!sR4Q8~4GFl7gPXLxu{7bW7Ys+1p$ zl|6?sRhrog)7VMklnODop1jdCwguzf!wEj-KBgb6>=BKG2w#IYGNXgsU^6p1iQ3AH zE~2(GV-HcMF=H=LJDJfM%1tNYpvZIG3n%nQQl`4C{dR&<6}f!&Ww)}btN-C zLDW^uxR|JGm~jbFpJT=+iTVOFK1I}Z%(#@OFEZmYqQ1zVNvqBb()6{0pX<5i-zGUGL(wlm{(qE2JRUy0huj5mmCWX9i! zYG%gYiE3fSKZx4JjDHe!Iy2rRs+}4CBC3-aZxOYJ8E+HS&5U=5>Se~iiQ31E{}6S6 z8UH2f3}*a~s6)&&h&l}SHWGCXGcBUdg#+=4I-i*iQ5P^XM%0C3+~P-5c_SA;{FV;J zrBQ+pZbuTTTTMa}aHvrUt&_*-lHm9w2zsaMlH9lmIXtP2q)t47lqaD?kH_#HwTfAx z$SR^xxQcux5Vi#f%5#1Rd!(3GfhnpgLT=$xful3!XGY>-!CGS3Djx|V&kc_j*2oP{ z9M%xSgNU`nvbian9_->-PU&ia(~POW5|xEX4h}gcnTb&{;_Txn$>5R5BsocuC!aU^ zPuSEKE!P*V&=;-L7Zs+`sn#t0TxaWxR*~q*N3p9o8T8!<3)|}DEUc?1EbOZ%EG(=i zENrYNEUc_2EbM%=hQ?DwXXv#aifZ~VifZ~VifZ~VifZ~VifZ~VifZ~ViVC}``Yk#% zJA0xw8TBNUpW{L}o}Wr0s$n^F{`OG>y%U~E@QC2#Y275J8h3q%c$1K-R}G)-eH47& zVaP|pC(lSe3O+g$mV}OI;RZN2{AeO_l5)gG@J<=0yi~(29VjjiA15)@c$GbOymm3k zsHRU@89bMkq?C>1onTHv(-m%ZgoDjVK~)WLMt222@0`R`jW5LHG3X?z@;Sd`cII$2 zx$M+I)p7arqjuqoHb();k60&=s;)Desqf_Vqt`uqAUjD$VC9b{KdqcZRWn6_fQ!mzIuUJ+ z!dVZCcpSxX`Gis}hvQgqyi+%GRxaxdYWrlRf)!Eq@BDyqZv<60F=XfW>LUsXXW&nW z+MOXM!sHcsM03d)I{U_EdFCirYj8nX2)F zmyD2{Y9y9-kC2F}#eG+j9N7}yO(jRh6uiKM0m~=QGto@yHq7nQ-d2P0{4ne@#%XB>YGKGG1HiZ zS;LQ7RYbv$T62hkeG=swd3k4`;!UE=m`mDK%$QGL=Q86Iq9AnvQ45%HDp3oWv5=^0 zW_*CC8fH`zwS*aqh+4*s8lqrp3g5IL_NC+gN4^Uaa$jsL!P>yjVoOODeimCs6#Oi< zoGAENtd=PFS!@MS@Uz%TqTpw-I-=lbv3jE5XR%d8!Ovo=iGrWS)({0hi>)OJeimCt z6#OjKKotBeww@^XS!@GQ@Uz%PqTpw-O+>-ZVw;JApT)Ki1wV^zB?^8P+eQ@pEVi8} z_*rZRQHPju8c~Otks|6G+=1b3j^W#F=Cjz;*fiL#5B~Q?C>xD8+QhF;#IK5916~uKjD~^(I8r6g#;-g|Qci{F5S4vK%(G$v#* z%l@UOtFOB$)7IJ2Ro1{sxGEUT{WaG}z|DL9J7>h@IJLOh1)A#{$@oq2Z^FkA*({H6 zId(k$EwHNueFQ09%Wo&*x5RG+AHkxUdT~FRSKA{fDz49-&*Hbo??^&kcbZ1Kx5;ZU zYydfp%{S}n-P&f&?-j$T}qi|9s^dgk>NH}RGbS9Ma z^KjBE=#MDr(Qwji=%6U+@o*CK+#4wAiEz>!=-%Vg@xgcs9)Yakyiq0|e+IU3H@5Y{ zmqY$>jEo-tUHsW({I~JngTrROMZqtNd?QFa{s+(uA9~K>F94wU^YItMfZ&s=7{3c9 zSRUVM&EkKGzl`Pm8TNDr+h7KLnQ_D(#H$fJqg7Pn*I-Lt6MTu3!7Hs;{I3uTdA|YM zZ)v0dn(hp2p@h!m?@*lB`X=J|L-Wr>{2%c*VS{8_2VG8&_jyh5Ke*x!GyiQY|HSa(fDv}=dSDK_tTtd8dJOxD|@e1Z71ACpYDZg zK-QA;A~m@(L62g4uoxbdCdp-;3n%JfFEI zxF;sv0q#k@jt`&CxH}fcAQ)Mv`R)Tds*zxKa%?XgbOw5%Cu|lo=TYq^LB7zAT&{n6 z&SvI9l7kD>WLLZhdXTtV230~QrK|7O`M;kzX0N1~wOj61B;6SPZ9 zF=2$=z$W++mxC}QH;2JR;Jn2bfE;|i%H<#o$<1YE9my>MIrw6g%Rv~FtC+#e)g%Y| zdrkOCmCHdGk}GHCdXj_h>hOj(E(c*qu7a6cNNz32Vb_Um2VqFAl9{KG9Bit!@Z^F# z-pD|NBw_4XO3&Li*e@=hOPtZc1=K2O*ic>jk|lGAC^<4C{gVC-R^|D*X@BW zcMqH}Ueg7yj0bv|+ZP{~gm{?RPXh}2i39irY5#dG&f?rNlEzf`Ot4<<>VOUS$m&hL zXrOh^at~vMXS)VGL(}_JDe_%4?w$){IEX+uGDp!b`T7Sj)AV;W*#XNv-~CX&d!Bm% zJj0vXx-+%VdN5z|%@Z+pvH0cy`lSoqi(n?~!u!Iw$hq^Tw&vblFe;C5KZf#f*qFHq z-v>M!$mW1Uo4R}3%Y=q|v3p6<818=3G|D`uQww{UQ9lvC!Mzk_=I&+CLRoA2@yFfE zVM+-joO^|PrE9ZXQL0NHc@&QWM!pp8y z@}+Rq>l5yE?ib-z%0HXJ%iVh8?w6D9SMV*W)T?XASG?RHvtswFNyzNRB!Gb$z*R$4 zt}zGaT$i~9bP=*^uJ1blux^3JNy5S?j_1~d`)&6&X6~Yg{SJ5p`6L6UW2UjjLn5u- z@2y627EcpA_b&Ht?7r_|W(O4sv-bghW55l6aTku+Dgc6C9EjI`H8c17&}+H(x%V@( zn-m{_2FNPQ#;MFan^3+0 z6>aZIH>dbntBnWuqUH=F(e)lWxOFoCimWjI%{b%%(^GW{|Sc#%+UxW20qW%hN zQbhfYIWIU5Vh{Qc*Z2T4FCwLXLBF^w-QL37x2c$SnE5eEg1NS{AC^#<`#+wPFof|M z)7>m#@wz2!W?sz26EPTjo4I@u^U%d}K?cc6(1(CO9Vhl|pOZUL-9xQPpwZuuT0h{d?k;FLHsA1-(sAb@LfEtR1 zd26B+itFm+tK0BVWwvqNo9B5WOPrjTl61!S<S78k^Jgncj3ux(SYeJ{V8HoW3yKj`uES<4ofM|2#mbg21k>=H>7SczdR&w63db zci*1*{U-;$n1CX_c+OY)NgE^~}7E;x;n#OGIsE<_$z`W#-q2 z+Rn_Ii8_s$-zI7&Gw&p-k(u8i3ho5|K2a^qe1NE3%zT)r)0z2WqS~4HQ=&SV`3s`< zF!M2@x|#U|QN7H3ny7uue3qyK%zU1xGnn}jQSb%FD?}Z3jXKw;ca2r9vD!7(xW-!7 zSmzoIzAC6CX(=E=qiqnXgmUA7}B)<5%F*aWOc`B?IG= z`8=rqigDOG(0MK`20fTK`8pu_O1+<}sph?q!R1K+bA@X_ll_D6T*czwBRtmtp3fE= zb^>Nq8<_boO23xH@2B+ZA^nTRhJ)$Q(C<+C4J`g3rQZnYUn@3ZiOaBap32PslEgPz z{D&m*Es(gS*vLy<#w?Q(Z(|m%L?rHDRzAhu#jJru-2=}%4D)@R>F$H6(ndJcf+fBS z^D4;yUS#nW$eeYZ_6H!$6G%&ma~iA#O_=&Qpiyl_j2}GM_@3sm!V*ncp&N zHc`(qYc5gGG3ykfo@W-VFeF}t9=)v-^1?$BSmGss6MsJOCuS`oNmwV0@g*gec!dgj zm03$D?RCI`YrLfT2C4oHI&RN&y>0DyNWptK&80yt@sGqmu{qvk)^bAg7Jfnv&I@Zj zEb$J3`8TsxQtE$k%)SSH$Kv*eE99+JJRxZ_=UFbCj4^91#l@Mmk*Eax%0(La@XHrb z1P6V#CG6 zHcC8+#eYeOVQ~6{C zvuHY;oXISj)h1^%i)N0=Im|kjGMUG$4-<6?vuGZdJe65AMN58wSu`a`!XgdBd2nVg z{eL_s!!-FyE>13iHcT#M7L6B4`1o%vwa5x)T}i0xm~}N#tC;mUqSmljU929S!Q?u8 zMr>wXO9>m8bAj_=On|rL7_4JA?S=`4@2#2oIF{U!+=^1$nDu4S++iB^-rPt0U@eEy z;CqkgD6^9pp6IsF%LS^Omu>jbf`Vxs`X?w~~Y0d*!{QBL;4!ckvmPg`pzOYY;3baFql?j|vqt@1ZJ zI=4KtmnF|ck%yCqnDt!}ISj*e_T_Is97vR5$#Y2NgD~O87Z8>_kMcdAS@)6B1uXFo zd@ucT5{4|R@gPfnghW2dtRIjFtXd?q`SzT_k{3hULcy0X>md^O6tjLr6jbXGqArIC zk8j0WzVsxor0hSVOz&XHt10~&*VyD5n_XiIvwlulUdyaUiMpOyj}!GJW<5#NSKtQ@ z>}H=K_C{vWz@EH`S%09oZ!qgcqHbo^pNN9B0pHtV&_RxQ)8f1yI} zfVV7)gOTkuio1tdZxHoeX3eN`Y)KBBN(~nNbGqKd!g7ULa`B)2rsyvV>ZM3Jxjh!KJ;g1k0zN{ zz#p*RYVFRXVJS8nvgB+0B`Ep2YiwmU4c^JW!Bzw6VE(~u8oZNl2G&g~;Qc!J78Y_} z@@?1H#_aJ_&VQIaiKzd^#l~P1qwzj}6tii(&mRLj zX9&)T%w9}bN|?QjsBz4lN|}s@OePc?LokySlsK8$tBINdn{FtRY0Rc&_WUwtZ=%$4 z=2lTA@E(>wv)CAlnQW!RDrWB>YA&;P5;dRM8KM?2dlyj)O{3Q9VS~#n!N(`Mf6cxE z=T}4Tn!kwIyD9(0%q?mmdF_$y@UZSpKHjM}QS23G9{`_m0O&x#!=a@|$fBqMk zP5pKLb?wZ0b|cqHUG!Vq24q95#~_ung289P*<7%3+7N)ng1wrs9(%~j5)&z{jZrb zil`@;a{^IMGN**7r zI-S2TM|3)`GUrsv<#py%6ZHmjM8EQP=7@gfpUhc7ssCb5JyCBnXAM#RW=;c9|7Ffb zPBDWyTZpolvz;i1IVqy@nA1p<%N*)ESdux^cQD2rddgWLbLc5&1DQilIV)xkJ>_gL zbLc5&LzzQQIfGTUy!K3IYws?`M#7t$yO)iE^A%hMYi3FO0SLA)w6q<-?RLIZoa~Q2 z8yP#1odmz}uoC!vdrucE0`~TGcZ#34Ok<;8N&GA%r;TzR5cyLSEbn=XLD^rH*f>^- zP{-p>iSUCm{geoVg=d!&2ZV*Ek`o7nh3AbE2ZV(ui4zBeg=d5l2ZV*Ee-j6Uh39$` z2ZV*kb8`*}3lH8V4hRd6*Cr0J;9=RsAr?Fmn>fUR2V4_}SnwEY;t&g-SWO&a!K0^% zLo9fZG;xRpkBjCU5*8l*OdMjtBc6#vEO>Y`afk(vVkQo;;DO7;Ar?G7nK;CPha?k+ zSn$YW;t&fSY)l+t!DEU!hlGU(5EF-3@J2V{5DVVVMjT?nTiA$0EO@6Hafk&kM5Sn!T9&LLspO=83$7Q7dXIK+ate-VdR@GdXn5DQ+)MI2(m z>$ZqPEO?O?afk)4%OVc3;N@4uAr`#WigQR5DVV)L>ywlYnq5dEO^-xafk)4QQ{mD7G9P_9Ad$%kBCDoc!Lpfhz0K{ zA`Y?OtwY2i7Q9=CIK+ZC1rdi>@VX!35DQ-FLmXnkD|$GGgoT&y5QkXs5*^|Y3todm z9Ad!>ZiquHc#RElhy^dDAr7(N)icB)7Q9G?IK+at!Vrg8@V*z$Az|UYEyN)fynBT> z#Dce~5QkXs?iAt>3*Ll69Ad!>O^8D*cx?%Bhy^bpAr7(N^&-RpVe{LWJOfJ5*=0S`z74;1i#MeslY4`>7r6!3sY@IV0%hy)K5@PJA1KmiY^1kdr|W)R|$ zvdeKVhu}Fr?2rY|@nM%Nc#aP{Wx;cN*ewg5*f1<&ze zH!gUN5BqQ8k+RFND;GS+hn>0LIX>*p1<&zehc0-I54&{1b9~sT3!dY{Ze8#kA9n15 z=lHN|7d*#@eLC?-+2z>13!dY{4qosaA9nGA=lHOb7d*#@-MrvAKJ4fP&+%bbFL;g* zJA1)%eAwL!p5w!Qo_M6}a_sU2&+%cWFL;g*yM4iPeAw{|p5w!=U+^3scK(9r_^|sI zJjaIvfZ#bk90CN-@nPRjJW_T!4g-Sc_;4T)JjaJaf#5kl91H}{@!@bFc#aPT1i^EB zI3x(3+r;5j}VFa*!>;gBJCjt>V7!E<~#YzUs? z!+}Ha93KuHg6H^f@DM!5hr@^95g)&Kiu0TTK8uf2z-RGs3ivEOP640A$0^{m_&5c8 z79Xd8&*I}0@L7DE0zQk6Q#QZ)i16|8gI{YTc(fnCvPke~KYksN;L(2ksv*In{rELP zf=B!DD}n@%_T$(82p;Xnul5l<+K*q`BY18zQou|5AqBj& zA5y?e`ymCqv>#HyOZy=OytE%uz)SlfW%GMs2oE1WD&+XVDM*+2kOE%fLkf6_4=La! zKBRz`_>cl#;zJ5}i4Q5@B|fBVeqRaUL0MY^}U!7M0vD_QWbg0~0c6}$t#&b0H*89wN&;6DZbEH+Lo_%HmD*#N(4 zq~VPlesXkb_<83Ae0OSnde3^?@d4(>PlQ(Wbu?zWMNDxWY<6$o2*<{wgoqqS6xw5yX z7xwf}o)9&l0ZL5QcD4f++}sDhqw*MlZ;lfFu#rTaRY+xzIk0E&o-#zjW zzIx;#e5`1gg+PMNY>>-SBk~YFM&u!UipWFw5Rr%Q z86pqiBSap;Cx|?R4-k0>pBeHHJ~HGXd}7E$_`r~d@OdE*;p0Lc!l#8itP>%8R>*ny zsE~*7Ng)s6gF+s{=Y%|jj|q7QpAzyAJ|yHJd`8Ga_=u2)@ChLg;R8Y*!smlLgpUV# z2%iq}5I!8_A$&H-L-=Trhw#ZD58*Y#ze#)jWh#r+Ek`MnhPP zCo1!>Q-ob2+#|xhBJ37nj|h83*eAk$BHS;+10p;q!ZSp8rU(y-@GKD?7U9_Ya?9>UqkJcN^xdH4|#!l}rd|Ck6rF2YZU@L~~OBEnCK z@KYkZRD_p_@Y5o^T!dGM@JbPWMub<1@M;lWBf`&$@N**kya>M_!fQo%od~ZN;TJ{t zB@x2;#=Kr|x-k#oY-1k6$;LdqQG{@+G3Rd*;nzj@4H14*gg1-uTOzzggx?n7ts=Zl zgtv?E4iVld!n;Ixw+QbM;dey%T@l_Z!taUjJ`sLjg!hZ^2O@kxgb#`k&L`&eg42n4 z2xk-X5KboM;g3ZKrxJ7i5fT1Wgg+DE&qeqP5&lwykBabDB797QkBjivBK(aApAg~y ziSS7gJ|)7ZMfi*ee=EY@iSStw{$7O7iSQ31d|revh!D;f=JkTpg?R{P3-b_87Utp0 zB7{?gIsX?Cz9Pa`MfjQsUl-wDMfipY|0cq}i|`*J{HF-t6yd)__?8IY7U4T0{I>}I zBf|fR@P8EIS;2@Cc0^KWiO?3IBf=Pl)|K!jZJrr7EZ9+Nz3GvhGr<^?XcC%vB)SE%UF0|m^e8c>YQ5-i} z+?-p8`Q*WlV8eK>(1CwXf}CT3cLCdB3&W8q_=*)XO-X{Kvd8Af3Y=$Hw=q7DR5 z^YlTTk_)Im1VAm2piYef1;4TCTB4VD2Qm+I+)k{#TqCmkQ4S-5ZP>oTbn)E?s zaslFX_6?cQ6-FnLzWMAwiuP1qyb$>VnG2r-Go)lAsPpfjV0s)CY61)UJS~&Xu6f zivo4NKBx0?lTV!)0Cl|t^~ESquv=0WR8IZWp#Z2a zOHf~l0tLG?-$zhim7s2n0tH(`bwPbS7oR#SV5x6NP~VIK1-n$=M^N9Apl*o*1si5{ zLEV;%r49!yb-M(0M--?#^+Da03#hXLpzfBS?ui2R9eq&u<^t-R0I2UtQ1?ZF`u_V0 z>V6682T`CN&WvdMFCi!|yAoA4*U^iURdxeNZ{AC7c_u)K4U+N1{Oe z^nC^OGYRVFQJ{XI59*h>JbmW{EcK`a^{XgQkLiPYJQq;s2SEK=g8EGqs3-J6{a-Gi zJ`@1;qy+U;6sV{5K|PZTs0#w1ek(!!E(#QUQl~ri{XQ2^9}a+ePJ;SF6sYI*LA{U* zs0#z2UX-Bz7zOGjeNZpw0_vgws6R_ke~AM1%KHlHRSD{~C{VBKgL)$uOMNg^~{@Cns%1$AWr)W0RD|3ra; zuepvZsLupI{Vxm3f&&td_{%6BaG?pxvU73ttNoxXM}mq)fztmC*>ZCMbxiDwLoGM1dNp532asK@F0i21kJ!q7Q1=v4a{eL5+w4HBukc=wk;pMuIva z3e<`3E2xtssFEm9@a?*8mr$CErM?h&`o>F86QV%Dhp4)sa&q(_s7VskYKjCkH44wKxjY5`9oP`PB6ROD&b4mPLVDew;ylF#xJof?5#;YNbA? zoW{N&s5%L%J_-~Zh<02Z{YwE$t(KtHM1fkX52_)TsY?*ldI@Sn6eu{OLf2B8a{=|` zfTgxbP+OxwZPN#pQQQP0}csyN8<>cr=P$_Atol%xb>w{{_rTK0MG+(m> zm5Bn?a-2bZH2|trg4z`Ys_i&~x-kIibO~yA6sY#&4C-qEP#qFfXB4Qe;|%Ji04TXp z(2Ck9h=)JwK7BcD6ucz>N^TUiqBaWRIh4Afa@r^u1SK~LT2UJX@kq?~5tQ60Xhm%l z#M3$7M^NWTNB>}yqo1n}>ik?f&tUU?NP@Z`3e<=7L0yy!sBZ@xU2YV#qBaWR@u|nP z`GTP2MnNlTqadE-dR#%>8nBeyC}>4(6vRVibwTCSPu(5>B{vFMQ5yyElvzzs<|(=O z)Exm(mrF;#BFfRP)COhc)Op?+0QDIO>Z&MESL=hysriDSu92WV8wKif`k-=p8NDlD zsn1JLUx)&Atv;yhbMdJls4q%TUy1_tWo=MqPQM`sL48Gnx*-bGSG7S|IW^zif#$nW zg8Et%sGIabeIu9V3xfKl1a)&1sBh_m%E?mq1T1xn1oiDGP`B!X%E?keP`61?w?~1x zLmyO5ukGInSn5s*>aHkIcWZ+(bLu?r3xK*ug8EJrsP7(UQ1=Hw-77(TFACIs#~IWQ z0-(MxLERq(3J$B)oh9Vdd=CUbJs?3n7zOH~;|%J-0H}v0s2@gw`q6O)^-uuRk0q#| zM1gwbID>jP0P3d_)X$TzvQ z)^Bndkb|I}kf8oA3e=O@pv;__@0Wq*drE?OIttV?+MsfKad>)d{+t zXHJ%SECA~F(o)YwS?UkkpmOu6AgJdhs28F@y{Hc=r&X6As6R?jFGYd+lRl`Np1vTc zmnEn_M}hi_HmKa@Q$bL#NKmgvfqG3JR8Efmc)-zLm!SR{1?mlLP*zSp6$B-B#9C21 zV)06nIr}I*;e-Hv+and4{y@Z1ZBRF%hV+ZDkeeYMS+TI zgR*k^4f+29mU1PiL=>o`KB%02t__09m!Mb_r~-XZIXU{10ZYlf!*0LFMLCPX#PBSb`c71!|~1sGQcPf}n;;P{X4@jnD>_+tlTmfTc!C zP@|$ijecK2$z9fV)Glkhpi9@$b6R!zZNO4;m$e2&SwTTi<7D%dMm67f zeNZ{gJbxFk)C37?Vic%J`k-<;Y$gb5vIKQ<6sRfMpzLY649LF^SW51)wxf1g<85%d zK9$ptkk17`$z9fV)Glkhm`)c|PE(g4D7nkpj@o677vgDxvU1ue7&s8pmbPrF9>Rp>=J6C zx`f5rpmN&+5CpYEf?65{YMDN$oSwcQsO1t=Z4@ZD-A%Xo>T+qm7Xv<3FF~z}0<~Hn zR8GfT1VPE8GwrCOGx54iO-tq0PX$43ke1pQWvNZtpmKW|4T9P%L2ZcwwN)QfPF=!F z0iW6?L2Zu$wL>3NPV=cCsM92XM-LM1g`U zJT*b(HcJSC>Xx8-qCoX(gR*jR^dKm?%i50GWsTPtYg#HdM-PHJAT4z;%2H?OgUZR# z1E+r5XG&0qqClOc4=ShmR1nl*3F_=9Q0HiavU8eG1&$)OKPW++8wKh-ZBSNDZ!rpe~F8b&)=(oO~(>O72IuqxPfYmExLRg8hkHW(k2q z+wF^`r7nrG)F-t;<>pgCP@j^ZE{y_pnKmdhr$gHVC&}BNmY^<=0(FHxsGNK%2N8QGuF?i&Uz3YZ1&+73KPy3fE(+A=wL#_PQ$bK)kf5%O0(G4>C^M%s_umLi^5ns_ zcGSVO_PaQ^HYcA7g8H(w)K{V`b%VC0a%;Z8?F9B$C8!&tKz&Ucl%3PTwSNyZ-!~+v zZ$^Q-SsPStJ{1Hd53aSN4z9KJ53bE^IvfObtF+W@QI@)0-%>e!;}8UOhXi$J6sWtj zLD~1@(s{la@Tu=eP~VLLb+10C`;Hxy++}S??XtGt#V+fduAq7=U@5uF+K$>~ZR_u{ zwsJZY>zx27xy#y)+GTC)@3PMA*Y+SNxy#y)+GTC)@3MX`K*?R!cGNCwTYs1JdjU%B zvbLjkS=;)%tnJ5gdHRCQ_qgm5ejU{%{6@P=crPp^cUjv}yR2>fUDo!~xmfDofv4{o zX{p~vS?YJ%ma>0;?4abqwRY6Owf4I>xHhM^nV_X!kd}He%2I#Swp4CgDubY2lA!(+ z1?pvOP-ag15`v)QX}WgQX}Y%lX}b1nIrx-m1RP!N9k!$P4%_;BhwWTu38onUCHD^7 zQG18&cd>Ukm*z9=04TY4*pAveY`=@W!?|p!41tn+hwZ4n!}hz_JNzDil6!~ksJ+AX zyVyIN6DTLpd~)xw6Sa5Pc^7+!j~$dF_YONzdxstUy~8;T$Y#uMDM#)dcB1wUJI8tN za0ry#JM2X59d_Qu-r<~@FE3yzxp&x!+B@vLi@n3|0Vuh5*ooRZ?C9?u&dsOd0ZYlf z!%o!RVMl-Ournl=u`dw-H8eX*aE3+95}e`MvxM9%6$CXxf*KhGYSjA*YP1A3CJNLE z#~D;I(0nIKP$xx!DtTW)jg_FrMS&_k&YnmQBU8g+D~6@?|sFA=36L1 zeIN={wKk}nUfaz<0Z?-9uoJa+*wNoRoYMkF2$bAA>_qJycJ%iSzZan7-eD(d@35o4 zcQ`jtg9FXCQu>m{fSQJ^*+ zXHY`}mf9piZH@x9<$VRURf5_U1#0_o1~n{TsT~s3X;Gk3+MsfK?;9QfwNrvhM}cb8 z29?`} zP;!^G6Sd3Qc^A8^b85bk0ZYkU)=tzeYe#>Vb#9glf|9$eov2;b&b!!Uos*?T1uP|Z zSvyg?tR4Mb*11_K2ukjxhx=tY8GE8%J=;Tm4TbOtYJAfgKckf zZtsT+eC!@|F8y)c0Zk@x-NB9d9d(x9JBBRpQ)l^uW61IW=fQqWJ_X$NC+a-=yX`|* zbM90R``z{-aH@y79UeJC3BS}W;is~MpZQDpDU|RtUc#elvplXYpnv1>0mz`EQk`divv7ytX8DaDG`NQI8(zXw&eMinh?ZMpIPjlgxF?SqJ?&2Cd36ydlZ@;z zT<5pqadw^G0fk+y>pTmE*}|`%;Z-WIL2w|541`3i+6zah+Mm?r_OIHDvONM-dr?;H zW#`Yrr@X37nF>{VLtRAws{KV2;X1FN^?21@b^Zob!(I`p^cN`X75ICVSLyH0KSY7O zMjZY#jKY(lz!A=f*oeG4o&TweT~3nHqJ_>sA$M$%Lg&qx$%`#?{)NTzxVM19b}Dq< z1`2@`I`06L$3czAbN&f{-h{t@!QWf(_cr{!g8*Ze(nDi;YGD05G-jhcgogryS;k|o z@Sp8~1<@p_&UeNJsFLFR-AOZlf zfgpfDiqv%*tOlV~w;?eQ3q2d?K(UePO#3;IteGoo=2Fds1NrL#br=;J-S1(XGIh+I zu@cP!`Gbe9gO6p_WAU*R4`XbMC>S5Y>;nk}kByCkhDAl+;1!#wo-G9iFHoMOw)_+| zW-ZH4jZIVFDOcwh!ei`IjKsIbDm9e7k=STbSmf5&EIo_Nj#UZxHSi##SqGkcYi#br zFc-Tcwg5>4V;7>U!&!3q{U)STrGerZF=MC37Ai8VrVJNDhSl#f!zHn$iVT-ihASb% z&s;!_}1GI>>PKyUeg5wq867-V|-hZL!L4fz33g+oo%z0T2iqR&0alvmg?? zijA>N;<@&+9(7x6mVVaWw41UtI+X6RMax}`PNniTJ>@Q?@(w-aJxb-2p7LI$a#~Nh zTdCZnr`)4d&gd!kDwSLHl>3y*ZFBKdz^Ip;Gx`J>`p(%AeFz{)kff zQa$C5DwRL2r~EOc@)df@A6F`WMo;+@O69BdlrL5)e^yWV5~cFz^^`xURK8YE`BO^e z>-Cf`RVsf;Px&&X@>lefKdn^$s-E)YO69NVDPN&f{<@y>l}hDr>M4Ilsr)TH<*Ss+ z-_}#UTB&@Sp7J$Hls~Uj{;r<#7nI81(^I}ysr-FCnY!)RQ`>g^4FEh|EH(?4W;r^ddlBaDnFyAe6v#dcY4a-QY!ymPx%(5 z@*nh+zpYe$K~MQsrSc#3ly6fi|4C2zcBS&4^_1^WD!-zqe5X?RH9h6Kl*)hAQ@&fN z{5L)2dz8xm&{O`7Qu$3iAtp7QsU%Ky<*zE7$AKRxB|tCaIhJ>~nA z%C?^J50uI=J>>_K%5gpA2bIbRJ>`d#%K3WA4=a@m^pt<7R34zG{3E4uk)HC8mCA$k zlz*aB9-^oGh*Ei&p7KwX$|LlYf2LF(rKkLJrScd(Px(=$@;E)^ zUn!Nx>nT5`RGz4({J2tivYzsPb!rw^^~7d zD$mkWep;zqrKkLiQhBbP@^6*O^YxT}r&L~`r~Ir^d7+;2@0H5cddkl!m232r|DaS} zqNn`4QhAx4@(W7kT0P|#mC7sil>ewyuGdq3NvXVAPx((u<+XasFDsQB^pyXsRNkPc z{1>J2COzd>l*(K5lwVaUZ_`tLO{u&?Px*DFa!OD6uS(^#p7I+?|3j(Vrl}S z^_2gkR6eMu{9mQ=nR?3qQ!1aOrED5X<+JsaO{MY&^^`58@_Bm7wo>^+ddiMc`NMk3 zF{ScFTFQAJQSW2glKVcUypO80+;$9EeoUR^j$_F3j-%Yri?pLl@4fflt5j)%qM{%QA}XLF(iQ25 zV4)qoS5XudP((mfP*m(#eo_DLO>UFjWReR6~IGP`)6i92x=T3_0cS2qRH<&>i$pqwM8d>{hK zxpKP2pCq+QH zNKW}w1eA;Al#?T%Tq38O8Uf`}IpwnvP%e{GPK$tYxtwx(1eCAHDQ84Lxk64kD+0<_ z<&<+Gpj;`ZoEHJ*Dmmp#5m3G+r+hgA%Gc$T3nQR>Lr%Fk0?Ie#luIL^TrH#AcgiXEML@YrPI({#%H49x zw<4h2Bd2^j0?NH|%EJ**?vqo#7XjsdIpzBiP#%y|ei#AeK{@5I2q@o@Q+^Zy+#DX07^0?JS2lz&G+c}hR5rIZ=82q@3UDWfBx{7g<669MH}Ii)=U%FpGL zjtD5fkW4MdGCl&zb8<>o1eE9HlnD_~ekG?&jDYfMIc1gzC@;t4klGDifI-^nR+ML>B`PMIeH%J1cr`68hFK~7m90?Hrdlm#Q8{7Ft(I0DL_<&;Gu zp!`KnSu6s|U*(h~BA~n^rz{l#q$Pq|Vr6#9r7y)IJoU(BQ zl+kj^rV&uugPgK;1eA6;W!nfSbvb4G2q+zL%8n6GI^~p|BcP0x zQ+ADjGEPp}Jp#&jIc3iXC^O0_dq+U&l2hIl0i|0`*)Iah1Ucn^2q-hjDF;PBnJA|m z906r!Ipxp@D6_~Zhetq}RZck~0?KT1%6lWAOp;TMihz>KDIbV{GP|5|bOe++b`;LaE=S>-#q5b@n3RDVMPql_&@KGG_ml%Sx3?gx7L8d&$(V z)irlJoG)$md`+*N)GA6z!vFGSK~hOdQa+4fn0iuKN>V9&k_s43RjEO%gh2x3YEtED z;VD;_D%S{4xrS7^R(Q%arOI`}Q?4adt{0wiZK-mD@RaLFmBsg9r#)rsN|l?0*K$33 zQ^}NV9-eXoY0Ki@LFypRc;%ea$~7-`|y;TNR>N=r`%Mk+&MhuW>V#@ z;VCzlDt8Z0xrJ1@XL!mjrOLg-Q*I?yzAHTC)>7qu;VHL~Dh~)xxvf-rPhGHps@Zv7ETp^LrWb zEc!CbW@l0L?Dna_R=B*WznqaNrcTf%@#`7XWL|3Wne{QXuUV6ggEooZ*Ptc~QIpTE zk7;zxnrs!cN&Er_HCcd~d~SVA%WKo5o7<;(H!XREC-IvzTkO+2Z?MmxSkO#AX>vcV zo@t+zdT-E?>F_CICDzzqN=~uAyvDvHI>o*`#s2CR`>M`~_SX{F40qEF_BYqq*QeMw zrP#Obv~TwhuNmSu0X=swCG4|p6Y(QR^xH!*ntit0jrMi~Yj2mNy_spYH#4pFb_Z*3 zucWYwv)hz1eBDH#@EN4hC!QkfgmiX|^{ft@hpy*4|-Bd#|_~rV%f% zq}ATL!P+|_X>UcE?X5_wz4wE)_o1Y{SJQ0o)wJ3>8mztJlJ-`n+1|>u+WRP2dnY9A ztxB`KRcW>NiMPF8srjXXC%=4}V*h-n{mT^lSCWP|yW6G_IGarkSCA!|ue}X-No}~a zx8d(3O>S}5O{2*zrY1|vn!ITLJ~fw4W=@eydwZJv(bpa_>yIh+U)I?F@MhJI-Cfag ziv99V`#->q^m=)x&Qf&SPWx%om9?#XJnf%-cyD{#Fd^tC{F-^9b5gTnDSDQTdbVQG+jO3y=UUDj&5Nh# z`F3D|->Danbmwz-jezcGV9my|>#o%OU_lo3FU!uNup_kV2~4x=u7B|hgT~)ccKckr z&Q05q&&3Gn_aw8k4Eh~92=EJxIrT!Uw3tlIWbs@$tx**Xe^g&wk5PSL8C9}(RK-G# zs2x(lOEI%yKS)JsLcqh*e* zn3f4Dhh>8ES8Rgw*TV$oEhebqH9^%-CaC5$L68|+Lv8}ujQ#F<#P)ZVQPuR0s#d7j zuASDX>V`k6i`QdR7cHZz=N(o3P@`&))~FhVKdSGq$Ed!yjHZj{5s-G;Q z>f{|&=TM{S;vJRWE~%Sj_4--TZR`8GKZ`yDKcCRMd)o_=b9;tw#b4ZYuKi;Ai^Yn) zyjJWT)QZ@8J(+q86IeHeIWh~#lPXnYxMh4^as}43nnM0 z=%aB!RlKvdK_8Q%KbojNwn?89&35Vu>+MCv%Wy%8J~`D9DBQVH^k-7^=Tr0;`@k(BU|=nK~9OSkCDJ8#fmNzqpt&G&TYGBv*{ zQGYE}^YnUqnUI>j{54N`{PJ#<}_7#<_8 z+{a@~muBD@JeMBWsUJwuaqMJjJ7@5=598({QGYjefQW`FVt}G0JGYCLj&QrFC7tWu zo08J*Skq3|-OpV-cuVEh=*Qe~g4n?wg7_ouFcCYs(;&8QPtkD_;vGUiciG^?rxNwk zzW!HB?Z5t6qJGu~*D&BO67?^Aa4oYttHknH(XaD7*3_>!9_Q)TX&eI3af!Y>MZaL` z-9V9Gf;jg(G2(b0FPOjQ@h0YsJfksUy#OXU4k)}s9_UWXYuYB?IO=$Y2accd@7EOl zw?zGS-^=wmyZ+#NX1%fb;$Y&e2OW+#lce?IoCK`G>PR>h3(|!Hg8klYAOF5>tnen4IFc!;P~Y??f9JDnJmNl!v_I zgsQd4?!kL4ALz(XzQRBY`UcuWOx7$si-^p^Jc}tZv+}Iw)Zr*Izb&&!KThx78LODpgS zzDvDLjrVH`#vN_&ROA%}&j?=8#8Zh^O65t^-uES^qvZ&9tuYYNrT zyrxOD7O&-1HEk3-T2yQE+CueVUfZNvhu86{p7XWZ*)VQhURP+1<#kP3^?1EhEhAsl z=k>*P<9L13bq#m}-*sMRJ|%G`mSf9}AGYh(`@RG=#a+smU2)^*c+}V5u41VEB)H}9 zCzhSXS`nCYBbwg@xrc!Gd|`olmpfg?tmk@j57v8AFt5lkYm;1|fmH=&lvK=R2%d(z}=P{knciwB4C^7H#k1olR|b;az-fi|e}buHw2q zysPQDZoHf4I@682W52Zv!-IAKH;3Mv1R7SipjW1Lwma`GI&qM9H+7;1?_ur)-o-ZV zJV2Vjo(#e2b@PkngE#EyQyhnE3!K};(0lTpqSbeJPgASCcrQzsj+k3t;4Txi zafeNi_vXC?`3JnWiM$W*QlBJI!nn@PpFjn(}N+z$n%c6?;*#DhVvOzp$~K0tKh1Rr4P#6UhUuoItJs1w-q zpxl1FNMO@~UaFt+#>)bCZd_*E1A3;?AU;TR?+hPg>fYV_Zfp0%qd>w_gZW^gaF!1? zDGcF5JPO-!a44=D%7=>UzTiVm*A3&t%*2+kU+8WgbQIPBFBDJ8g-j8{`Eb$vIX>Lf z{5||0bMq44FLVzIyAuO~UTHG=LU&1%(P=@MRAmGoAv*UJA7Sd;NIufqIT+pXjZnCk z-zyZptLS_`&P$MdC=oaJQ%BQGApj`iYM+5#7)4w-QmyzxpSJ#bT=| zx4OfZy%tNFeSkk8n*AStz|`!6{K3Fx|6ZeuL-Z=6`Dmf@FCT5vd5Ayc(eVVDHFYfs z5f={2BD2KZIE3>kEt==z5_j*QSNrq!40@%0%F0R4a>%Xe#F30~w(8rwC#N{0GMJ8; z64;Ui_FPaBoNbxblqK#GL9f*5wBH*xOCo=^qlIBNgTeu+=ghuMzK0~E*{sXlBSMqJcus@;NRR^_yZK|LPLJo~ttO=@{i(}H%4jSZs}P9TNJ!&me^R9z;*<`)D(-RQFtCdN0^#1UlIW2#GsTS z-Yk7}ce;{`Lmcs2B6*qEEgK1t_DAm;d-;icqA+F_KG9^%C-@V>n5nlsP9CtAf5k$H zoa&4+C@1krf-(o6WTJeMKWU-N6F|8lfHI>&`4oRjQ0C)LnJAy;Pg^Jp22j2lKpAIH zPUe#ZWnn(qL^*{|u}~HZpj_!siOidNt2LER6_h3TR1@Vh9Jj_ezcXxECV+C4m2$cB z65<>W9@AT60e3!Y#4;*Rm#MqbXZf?DA7%NorhYufpA-GSJ(YEWtPzhChh&__rwPXL ze42^zdH%eI(R2S`*~6@H_X-}#o*mb8K3$Mj`P<8utT1sjb_iHSSSC zuT0&Q&fqgdCo1z9rcTV{GXpze*_Eydx#C#%#<=vEw7M~i&l26J%4eCnF`Lg0;<5zx zdQeL1LX6f|1-;a?JzL|>fy;~>K_2ow`YzJ$96m>Mu{xh)>f&5J*Vo0=ur+72wV`$* z7Bj6)V<&>8VjiC-x=@qPGj-ub{$ilBEVr?1-Ti`^z#1`Y-Jx!#yo>KzcQKQ(Xh&%n zD|Mgx5`Rhbv^Iaq)YJKVeqc|1`_y&8S+NJT9)PS5l?Hsv&-&n$-u>mv{AJPCy8LBR zUl;HN7ROqSUsBwyf*aJbzf5tr2zsTMg7`p=ue&Mk{z0#{hINX&Z_q1ge<^m2cpuiv zet%FMXG8f;(|*Ld(@ep~%Yu?vcbX|7&JV0R&6J>CO5H^+O9L zA~(3J2JNQq+eL2hZ`s+@bK8N>_4wSfQ9RZ!Um-&55OD};Aqk$01xe5y;$ps7jJ`Qv zY#RL%z9eumd3K0P`BI_Kk}owWEaS@zb6FR_jo1SRHI~z|L&T+ff?s-7J48@E6_hey zhqy7+IU8;ItR@ffypd&xxKVO6yPPiW>f5k96y_fB5OUV!C*2ad#NQCr( zDPII_3X$BM?VvrW$_lBrwN>AcC`6gtL^a8SUGaI@sBWHnzcxCZmpCayJnjk*2rjJ@buzE)g4l&>{iy^gQ5 zv^*l9t^Z58u25dpAW6_5U#LR(EMccj~^vzSV2ut(J(x zJ&AKv>a%0c2PHJy{kJFH%N^L41+=UrwIE4TUn@Or*Kc+VZ8 z=X>}b(eqh+kE!Q-`Q8vcKaf_>Lp%YQzz&4h^8-P9?wl*hEC(&;ZsvSI&%6dCqPK!x z?tDpd`60i{lkrFjF0(wv;Lhuv9Nv(B$DB>^7=v@Z@9p3bo_CeQU^(p1^0JTR-mqET z^=C1?!#zsG!alxF#KI!J&lC&$`F;@#c6wgSIQ%}q4~R>b@B^kx5AuVaOR@HpHfM+< zA)dd|w~EH!;%^DYW&AA@;~{>?*El74D{JndUtIbIf8TWJ2mFJ;OF!fvic44X4^5XIyGo|W=hXOX*p;)8tVOW%K^esclF?2vb^PRG{k!V*4xaZ;{GVeisN~E<|F=*7}!Ss zk!fHb^N+>AjQxZ;oQ}G?1nsDEvo{WoS~j7`H1v^tkAF_^6Qa$n{Di5^PxvQhV|p$< z$xn()xAT*xOF!kG`uXP+KP9f)$xoTCJIzm@Gr!5`}r59>%Qb)nkglwJRY_wkB4o_<6)cfczC8f?r%zDmUH}^81O-U&NSfj z{Jb$>E7PT4^RLCF#vRdn0rw>zi;sI4$CH#;Csnz?F9@#p`2`c# zH~gExX20d%ic3G_-wEsa(X7a$#(;m|KZvVO z@*hlB|Hyx|w0tI@tMzhol(jsGSHQ#0Ln?wXdjW>1AUh!USV5Y)f(-v#ye{C5-e zAN&s!wSLHYFL5fw5uiAU^L#2Hb(e+0x4|`ia>H~;aVo^;l$<{$I)C=eaA*;LL3{BnJ_2*<^K|OEaU%rsa<=kXlyUnn1`M=iGIUA;|}q7Lb>FW z*r))7;7=)7kA2UiX{Xp|pPe4|*vXR}(QOUg*2I8fsAd^b6p$z}q|`ha>kyMSG1l}c zsIg=f5JltSXh9T5H4Bjqh|OyoBR4~d+KdrO8L4Jb$^Zm2$oEcWY^H$J@wsJj5Lp_m zc4*oKYi6ohSal$}tSb(@;1Eh#sb*1f0&!Zpl0Bd+Ur4(W3(Z);nv-f4RLvNrZpzQ?FuREw_=~pHonJo4#;<2xNyDxKkH&^G} z34ZrH6n}}}NEH0ZRI~6?7-aU28}VnhbQZjrMJN`hnnjU9A*-QiSh{4YrL*D1Y(lX# z)hvohK#~l_085__+tTN+!qOaVbJ4Fmsb=X{b|Bd;maY)O(&zmxO;ILJ;K|}Sz?DO^ zQ;BMpb|~6&1|9#`?xMk0qjJU+$OVpEg1-vYEc`Sna(nnw9|lNuN*=tBM<`XJnnfuu z5S(RrKHFq{=i+O3{h&Q^;xme3PUeGtKEYjsY8GyqZ21Luz&i(DyE_Kuw!U)!qZR;9 z0ntV+s#)5giF1dq|MVV0Y+diP_}ZPxG%fHRaV!GW>#Ty%Ehu>FQ_aF#2uLA=Hy~Iq zgypQl;3zEk8&b`}Uj#@I55Lt}Me#yWq12da7A2a+*a^yp&S(GRN+$ofd6})}G!u6eW;VKKwvVyfE)hw*#fRvMUr956JFO)h{&7xER zNCit*x(9USqO>a&p;=L|_N1DHl`>BySyw9Kg~~#yH`OdkluoKxy3#M8E8k1IQWct2 z1?vE+Sy(CGRFie3I$o$Qlm=1FqC|3)(5C&VXX(Go~$dBhUyEY(Nwc2H2~7U(v`6R zUHM7c6-qu01?xDfSy&qZX(a0kC7;GZ=`pHVl$ro(V(ALLyB8nFGJkaGXTPq{4Q%S| zIOZp%pQeI+64fm1&44tsuum53iLq0BTlR5c%Wlf$lx&&{#%HKzVQc}Ug)vBcA|Q3? zqI}X)s60nCi%KgXtxPJO#g39mYoYNx)hrrqfV2@B0r~USP@g5RyiE?%v;|LF(Z&l@ zv$W9;NIPGzJoz&*c81R`kEM>SJ+5poSY}bp!qNdq2ZP1)S$MQdDXpW>m_s#-MkgSh zyc*aeTRwL5dx$S+Fg@n-yX8p3xG$lk*jY3?k7|}?y8!9pZ59tYIE;_dC$K-IY+a$z zRj|E8H49reAl=MtVtMHfw7bxFnQ9h|9zc3{G^}eh<=mb^X(81tO1*&eGAo(hc!rrN z^Y#|1i>YQ&?E|DwfU0w%`8MOP5a*N5&DJY}Zz!GO*c{!t3r*f7y0esOmhSWg($_GU zb!+%nh$l;}hr@rldj#F+d3(_hE%g(cN2X%WJqUrU*GO-&>Jr;X|6Xq%+JTDbka7k#={^mhlKNLajTWl=sAf@p z2*^W$s#DA!iVk(eokBAYXEENVaNIaDdB*cac_1;qUczLW)eT!-q)klCl5}>MIHe1UU^4;G6 zYvCwQYAu(=TDDNnI7`11DM7P^I-oMYwXH>m%3|XCyu8VqcI4xPb>60$#X64yc{IQ} z^kkklVQMkrG)jKz==dl#U}*>cm}ulM)hvyS2Qoge5lg_vgyRB4OA@6#x82&m5D$~% zc+>pFRRJ*_a}_Zi6SkLZ;>(+Zn2x@5&FiEIu+aozzxSwSvESoB9uKsi#Yq{$aneK( zO%#p1Pc=&;PXKu$pb_gl$q=TK+`>snFuowl5bC2Dfljhcr3_c$qzqv@DJFqE5j?M1 zyhL}BlY{|3q?*NmPXc)|z<}aA{De8o-_e$!*+YHLoB91XTuGlnk;jnbaSAO%=z$nJ zE#zeLqHOFupF;ni5@S0?HOttZ2J*CLY~m?kQ{YawKqa|lJuEip^&2N!&B(U*8?Hz2DbA-LhJ&SQYE5>}1YL+q6 z5y5kTV>Zk+4KGgg0{JSp&262UPWRv|ww5#OsR?I1 z9h}}WHK)S@(}i`;P|ac;I^}pFz&h4dE;gJ5Is-&AL?dUZW@%(5kePvvSRyty92a16 z(JF_)G$+v5P=@wTp#DJ}o4_Uow_}jaNNm_{a)$b(ro~HiQZ!2#@C&M03^*Ie>_7ur zmbtiayhMjfb3`NOsAg$oE|9qajaVm2T$o;>We)Sf?4>yO-NEe~=q2l1in|&w#f9x9 z1VM-|Pq4UY9;`G^Snw;VSuFS>kQW0iXsmPFtj|+u@gbgIvpi3ME5lvqV5d+6@vd_Y z>pHjGH4_fI;5$j6^ShVCD8HqeWt8)Q%=e7a@7ZH5!CgM6k3G*GgECRB~r(uq`gs|^z6D;Pi-isTbZ!t4x3h_vxvms1r zoFXhn?-q-m|3o!Q&zAsMBJX*ouzQ}#zvseWOF_L!&rgoNN$Bt{hewS)mMSM8mMYX|B2UP!*aoI!;*Lvo8!c=_bV$g ztd(NOe^Sjd5i#a(&k zuv2Ve_;=-Rzyfaw>-+x|CP``rn6i{OlJw(OPRyID_;v6ttI=#X;ib=Zyk_zf%da(SF(oV zr1c*;wUv;pJUI)kQUn>TV#r15~ZE zmxlpBNJg&K%=olCN=bMy;e zaXD=ZSf*q=zLgl4dsAHgD7KtM;|1{mR!Ur^`aW0 zd@1VnsMRQci?&BQbyxH=(Jx0YLiu&{pV60fm+gMrB-_&{zqMVq{j0lTo{E_p^OEk; z3+UzaiYVXIcjnPpqc9VoS%?j;)8XYwVEN;V9?Du8MsF<^I@@Vn5McaT(&W z#o=dZJK3aFhC&yQfuYs~({QdExQ7(vI9ls9c z@%XRezd`v=Mpwp6y30MxJoRT7U5R-TODC2^*)XwFVpo*!B%VtAOm}6jleukX z@ML~D^P8F1qWmdKRF)Xsm8C(Jj#;{(d^^jhS8>0lbJWaH2jv$ze$DZ_?#h`tXTh9BbXTt4xklz1g>qf4eYs$_-1gi_ zxpScGl6!FOVJKhAy*>9X-Ib?&o(6duqnwmycAj}CKh1M7&yTt*Z|}S#^FlxG*LnZU zi#W+QIp2%#kz?i#h>+ z68TENZY2(tI9cMf?kaV>)K{et2c@;rnM!9t*|v1w(%>n5u=I)2pX#nMabPr`)~e?ngPb-28G2QLZnyzZ~MM{Ic>J%41%Xf4}_a<;Z6M00s?Dzk{%X6ceN^oe-BrCy_2Jb=qTF2lz3LyJ{H2CoBUX3S zC|;v>je02e);LiEcB@&uX6>5zyXKUd3u__{YwoIfyygkrRV!DmJ8P9k`B<%)wcxi} zCu)6P>nGh+yK?OowOgYcR(nG2Cr}=$eWv#3x~opfI(6$bK>0|W>2+qJTwCX0ows#Y zT}R#Ab@QRDQMYZ~4k%x!yQ1zYlyB8NUH7c+s+Xr;xq1~*wyQU&-Vl_p*4t5UH_9{h zeyxZ2uV21?llq9C`ghkKSARUpo%N5^{}|<^2F?cYx~oCk1_K)mM!B@X<_6nPe%#<< z1H^knXTv-VVgH818ct}4el}d!@U4c3?}q0a{?+gw-PNdjqmhj;9~!M}w6oD3l&2c~ z+~|_-YMiNY;l{}*n>X&$xF5<-8~@b!SKZa5Pm>3lJfyptI-2Hgit#k9+O$>EwkTh2 zx~?hWsadgRwVKsMIjz~UX2?IyA80e9SRpDq|**Jryf>AGBZbsN>~>26a|zTfS9w+p(fdvy1t?&w$d zZQVcUeoS}uDA%KLk7g(b_jt6&1eD8qZ0WIGclDgp^Yxxsk9r>Md7XYbW%Zc{NMOF-NVi+C7?Z-c zLMK1VkoueIq+{0e$31_08vE96-!9a9Gu==T(g4Q?}kIM;gHNHxb0mMM%D%&mlby~Or2 zSmrPrGdFl8I)RNwO=DTFpi!gYh9X!VXGvG6Yt_%gvR{S1!SOG%U$uUeT`wSpP?_bt z$}Sk)@R*`H%N+raKUdxexvYG7SpI9kXK<3)uN9xk4R>6lE*jnRxFruObPah8ZZg5O z<~5n3$TjY=(QS`O?q2~ zLwzA=@h#J5er8AS6&6XbfW}LD)o)X6x zHCU5$Xh5?O(`)|%4B0H58q#dc^xQ{3gH~rP(y>9!MosVijHb5>Qs;XU)+(J_)oj_D zp|57^)@5yO0_z$U_Ut+av355}pRN7Q!#dnV{WkheyQ!P4-^Ol>vIc?#~2gsLT;7uAnLr&Lp5f7ZxJtjcS)+n z?!S#(ZMfSL=EK;7x7paO<1fY@x(&x~*np<|?K*z3z=PJ4fl)L?MUga$%#oyQB#)%PXnICPQ_yHKN0hQt@QCt{ zs^T~OQ>W}-)`mTIlTQtHh5wnu{dOF?`B9+8?D^Z!`{oF^UB_>Zf@bW6+cXNyk#IZP zz#I)@*v#8F8q5)KyW7DW6_2plw|P{UBSYDOjtqStx|qx6s^}0mSe`Ekwkvz2ewVTL zZQ+O5iz-CC_uxjDD4Y21x6KcbE@bmnw0NV%y!0r$_#%ev;!QA%*#Z?ZmXI-rjk1k3 zY{)j|2m0o+MJjp%qQ@LT%07V+WJy4m*b)^&(hxF-k+P9IjL1d~@tHUCb70;l!^8t& z%T#;?jW2VIDLVy^F|w00c$|429IMV=QK1$x)QoWRJmcG+y{hb$ro9ZC(Q};2UZJNb z*^2&Upl3W;0lWP)1z75bXIryXD%`>fH*?4-n}rv0BJ_M=hf`ihOA&6^gH&g)tH=vG z@{H*7EE2`oo62@qWIMxtv|uRPUBT>4T|CTBb=PHURP6-dEjk+vFMdMXRqY;swncd)4c$V`Kw(&L#h;SeMG@MQ8N7+bj zHL?-D=G*h5#P_q!DwL#lA~Tu8N!dwiCU0K$hEzkgRfUw)Rz^sf!%EpoYASD7k*&O; zHG*wdp(VAKIke2-rR*g&mnFO`A-0*;8uH zD+*6bh*o4rRESD#8ctaHUqfMPY~DXmcD-hHB|94_K-pDl)+ z>h=ixSOv1w(&nJN+PV?U%EnR?M;y%L7js%3%sx@kEH(AjMzFu05z)%dQZrwZh$fqx z_Q{{Bke1roKQtrx+X!oAYpJQPSy+>g%n3UW6Q4;18j1IAq^{gvk)zjoOh$w&d&_-u zt?li%&;EgZrqa07^4Hq%#AgJ%vbogc*CpASb}XN(P?y^MdW5<;+?Cy>X1^}sPIkBM zWWH2UFE#)5ih6V8E89y=f88RVZ11p208NnpGW{I&AI4e^2D2YLup z`9SJ|>za&=Iff;KemZ|8;53 zWWOrkq$}T;Gn(>^)HOFGqZxD5oY@+&-_-1py2!BBjVg_I`ILX8?zy2eh+-mI%$o_~ z!)a~UA8Hm!-E^a7kGWlACMh3DU39}|68S17bvEJq`yFv&POWGEQ*%n{Fmr6*$htAF zl%J$-N*D8r;=^XFRQQ}fexYD2yR0Ub)MGbtGWoY{OfKassjJe>scEOPbJ{_O!bt#zx&doO+1q=R{6}|S*d@g zN1qutXTC3r+OI{aIVbgCdh{Rl$(VP_Z&J6VyG723BV(~keJ8S-<^j}V=kG?tVCk`> z$=6ied>7F?Hw(&tHz;AIWa3WmdCT>TdJQxY_FF8=?@gPnja(zUQA$#CS?X-VW;b(b%xC3esf%y=`Ao4H85O>hha?M*H{QnBmIbQg`1{Gn{-MlRC?3uHzFsc)zlUmRHSnsq_8k%B}FX zG2fNXr7pka<~zk~2J4*n{Lp+$Ex(%f(ipfErnzhk#?)7Sm%9Cyoce*&9}7RN`W>}9 z)by9efo$sBDwvT1l<%dkzhzT^h+p3>08c$RS{nQRrdlDD38dk0tIYaf<1n&;^1sym zw{|uNIPoi@vVb%Ug3X>=2{$r&U1UW%0uF``vE<#PITkSO?MW|SihQe)=A_CKd_oT6;N)yu9xYbfYTH`TNg^CAh zINVmLLc~gt6Un+-X_YagA(GY%yA@xjtYLg}+np*dR9xVG#E5faMNx4f4GXWG413)! zrS-dz%Bid&4UgL;8f4=%uPPN(e5m*^ttv*m7^{nl4{3PZwyTQ~AJ$c-lFA~|Ffpuk zJD29wrizLa6(^F_#+V<*s-xmW8YZ{>s$;~7b@i#HvWhfZ3~Q;<^A$VBNm>mRFDhO_ ztU|_oF;*iLFVb*PtC3#}Sy!c6D$7X2#+Y5Iw4UV~t5Y2nH!5!YGE1nrVysdsZlqzO zR;eJdWL>T5sjMRnpHMUJc7M%$ve!VxkBXllnI_acF;*`XKhp3~t5+H^WnIM@sVpQ7 zqfj$Yz2>I#z;&9MxrJ1ZnC-`aEbH>7{VoVxhYT>*F zafjboD6)@phf# zjbhQ*vZ;8JhMU^5UE>(^Zr_S%y;O>m#$2c+LcJFL_RVZ7Bh9IplZKp1bJsY{QS6Cl zt#QIjzYtnd>!Y%rH1y1~RMoF8yUFZkWIPpj(y&t*?>b~WibI}X^_6@Sw3QyK6& zW24K=Dh{u6<_k4UFZxYyaJL zz(}boPNiY0QffL#sgw`IalUv=#B-Q`UK^t_t2AU)_WbX%XIi#4va5h=FN0~=XZ#j7-2RhCUhS(b7`2J=(TVmqrPXpgF7D~(>2 zO#jDAOxa>_?+4xM)6cx)VmT!VA94cQJy9^b}(oj~rjGHLF{XQe~ zj7rbam=2W=)N40xYZ1)$Mv7MPEDdLsqHm%UO)>8OnWL|?=TxSahIX)wpz!pUr464L z*;>W4G^ACwzR9w+H)C5q!}Pq$*wXMevVbbnZ-$on#>m<#uBBnEvi41wwJFXe?}{gA zFR0`#jdEFHP}t3p$c+T9Vp|&8DuLgm37qne|GVg&w3#Y{OT%1c>zgB62lJDW#Z`Pu z!&_zXn>dS8%uBZ8<+RxmSEt<16{k?2{N%f-WF7&#!4qRF0OK z(`+|YPv3D({xR~jif3s!t4;JxvWYfwjVV{t2YqqSaksWi%wo*p~ z0nK`{x{<|Ie9H|evzfxKZ=B0LAxG2lh5sYcCAHU8CYPErElaA`uYJ@@9T5Z!$Nok( zS1~R(VmLNb*Ie5;mwQ5vhGTolCmR#AH&s%XS~MJks%z4Bz>|NB#IE988s_S-J;=AJO%a7OX9{@{{U0L^M#LJX*<=5Ae|2WmQ#OUd4|7I zn@JrJ1iVu2VAmU~f|>_%LkF{)!mr^YVvDkam5q<43at` z2zV{+YOD@wF3632Ep4s1!kZ6rPsq`0X;aA)6$#p2wMa-Ue=QBKxYGB;ApaN(g_;l2 z>7WjmZiB-mbDr|Qo#>?PS1W~dYM8C2>fvSjNbMwbL=Z62wq*LoYN6(Y+~_i!DeU^r z4Y?=e==Jc0+Hk_&l zPyE-?Hd03f0k37dGA?5wQS(FY0GZ7cc75lG+!J#2di%ovcH|B19<`E4U2(lVq4)!5 z9ZSj>%~`S=B$+9vBE6Czl8I<){cXmI@r&tfR1?9V}C%eQPn$CJ@rBK#ky|qCo z$FM%yVw5SYZ`9+=5jBhTi>}8U(H&X;=&mS-u>sK|QBGt7ZB>}V)|?Htbw)Xa4Yi$R z!(uwHp)upw@R&<%Sccrpk)aG5VK2lS_R4Ie?qUu-7rR&Qg|Z*JU!Q|=IeXBNz#ejR zWDh%DVq=_kHr6?UJ>vY5jf+iYkH*emkHs-IK5j5`#NERt#LY&zl06>xA(u zs)4dKd(JfhHtFZ-H`?7`EPOwEuZQ0_aLu^U*r`ggRMcA@j?bs{1ma`SP z{>NU;-JGq=y@0LC{To}8??JXU|5COt|6gosXiJh*zk~u1GXJ@Lw2UX6qvsLe4j;eRE z&#P`@UsP+v&Q)K-&ezPzzOMBayHMwT_FY|#U93BWeP1sd`=Q<<_GA4L?5Fx0+0PB? zGDm|(?3V^lpq#^gZ8)Df8m?l$H)_xRY_ypD)l_4bo0nw&G_Rns=I1r7#Y`=_#X&8) zy}zfn?std&97R9cEh!pc5^j*`$}4d_IGK~?H|@0?O)Rz9X{2Zot&DZ zQ+X}6^J>l6`J@)twWAi>b-WheEw2{Wt*Ped)?Z8LK2ponqqvsXqlcE*bBdO^*JdrT z*EuaqpI%z#K2K{|`^IQl`j*zR^?euhKefbu30hLWx>{2Iks2ScKua3%ww8TRLyZp_ zq2;*yqLzJdl9qFDik4&WSuJTWVlAVMu}n7Yuy%xHh%2lYWKr5SmNTvhE6ud1oJ@}^ z3d|PeV7cRxfkj6(1Xc`KhNvnwk%>z~vm_6DFtQ0V3)UUuw19L<# z0#*iCT=W!RcLIxzz6`7^u#C~?ft3RmZ+jY8d0=kaeZVRJbJ_j{RuNbx+XY~ifF;Dd z1gtW!%rTRIRRNZ$R|HlSSXMnBuxh}v=zD=x2bQG24y*>SZ2EV=Y68oy9|u+o7DaYz9^zSYF2xU=4ufaeNM}A+Y?8cYrklmd|+y zu*SgdaApM71XuxQGhj`D6>?Sp)(lud=QF^X11sWu5LgRfg<~~fErBIFzXjF`Skc&e zz*++<9$OMv8(_s^hXZR1tYmCwVC{gFhcSTA6e zas@iSl(fb~c`1nhBO-7{04Cj#r0nfm+$u%4OM0-FS^ zPv)0^JqfILmKb190qdLj2VhSFyDLi-wa^)WTXDg1U5X| zFTiF28=37Cu-U*yB+>ks1MI#enjdq4-J3KN*gRnOCv^n&BCt_OUjlmx*n>&$0-F!) z0iFcxWnd5SC}0bKjn2LV*g{}qvOfcC5wM4|9|N`+*dy6@09yiVY>qm>mI8Y;M{!`w zfQ`%XJFw-z#^*Q->=j^-|iCjH_tpYYN7tMv&fIXRu z=ECd1Cgsin>S(Elm0T2(T4JIs;$lNMJodP1lZc5bAX)$mQr*A zuup-lPo{Z(3fRVEn&+p1ZAd;3>F25eKY3c$_++gdCyu+M>QDfT9?FMw?? zHW%2Jz_t~?8`wEuJBzmib{^P{5;PaS0=ByZ&4sUl?J98^*acvFOB@9D4X{0>C?|dk zY=0@riQfU+S2_!@i@*++`WM*uzz&qAKK}shP-*J(kHFq4{VA}YfW1?CKd_&HyT^4yL-UjScx%+{|0Q;<5FJKvfohi2vm>t;X)z9^5iJ3bcJ`SMu1%NURE%^5U_u% zI)D`h7FFdUD~7eYw5C;U1FR@8Th$7{l7U56odm2HunbiP11k`q{@)fNIP3oK)`iNMMMi?8+xu=2p%)wTnx z0L)c=B(RFWGF9&YtP-$<>K_2B3@mf?6kt_=CDw=qRux#*>OTOh1}saBdcdj!OR7-> zSPfv=YJ3W;Ca~-^b^@yfjMt>=Y6Htzldh`+EJw{H!0H0aUGqs`^?>E7c>-8{V0mk9 z1J(doo?7LBH3XKw76;Y{SiV|wfHekoN3F5IngAnC7MffcHC3|KQ@1#7nk)*M)o z+U0?@09Ls66Tn&mORjx4uvWl|*8Ut=YhcA|?+4ZfSg|?{fVBlyvQ9FvcEC#1nF*{t zu+nuN0@eXosXA{1>j>=5I&T2$1guQme84&bD_1uLSQlVr>vjOv66NrJqxTSuqt);0qX^8wRXlgLq)Wfi-LHEu8%*a%?F8ngs95?Ip)+ko8*tVM%` z!0rRqyupvaMgeQp;3%;BfwgRyAJ_xH+BD1n>_K3y8g^31B@N_X9QwSf9p?fjtSVcjI4yJq4_9S-?g%zZckSU?W=81U3iQeJu(A zn+xpT7Ae5y0lUA&TwpH(8`a_>u$O>6*y4R)^MO6kvIwx3fj!hR4%h-qaLwg8*iiTbh?*o-a=*fwCZJAVmmJFr<@C|-5|o7;urWhbyX zU6%vf1?WZ0(Q`Gh_kpeIIRn@Sz*hGB4%mmlUhjDX*im4w^>zX~2JFpV-vc`i?2X>TfqevQ zP4BkAJ_feB&l|u_09)5*I=2`uqXxQ()`+wg+|!*v7tvzP#*dQ*q(vZmv4dXA4q-q4%ogyl}OzaGd3iT?Qz>g#@x>MOYy%kEu)4r+C-+| ze~j6aH^=|W*qf*_mLX#X$R;?X&B)v=0c9e~A`WwtP;&g8gXLnmQNyN^qc+na%R4GKDmp4T zs^DLRRBW2#c`x>SDmGt&EtO!aB-q+O%$X#?a!ar~Bv`TpD=onWO0at**kR|p#&}T^ zc5xn;V5cRz=L4}=U4kV@FfPFgOR(}1tephwCc*kjuptucJ_$BPf;}$5o;G7RLCTG& zn&z1ASn62iSnEu3=62rUOm>!b4s_n*JPga8cAk&bV-sR|Y~k4QvF&2J#rBOI5_@0l znApc-pU&t+V*OoXQM2qb?Xxt!JA{3jy{Ts3O|=~)_K~<~|6bE$Na*-ecaVrDQJ6$= z5*0~QBT*Z|evoPnNHitUibQ)7T_EgllX#cJ`y`H%_=vMxR9wRZH!~_zL zlbA^22@;b?JW1jy5>JzuOkxU&sU)5u@hpkwNK7N~Jc;QfULY}p#7q*iNX#ZNhs0bG z^GNivy}{UvRC|fUd=f8{SU_SSiA5w9lUPDxDT!qymXmme#0nCxl2}P%6^YkKyiQ^* zi8n~RNn$mLH6+%NSWhB_#0C-@No*pqnZy?5(C z!~qfqNxVhk5Q(=*yhGwJiFZl7N8$*H_ep#};zJTgNgN|_oWw^YJ|=O3#3v+9lK7Ow zDH5kioFVZUiL)d=C-DV|FG-vuah}9iB)%qbfy6f?z9sP;iHjt@C-DP`A4&W~;%5@S zkoc9vB@(}p_#MLbIyv+Y`twf`|0D4iiOVGZCh-r6e<3tRLL(7HBASGaL<|JGi$p_v zQO4SlXi1_0i5?_slc;BJ%51OLRxsNX+f-(oW1GutJ8db3Ja}rHSv?b93!nVk^n6X;)XI=VptnCrT zO5x2(h>0wwZA?2B(;=oK%kVUb$t0$bm`dUq63>!&j>I$)&y$!=;sp{jNX#TLi^Oaa zb4bi3F^|NHBwivhpTx@~7LZs-ViAeOB$kj^N@5v_MB;4{M@YOw;xLJKNxVnmeG(s#_>ja=630j!C-D)9k4c;$@d=5OBt9i^ zio|IWXGnZT;w*{JNqj-#OA_ZuoG0-WiLXgqAn^@}Z%KSd;v$LfN&G5-t*M5(y+Skw_$wnM4*6SxICgkwk)%$W9^$iJT;Ik;qLV4~e`a@{!07 z!79@%YfY1+6N%0wI+CbHq9VOoi9}WUvk{5*Bw9hl6ptwZVIR*}2|Kbt4PaUZ&CawQ z5K*g1tRu03#AXuPNbDrBhs1soZ;^NhLc5DY%IVzeQWg|z~$Wbg`2EeS$oJ1=UZAo;1&}LArGl^~_dXnfv zf?Q@Jm)Xc=HgcJbyk#SAX=HI5xy<$u{rM6Jvb{#O*U0u7*agoH2B*^TVFneRFk;OH#cobPYDg%jF z5^fS%NMt9GhXl<4tr^wGx>01^D6(!;X%b}JD6(!888)gK2^vvU9SDs=KB_*6MkJb& zXhEVi39@cfR}wu)^d`|CLTg90K_rHd7*2v_UKE)#Y7B{SB*v4NM1lfIBOgUkY($Z# zqsVVjvq{V&F`vXj63a-eAh8O<_PlL6V>KzSRfn)0$G#+{ModjDrcO*%Ev8vab1kM7 z)$B1ki^&p`70+g1!xED~TbFle6Y~Mp&Qa|f+qW#HeoO-`rZF^ZCvBgym>e-VSxkOx mlwvBxRMcXs5LFS{@pPpoyOHQl?LJ|f#4^U>o;^B-vHt@~gYIwu