From c4d5618ac1e04575db4d6146bfb0f4823628d4d8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Tue, 24 Nov 2020 13:00:51 +0500 Subject: [PATCH] dec update --- el2_dec.anno.json | 61 +- el2_dec.fir | 4307 ++++++++--------- el2_dec.v | 3526 +++++++------- el2_dec_decode_ctl.fir | 3388 +++++++------ el2_dec_decode_ctl.v | 1794 +++---- firrtl_black_box_resource_files.f | 4 +- src/main/scala/dec/el2_dec.scala | 169 +- src/main/scala/dec/el2_dec_decode_ctl.scala | 25 +- src/main/scala/dec/el2_dec_tlu_ctl.scala | 2 +- src/main/scala/exu/el2_exu.scala | 2 +- .../scala-2.12/classes/dec/dec_decode$.class | Bin 3939 -> 0 bytes .../dec/dec_decode$delayedInit$body.class | Bin 757 -> 0 bytes .../scala-2.12/classes/dec/dec_decode.class | Bin 799 -> 0 bytes .../dec/dec_main$delayedInit$body.class | Bin 734 -> 0 bytes .../dec/{dec_main$.class => decode$.class} | Bin 3856 -> 3846 bytes .../classes/dec/decode$delayedInit$body.class | Bin 0 -> 722 bytes .../dec/{dec_main.class => decode.class} | Bin 777 -> 767 bytes .../scala-2.12/classes/dec/decode_ctrl$.class | Bin 0 -> 3905 bytes .../dec/decode_ctrl$delayedInit$body.class | Bin 0 -> 763 bytes .../scala-2.12/classes/dec/decode_ctrl.class | Bin 0 -> 804 bytes target/scala-2.12/classes/dec/el2_dec.class | Bin 215507 -> 152691 bytes .../scala-2.12/classes/dec/el2_dec_IO.class | Bin 84311 -> 84335 bytes .../classes/dec/el2_dec_decode_ctl.class | Bin 560315 -> 548753 bytes .../classes/dec/el2_dec_tlu_ctl.class | Bin 184407 -> 184434 bytes target/scala-2.12/classes/el2_swerv.class | Bin 938963 -> 938963 bytes .../classes/exu/el2_exu$$anon$1.class | Bin 10809 -> 10809 bytes 26 files changed, 6550 insertions(+), 6728 deletions(-) delete mode 100644 target/scala-2.12/classes/dec/dec_decode$.class delete mode 100644 target/scala-2.12/classes/dec/dec_decode$delayedInit$body.class delete mode 100644 target/scala-2.12/classes/dec/dec_decode.class delete mode 100644 target/scala-2.12/classes/dec/dec_main$delayedInit$body.class rename target/scala-2.12/classes/dec/{dec_main$.class => decode$.class} (64%) create mode 100644 target/scala-2.12/classes/dec/decode$delayedInit$body.class rename target/scala-2.12/classes/dec/{dec_main.class => decode.class} (50%) create mode 100644 target/scala-2.12/classes/dec/decode_ctrl$.class create mode 100644 target/scala-2.12/classes/dec/decode_ctrl$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/decode_ctrl.class diff --git a/el2_dec.anno.json b/el2_dec.anno.json index b6ca3beb..4a203e13 100644 --- a/el2_dec.anno.json +++ b/el2_dec.anno.json @@ -229,13 +229,6 @@ "~el2_dec|el2_dec>io_i0_brp_bits_hist" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_bits_pc4", - "sources":[ - "~el2_dec|el2_dec>io_ifu_i0_pc" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_dec|el2_dec>io_dec_div_cancel", @@ -641,6 +634,33 @@ "~el2_dec|el2_dec>io_lsu_result_corr_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_br_immed_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_pc4", + "~el2_dec|el2_dec>io_ifu_i0_instr", + "~el2_dec|el2_dec>io_dbg_cmd_valid", + "~el2_dec|el2_dec>io_dbg_cmd_type", + "~el2_dec|el2_dec>io_dbg_cmd_addr", + "~el2_dec|el2_dec>io_dbg_cmd_write", + "~el2_dec|el2_dec>io_i0_brp_bits_hist", + "~el2_dec|el2_dec>io_i0_brp_valid", + "~el2_dec|el2_dec>io_ifu_i0_icaf", + "~el2_dec|el2_dec>io_ifu_i0_dbecc", + "~el2_dec|el2_dec>io_i0_brp_bits_br_start_error", + "~el2_dec|el2_dec>io_i0_brp_bits_br_error", + "~el2_dec|el2_dec>io_i0_brp_bits_ret", + "~el2_dec|el2_dec>io_i0_brp_bits_toffset" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_pc_d", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_pc" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_bits_hist", @@ -1100,26 +1120,6 @@ "~el2_dec|el2_dec>io_i0_brp_bits_hist" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_dec|el2_dec>io_dec_i0_br_immed_d", - "sources":[ - "~el2_dec|el2_dec>io_ifu_i0_pc", - "~el2_dec|el2_dec>io_ifu_i0_instr", - "~el2_dec|el2_dec>io_dbg_cmd_valid", - "~el2_dec|el2_dec>io_dbg_cmd_type", - "~el2_dec|el2_dec>io_dbg_cmd_addr", - "~el2_dec|el2_dec>io_dbg_cmd_write", - "~el2_dec|el2_dec>io_i0_brp_bits_hist", - "~el2_dec|el2_dec>io_i0_brp_valid", - "~el2_dec|el2_dec>io_ifu_i0_icaf", - "~el2_dec|el2_dec>io_ifu_i0_dbecc", - "~el2_dec|el2_dec>io_i0_brp_bits_br_start_error", - "~el2_dec|el2_dec>io_i0_brp_bits_br_error", - "~el2_dec|el2_dec>io_i0_brp_bits_ret", - "~el2_dec|el2_dec>io_i0_brp_bits_toffset" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_dec|el2_dec>io_i0_ap_srl", @@ -1633,6 +1633,13 @@ "~el2_dec|el2_dec>io_i0_brp_bits_hist" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_dec|el2_dec>io_dec_i0_predict_p_d_bits_pc4", + "sources":[ + "~el2_dec|el2_dec>io_ifu_i0_pc4" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_dec|el2_dec>io_mul_p_bits_rs1_sign", diff --git a/el2_dec.fir b/el2_dec.fir index a8e5e66e..9a41335d 100644 --- a/el2_dec.fir +++ b/el2_dec.fir @@ -2785,967 +2785,965 @@ circuit el2_dec : node _T_15 = or(_T_13, _T_14) @[el2_dec_decode_ctl.scala 217:56] node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[el2_dec_decode_ctl.scala 219:32] node data_gate_en = or(_T_15, _T_16) @[el2_dec_decode_ctl.scala 218:56] - inst data_gated_cgc of rvclkhdr @[el2_dec_decode_ctl.scala 222:29] - data_gated_cgc.clock <= clock - data_gated_cgc.reset <= reset - data_gated_cgc.io.en <= data_gate_en @[el2_dec_decode_ctl.scala 223:31] - data_gated_cgc.io.scan_mode <= io.scan_mode @[el2_dec_decode_ctl.scala 224:31] - data_gated_cgc.io.clk <= clock @[el2_dec_decode_ctl.scala 225:31] - node _T_17 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 230:62] - node i0_brp_valid = and(io.dec_i0_brp.valid, _T_17) @[el2_dec_decode_ctl.scala 230:60] - io.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 231:43] - io.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 232:43] - io.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 233:43] - io.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[el2_dec_decode_ctl.scala 234:43] - io.dec_i0_predict_p_d.bits.pja <= i0_pja @[el2_dec_decode_ctl.scala 235:43] - io.dec_i0_predict_p_d.bits.pret <= i0_pret @[el2_dec_decode_ctl.scala 236:43] - io.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[el2_dec_decode_ctl.scala 237:43] - io.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[el2_dec_decode_ctl.scala 238:43] - io.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[el2_dec_decode_ctl.scala 239:43] - node _T_18 = and(i0_brp_valid, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 240:55] - io.dec_i0_predict_p_d.valid <= _T_18 @[el2_dec_decode_ctl.scala 240:38] - node _T_19 = or(i0_dp_raw.condbr, i0_pcall_raw) @[el2_dec_decode_ctl.scala 241:75] - node _T_20 = or(_T_19, i0_pja_raw) @[el2_dec_decode_ctl.scala 241:90] - node _T_21 = or(_T_20, i0_pret_raw) @[el2_dec_decode_ctl.scala 241:103] - node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 241:56] - node i0_notbr_error = and(i0_brp_valid, _T_22) @[el2_dec_decode_ctl.scala 241:54] - node _T_23 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 244:72] - node _T_24 = and(i0_brp_valid, _T_23) @[el2_dec_decode_ctl.scala 244:47] - node _T_25 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[el2_dec_decode_ctl.scala 244:106] - node _T_26 = and(_T_24, _T_25) @[el2_dec_decode_ctl.scala 244:76] - node _T_27 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 244:126] - node i0_br_toffset_error = and(_T_26, _T_27) @[el2_dec_decode_ctl.scala 244:124] - node _T_28 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[el2_dec_decode_ctl.scala 245:47] - node _T_29 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 245:74] - node i0_ret_error = and(_T_28, _T_29) @[el2_dec_decode_ctl.scala 245:72] - node _T_30 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[el2_dec_decode_ctl.scala 246:62] - node _T_31 = or(_T_30, i0_br_toffset_error) @[el2_dec_decode_ctl.scala 246:79] - node i0_br_error = or(_T_31, i0_ret_error) @[el2_dec_decode_ctl.scala 246:101] - node _T_32 = and(i0_br_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 247:72] - node _T_33 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 247:94] - node _T_34 = and(_T_32, _T_33) @[el2_dec_decode_ctl.scala 247:92] - io.dec_i0_predict_p_d.bits.br_error <= _T_34 @[el2_dec_decode_ctl.scala 247:56] - node _T_35 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 248:94] - node _T_36 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 248:116] - node _T_37 = and(_T_35, _T_36) @[el2_dec_decode_ctl.scala 248:114] - io.dec_i0_predict_p_d.bits.br_start_error <= _T_37 @[el2_dec_decode_ctl.scala 248:56] - io.i0_predict_index_d <= io.dec_i0_bp_index @[el2_dec_decode_ctl.scala 249:32] - io.i0_predict_btag_d <= io.dec_i0_bp_btag @[el2_dec_decode_ctl.scala 250:32] - node _T_38 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[el2_dec_decode_ctl.scala 251:47] - node _T_39 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 251:86] - node i0_br_error_all = and(_T_38, _T_39) @[el2_dec_decode_ctl.scala 251:84] - io.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[el2_dec_decode_ctl.scala 252:49] - io.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[el2_dec_decode_ctl.scala 253:32] - io.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[el2_dec_decode_ctl.scala 254:56] - node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[el2_dec_decode_ctl.scala 260:36] - i0_dp.legal <= i0_dp_raw.legal @[el2_dec_decode_ctl.scala 263:9] - i0_dp.pm_alu <= i0_dp_raw.pm_alu @[el2_dec_decode_ctl.scala 263:9] - i0_dp.fence_i <= i0_dp_raw.fence_i @[el2_dec_decode_ctl.scala 263:9] - i0_dp.fence <= i0_dp_raw.fence @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rem <= i0_dp_raw.rem @[el2_dec_decode_ctl.scala 263:9] - i0_dp.div <= i0_dp_raw.div @[el2_dec_decode_ctl.scala 263:9] - i0_dp.low <= i0_dp_raw.low @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[el2_dec_decode_ctl.scala 263:9] - i0_dp.mul <= i0_dp_raw.mul @[el2_dec_decode_ctl.scala 263:9] - i0_dp.mret <= i0_dp_raw.mret @[el2_dec_decode_ctl.scala 263:9] - i0_dp.ecall <= i0_dp_raw.ecall @[el2_dec_decode_ctl.scala 263:9] - i0_dp.ebreak <= i0_dp_raw.ebreak @[el2_dec_decode_ctl.scala 263:9] - i0_dp.postsync <= i0_dp_raw.postsync @[el2_dec_decode_ctl.scala 263:9] - i0_dp.presync <= i0_dp_raw.presync @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_imm <= i0_dp_raw.csr_imm @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_write <= i0_dp_raw.csr_write @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_set <= i0_dp_raw.csr_set @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_clr <= i0_dp_raw.csr_clr @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_read <= i0_dp_raw.csr_read @[el2_dec_decode_ctl.scala 263:9] - i0_dp.word <= i0_dp_raw.word @[el2_dec_decode_ctl.scala 263:9] - i0_dp.half <= i0_dp_raw.half @[el2_dec_decode_ctl.scala 263:9] - i0_dp.by <= i0_dp_raw.by @[el2_dec_decode_ctl.scala 263:9] - i0_dp.jal <= i0_dp_raw.jal @[el2_dec_decode_ctl.scala 263:9] - i0_dp.blt <= i0_dp_raw.blt @[el2_dec_decode_ctl.scala 263:9] - i0_dp.bge <= i0_dp_raw.bge @[el2_dec_decode_ctl.scala 263:9] - i0_dp.bne <= i0_dp_raw.bne @[el2_dec_decode_ctl.scala 263:9] - i0_dp.beq <= i0_dp_raw.beq @[el2_dec_decode_ctl.scala 263:9] - i0_dp.condbr <= i0_dp_raw.condbr @[el2_dec_decode_ctl.scala 263:9] - i0_dp.unsign <= i0_dp_raw.unsign @[el2_dec_decode_ctl.scala 263:9] - i0_dp.slt <= i0_dp_raw.slt @[el2_dec_decode_ctl.scala 263:9] - i0_dp.srl <= i0_dp_raw.srl @[el2_dec_decode_ctl.scala 263:9] - i0_dp.sra <= i0_dp_raw.sra @[el2_dec_decode_ctl.scala 263:9] - i0_dp.sll <= i0_dp_raw.sll @[el2_dec_decode_ctl.scala 263:9] - i0_dp.lxor <= i0_dp_raw.lxor @[el2_dec_decode_ctl.scala 263:9] - i0_dp.lor <= i0_dp_raw.lor @[el2_dec_decode_ctl.scala 263:9] - i0_dp.land <= i0_dp_raw.land @[el2_dec_decode_ctl.scala 263:9] - i0_dp.sub <= i0_dp_raw.sub @[el2_dec_decode_ctl.scala 263:9] - i0_dp.add <= i0_dp_raw.add @[el2_dec_decode_ctl.scala 263:9] - i0_dp.lsu <= i0_dp_raw.lsu @[el2_dec_decode_ctl.scala 263:9] - i0_dp.store <= i0_dp_raw.store @[el2_dec_decode_ctl.scala 263:9] - i0_dp.load <= i0_dp_raw.load @[el2_dec_decode_ctl.scala 263:9] - i0_dp.pc <= i0_dp_raw.pc @[el2_dec_decode_ctl.scala 263:9] - i0_dp.imm20 <= i0_dp_raw.imm20 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.shimm5 <= i0_dp_raw.shimm5 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rd <= i0_dp_raw.rd @[el2_dec_decode_ctl.scala 263:9] - i0_dp.imm12 <= i0_dp_raw.imm12 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs2 <= i0_dp_raw.rs2 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs1 <= i0_dp_raw.rs1 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.alu <= i0_dp_raw.alu @[el2_dec_decode_ctl.scala 263:9] - node _T_40 = or(i0_br_error_all, i0_icaf_d) @[el2_dec_decode_ctl.scala 264:25] - node _T_41 = bits(_T_40, 0, 0) @[el2_dec_decode_ctl.scala 264:43] - when _T_41 : @[el2_dec_decode_ctl.scala 264:50] - wire _T_42 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 265:35] - _T_42.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.pm_alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.fence <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rem <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.div <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.mret <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.ecall <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.ebreak <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.postsync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.presync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_imm <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_write <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_set <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_clr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_read <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.jal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.blt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.bge <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.bne <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.beq <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.condbr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.slt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.srl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.sra <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.sll <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.lxor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.lor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.land <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.sub <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.add <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.lsu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.pc <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.imm20 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.shimm5 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rd <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.imm12 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs2 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - i0_dp.legal <= _T_42.legal @[el2_dec_decode_ctl.scala 265:20] - i0_dp.pm_alu <= _T_42.pm_alu @[el2_dec_decode_ctl.scala 265:20] - i0_dp.fence_i <= _T_42.fence_i @[el2_dec_decode_ctl.scala 265:20] - i0_dp.fence <= _T_42.fence @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rem <= _T_42.rem @[el2_dec_decode_ctl.scala 265:20] - i0_dp.div <= _T_42.div @[el2_dec_decode_ctl.scala 265:20] - i0_dp.low <= _T_42.low @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs2_sign <= _T_42.rs2_sign @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs1_sign <= _T_42.rs1_sign @[el2_dec_decode_ctl.scala 265:20] - i0_dp.mul <= _T_42.mul @[el2_dec_decode_ctl.scala 265:20] - i0_dp.mret <= _T_42.mret @[el2_dec_decode_ctl.scala 265:20] - i0_dp.ecall <= _T_42.ecall @[el2_dec_decode_ctl.scala 265:20] - i0_dp.ebreak <= _T_42.ebreak @[el2_dec_decode_ctl.scala 265:20] - i0_dp.postsync <= _T_42.postsync @[el2_dec_decode_ctl.scala 265:20] - i0_dp.presync <= _T_42.presync @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_imm <= _T_42.csr_imm @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_write <= _T_42.csr_write @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_set <= _T_42.csr_set @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_clr <= _T_42.csr_clr @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_read <= _T_42.csr_read @[el2_dec_decode_ctl.scala 265:20] - i0_dp.word <= _T_42.word @[el2_dec_decode_ctl.scala 265:20] - i0_dp.half <= _T_42.half @[el2_dec_decode_ctl.scala 265:20] - i0_dp.by <= _T_42.by @[el2_dec_decode_ctl.scala 265:20] - i0_dp.jal <= _T_42.jal @[el2_dec_decode_ctl.scala 265:20] - i0_dp.blt <= _T_42.blt @[el2_dec_decode_ctl.scala 265:20] - i0_dp.bge <= _T_42.bge @[el2_dec_decode_ctl.scala 265:20] - i0_dp.bne <= _T_42.bne @[el2_dec_decode_ctl.scala 265:20] - i0_dp.beq <= _T_42.beq @[el2_dec_decode_ctl.scala 265:20] - i0_dp.condbr <= _T_42.condbr @[el2_dec_decode_ctl.scala 265:20] - i0_dp.unsign <= _T_42.unsign @[el2_dec_decode_ctl.scala 265:20] - i0_dp.slt <= _T_42.slt @[el2_dec_decode_ctl.scala 265:20] - i0_dp.srl <= _T_42.srl @[el2_dec_decode_ctl.scala 265:20] - i0_dp.sra <= _T_42.sra @[el2_dec_decode_ctl.scala 265:20] - i0_dp.sll <= _T_42.sll @[el2_dec_decode_ctl.scala 265:20] - i0_dp.lxor <= _T_42.lxor @[el2_dec_decode_ctl.scala 265:20] - i0_dp.lor <= _T_42.lor @[el2_dec_decode_ctl.scala 265:20] - i0_dp.land <= _T_42.land @[el2_dec_decode_ctl.scala 265:20] - i0_dp.sub <= _T_42.sub @[el2_dec_decode_ctl.scala 265:20] - i0_dp.add <= _T_42.add @[el2_dec_decode_ctl.scala 265:20] - i0_dp.lsu <= _T_42.lsu @[el2_dec_decode_ctl.scala 265:20] - i0_dp.store <= _T_42.store @[el2_dec_decode_ctl.scala 265:20] - i0_dp.load <= _T_42.load @[el2_dec_decode_ctl.scala 265:20] - i0_dp.pc <= _T_42.pc @[el2_dec_decode_ctl.scala 265:20] - i0_dp.imm20 <= _T_42.imm20 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.shimm5 <= _T_42.shimm5 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rd <= _T_42.rd @[el2_dec_decode_ctl.scala 265:20] - i0_dp.imm12 <= _T_42.imm12 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs2 <= _T_42.rs2 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs1 <= _T_42.rs1 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.alu <= _T_42.alu @[el2_dec_decode_ctl.scala 265:20] - i0_dp.alu <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 266:20] - i0_dp.rs1 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 267:20] - i0_dp.rs2 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 268:20] - i0_dp.lor <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 269:20] - i0_dp.legal <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 270:20] - i0_dp.postsync <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 271:20] - skip @[el2_dec_decode_ctl.scala 264:50] - io.dec_i0_select_pc_d <= i0_dp.pc @[el2_dec_decode_ctl.scala 275:25] - node _T_43 = or(i0_dp.condbr, i0_pcall) @[el2_dec_decode_ctl.scala 278:38] - node _T_44 = or(_T_43, i0_pja) @[el2_dec_decode_ctl.scala 278:49] - node i0_predict_br = or(_T_44, i0_pret) @[el2_dec_decode_ctl.scala 278:58] - node _T_45 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 280:51] - node _T_46 = and(_T_45, i0_brp_valid) @[el2_dec_decode_ctl.scala 280:55] - node _T_47 = eq(_T_46, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 280:26] - node i0_predict_nt = and(_T_47, i0_predict_br) @[el2_dec_decode_ctl.scala 280:71] - node _T_48 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 281:51] - node _T_49 = and(_T_48, i0_brp_valid) @[el2_dec_decode_ctl.scala 281:55] - node i0_predict_t = and(_T_49, i0_predict_br) @[el2_dec_decode_ctl.scala 281:71] - node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 282:20] - io.i0_ap.predict_nt <= i0_predict_nt @[el2_dec_decode_ctl.scala 284:26] - io.i0_ap.predict_t <= i0_predict_t @[el2_dec_decode_ctl.scala 285:26] - io.i0_ap.add <= i0_dp.add @[el2_dec_decode_ctl.scala 287:20] - io.i0_ap.sub <= i0_dp.sub @[el2_dec_decode_ctl.scala 288:20] - io.i0_ap.land <= i0_dp.land @[el2_dec_decode_ctl.scala 289:20] - io.i0_ap.lor <= i0_dp.lor @[el2_dec_decode_ctl.scala 290:20] - io.i0_ap.lxor <= i0_dp.lxor @[el2_dec_decode_ctl.scala 291:20] - io.i0_ap.sll <= i0_dp.sll @[el2_dec_decode_ctl.scala 292:20] - io.i0_ap.srl <= i0_dp.srl @[el2_dec_decode_ctl.scala 293:20] - io.i0_ap.sra <= i0_dp.sra @[el2_dec_decode_ctl.scala 294:20] - io.i0_ap.slt <= i0_dp.slt @[el2_dec_decode_ctl.scala 295:20] - io.i0_ap.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 296:20] - io.i0_ap.beq <= i0_dp.beq @[el2_dec_decode_ctl.scala 297:20] - io.i0_ap.bne <= i0_dp.bne @[el2_dec_decode_ctl.scala 298:20] - io.i0_ap.blt <= i0_dp.blt @[el2_dec_decode_ctl.scala 299:20] - io.i0_ap.bge <= i0_dp.bge @[el2_dec_decode_ctl.scala 300:20] - io.i0_ap.csr_write <= i0_csr_write_only_d @[el2_dec_decode_ctl.scala 301:22] - io.i0_ap.csr_imm <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 302:22] - io.i0_ap.jal <= i0_jal @[el2_dec_decode_ctl.scala 303:22] - node _T_50 = eq(cam[0].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_51 = bits(_T_50, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_52 = shl(cam_write, 0) @[el2_dec_decode_ctl.scala 307:158] - node _T_53 = eq(cam[1].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_54 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_55 = bits(_T_53, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_56 = and(_T_54, _T_55) @[el2_dec_decode_ctl.scala 307:126] - node _T_57 = bits(_T_56, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_58 = shl(cam_write, 1) @[el2_dec_decode_ctl.scala 307:158] - node _T_59 = eq(cam[2].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_60 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_61 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_62 = and(_T_60, _T_61) @[el2_dec_decode_ctl.scala 307:126] - node _T_63 = bits(_T_62, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_64 = bits(_T_59, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_65 = and(_T_63, _T_64) @[el2_dec_decode_ctl.scala 307:126] - node _T_66 = bits(_T_65, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_67 = shl(cam_write, 2) @[el2_dec_decode_ctl.scala 307:158] - node _T_68 = eq(cam[3].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_69 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_70 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_71 = and(_T_69, _T_70) @[el2_dec_decode_ctl.scala 307:126] - node _T_72 = bits(_T_71, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_73 = bits(cam[2].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_74 = and(_T_72, _T_73) @[el2_dec_decode_ctl.scala 307:126] - node _T_75 = bits(_T_74, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_76 = bits(_T_68, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_77 = and(_T_75, _T_76) @[el2_dec_decode_ctl.scala 307:126] - node _T_78 = bits(_T_77, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_79 = shl(cam_write, 3) @[el2_dec_decode_ctl.scala 307:158] - node _T_80 = mux(_T_51, _T_52, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_81 = mux(_T_57, _T_58, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_82 = mux(_T_66, _T_67, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_83 = mux(_T_78, _T_79, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_84 = or(_T_80, _T_81) @[Mux.scala 27:72] - node _T_85 = or(_T_84, _T_82) @[Mux.scala 27:72] + node _T_17 = bits(data_gate_en, 0, 0) @[el2_dec_decode_ctl.scala 222:56] + inst rvclkhdr of rvclkhdr @[el2_lib.scala 483:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] + rvclkhdr.io.en <= _T_17 @[el2_lib.scala 485:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] + node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 226:62] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[el2_dec_decode_ctl.scala 226:60] + io.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 227:43] + io.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 228:43] + io.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 229:43] + io.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[el2_dec_decode_ctl.scala 230:43] + io.dec_i0_predict_p_d.bits.pja <= i0_pja @[el2_dec_decode_ctl.scala 231:43] + io.dec_i0_predict_p_d.bits.pret <= i0_pret @[el2_dec_decode_ctl.scala 232:43] + io.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[el2_dec_decode_ctl.scala 233:43] + io.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[el2_dec_decode_ctl.scala 234:43] + io.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[el2_dec_decode_ctl.scala 235:43] + node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 236:55] + io.dec_i0_predict_p_d.valid <= _T_19 @[el2_dec_decode_ctl.scala 236:38] + node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[el2_dec_decode_ctl.scala 237:75] + node _T_21 = or(_T_20, i0_pja_raw) @[el2_dec_decode_ctl.scala 237:90] + node _T_22 = or(_T_21, i0_pret_raw) @[el2_dec_decode_ctl.scala 237:103] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 237:56] + node i0_notbr_error = and(i0_brp_valid, _T_23) @[el2_dec_decode_ctl.scala 237:54] + node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 240:72] + node _T_25 = and(i0_brp_valid, _T_24) @[el2_dec_decode_ctl.scala 240:47] + node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[el2_dec_decode_ctl.scala 240:106] + node _T_27 = and(_T_25, _T_26) @[el2_dec_decode_ctl.scala 240:76] + node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 240:126] + node i0_br_toffset_error = and(_T_27, _T_28) @[el2_dec_decode_ctl.scala 240:124] + node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[el2_dec_decode_ctl.scala 241:47] + node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 241:74] + node i0_ret_error = and(_T_29, _T_30) @[el2_dec_decode_ctl.scala 241:72] + node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[el2_dec_decode_ctl.scala 242:62] + node _T_32 = or(_T_31, i0_br_toffset_error) @[el2_dec_decode_ctl.scala 242:79] + node i0_br_error = or(_T_32, i0_ret_error) @[el2_dec_decode_ctl.scala 242:101] + node _T_33 = and(i0_br_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 243:72] + node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 243:94] + node _T_35 = and(_T_33, _T_34) @[el2_dec_decode_ctl.scala 243:92] + io.dec_i0_predict_p_d.bits.br_error <= _T_35 @[el2_dec_decode_ctl.scala 243:56] + node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 244:94] + node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 244:116] + node _T_38 = and(_T_36, _T_37) @[el2_dec_decode_ctl.scala 244:114] + io.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[el2_dec_decode_ctl.scala 244:56] + io.i0_predict_index_d <= io.dec_i0_bp_index @[el2_dec_decode_ctl.scala 245:32] + io.i0_predict_btag_d <= io.dec_i0_bp_btag @[el2_dec_decode_ctl.scala 246:32] + node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[el2_dec_decode_ctl.scala 247:47] + node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 247:86] + node i0_br_error_all = and(_T_39, _T_40) @[el2_dec_decode_ctl.scala 247:84] + io.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[el2_dec_decode_ctl.scala 248:49] + io.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[el2_dec_decode_ctl.scala 249:32] + io.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[el2_dec_decode_ctl.scala 250:56] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[el2_dec_decode_ctl.scala 256:36] + i0_dp.legal <= i0_dp_raw.legal @[el2_dec_decode_ctl.scala 259:9] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[el2_dec_decode_ctl.scala 259:9] + i0_dp.fence_i <= i0_dp_raw.fence_i @[el2_dec_decode_ctl.scala 259:9] + i0_dp.fence <= i0_dp_raw.fence @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rem <= i0_dp_raw.rem @[el2_dec_decode_ctl.scala 259:9] + i0_dp.div <= i0_dp_raw.div @[el2_dec_decode_ctl.scala 259:9] + i0_dp.low <= i0_dp_raw.low @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[el2_dec_decode_ctl.scala 259:9] + i0_dp.mul <= i0_dp_raw.mul @[el2_dec_decode_ctl.scala 259:9] + i0_dp.mret <= i0_dp_raw.mret @[el2_dec_decode_ctl.scala 259:9] + i0_dp.ecall <= i0_dp_raw.ecall @[el2_dec_decode_ctl.scala 259:9] + i0_dp.ebreak <= i0_dp_raw.ebreak @[el2_dec_decode_ctl.scala 259:9] + i0_dp.postsync <= i0_dp_raw.postsync @[el2_dec_decode_ctl.scala 259:9] + i0_dp.presync <= i0_dp_raw.presync @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_write <= i0_dp_raw.csr_write @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_set <= i0_dp_raw.csr_set @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_read <= i0_dp_raw.csr_read @[el2_dec_decode_ctl.scala 259:9] + i0_dp.word <= i0_dp_raw.word @[el2_dec_decode_ctl.scala 259:9] + i0_dp.half <= i0_dp_raw.half @[el2_dec_decode_ctl.scala 259:9] + i0_dp.by <= i0_dp_raw.by @[el2_dec_decode_ctl.scala 259:9] + i0_dp.jal <= i0_dp_raw.jal @[el2_dec_decode_ctl.scala 259:9] + i0_dp.blt <= i0_dp_raw.blt @[el2_dec_decode_ctl.scala 259:9] + i0_dp.bge <= i0_dp_raw.bge @[el2_dec_decode_ctl.scala 259:9] + i0_dp.bne <= i0_dp_raw.bne @[el2_dec_decode_ctl.scala 259:9] + i0_dp.beq <= i0_dp_raw.beq @[el2_dec_decode_ctl.scala 259:9] + i0_dp.condbr <= i0_dp_raw.condbr @[el2_dec_decode_ctl.scala 259:9] + i0_dp.unsign <= i0_dp_raw.unsign @[el2_dec_decode_ctl.scala 259:9] + i0_dp.slt <= i0_dp_raw.slt @[el2_dec_decode_ctl.scala 259:9] + i0_dp.srl <= i0_dp_raw.srl @[el2_dec_decode_ctl.scala 259:9] + i0_dp.sra <= i0_dp_raw.sra @[el2_dec_decode_ctl.scala 259:9] + i0_dp.sll <= i0_dp_raw.sll @[el2_dec_decode_ctl.scala 259:9] + i0_dp.lxor <= i0_dp_raw.lxor @[el2_dec_decode_ctl.scala 259:9] + i0_dp.lor <= i0_dp_raw.lor @[el2_dec_decode_ctl.scala 259:9] + i0_dp.land <= i0_dp_raw.land @[el2_dec_decode_ctl.scala 259:9] + i0_dp.sub <= i0_dp_raw.sub @[el2_dec_decode_ctl.scala 259:9] + i0_dp.add <= i0_dp_raw.add @[el2_dec_decode_ctl.scala 259:9] + i0_dp.lsu <= i0_dp_raw.lsu @[el2_dec_decode_ctl.scala 259:9] + i0_dp.store <= i0_dp_raw.store @[el2_dec_decode_ctl.scala 259:9] + i0_dp.load <= i0_dp_raw.load @[el2_dec_decode_ctl.scala 259:9] + i0_dp.pc <= i0_dp_raw.pc @[el2_dec_decode_ctl.scala 259:9] + i0_dp.imm20 <= i0_dp_raw.imm20 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rd <= i0_dp_raw.rd @[el2_dec_decode_ctl.scala 259:9] + i0_dp.imm12 <= i0_dp_raw.imm12 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs2 <= i0_dp_raw.rs2 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs1 <= i0_dp_raw.rs1 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.alu <= i0_dp_raw.alu @[el2_dec_decode_ctl.scala 259:9] + node _T_41 = or(i0_br_error_all, i0_icaf_d) @[el2_dec_decode_ctl.scala 260:25] + node _T_42 = bits(_T_41, 0, 0) @[el2_dec_decode_ctl.scala 260:43] + when _T_42 : @[el2_dec_decode_ctl.scala 260:50] + wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 261:35] + _T_43.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.pm_alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.fence <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rem <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.div <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.mret <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.ecall <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.ebreak <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.postsync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.presync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_imm <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_write <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_set <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_clr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_read <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.jal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.blt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.bge <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.bne <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.beq <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.condbr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.slt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.srl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.sra <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.sll <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.lxor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.lor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.land <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.sub <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.add <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.lsu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.pc <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.imm20 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.shimm5 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rd <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.imm12 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs2 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + i0_dp.legal <= _T_43.legal @[el2_dec_decode_ctl.scala 261:20] + i0_dp.pm_alu <= _T_43.pm_alu @[el2_dec_decode_ctl.scala 261:20] + i0_dp.fence_i <= _T_43.fence_i @[el2_dec_decode_ctl.scala 261:20] + i0_dp.fence <= _T_43.fence @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rem <= _T_43.rem @[el2_dec_decode_ctl.scala 261:20] + i0_dp.div <= _T_43.div @[el2_dec_decode_ctl.scala 261:20] + i0_dp.low <= _T_43.low @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs2_sign <= _T_43.rs2_sign @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs1_sign <= _T_43.rs1_sign @[el2_dec_decode_ctl.scala 261:20] + i0_dp.mul <= _T_43.mul @[el2_dec_decode_ctl.scala 261:20] + i0_dp.mret <= _T_43.mret @[el2_dec_decode_ctl.scala 261:20] + i0_dp.ecall <= _T_43.ecall @[el2_dec_decode_ctl.scala 261:20] + i0_dp.ebreak <= _T_43.ebreak @[el2_dec_decode_ctl.scala 261:20] + i0_dp.postsync <= _T_43.postsync @[el2_dec_decode_ctl.scala 261:20] + i0_dp.presync <= _T_43.presync @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_imm <= _T_43.csr_imm @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_write <= _T_43.csr_write @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_set <= _T_43.csr_set @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_clr <= _T_43.csr_clr @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_read <= _T_43.csr_read @[el2_dec_decode_ctl.scala 261:20] + i0_dp.word <= _T_43.word @[el2_dec_decode_ctl.scala 261:20] + i0_dp.half <= _T_43.half @[el2_dec_decode_ctl.scala 261:20] + i0_dp.by <= _T_43.by @[el2_dec_decode_ctl.scala 261:20] + i0_dp.jal <= _T_43.jal @[el2_dec_decode_ctl.scala 261:20] + i0_dp.blt <= _T_43.blt @[el2_dec_decode_ctl.scala 261:20] + i0_dp.bge <= _T_43.bge @[el2_dec_decode_ctl.scala 261:20] + i0_dp.bne <= _T_43.bne @[el2_dec_decode_ctl.scala 261:20] + i0_dp.beq <= _T_43.beq @[el2_dec_decode_ctl.scala 261:20] + i0_dp.condbr <= _T_43.condbr @[el2_dec_decode_ctl.scala 261:20] + i0_dp.unsign <= _T_43.unsign @[el2_dec_decode_ctl.scala 261:20] + i0_dp.slt <= _T_43.slt @[el2_dec_decode_ctl.scala 261:20] + i0_dp.srl <= _T_43.srl @[el2_dec_decode_ctl.scala 261:20] + i0_dp.sra <= _T_43.sra @[el2_dec_decode_ctl.scala 261:20] + i0_dp.sll <= _T_43.sll @[el2_dec_decode_ctl.scala 261:20] + i0_dp.lxor <= _T_43.lxor @[el2_dec_decode_ctl.scala 261:20] + i0_dp.lor <= _T_43.lor @[el2_dec_decode_ctl.scala 261:20] + i0_dp.land <= _T_43.land @[el2_dec_decode_ctl.scala 261:20] + i0_dp.sub <= _T_43.sub @[el2_dec_decode_ctl.scala 261:20] + i0_dp.add <= _T_43.add @[el2_dec_decode_ctl.scala 261:20] + i0_dp.lsu <= _T_43.lsu @[el2_dec_decode_ctl.scala 261:20] + i0_dp.store <= _T_43.store @[el2_dec_decode_ctl.scala 261:20] + i0_dp.load <= _T_43.load @[el2_dec_decode_ctl.scala 261:20] + i0_dp.pc <= _T_43.pc @[el2_dec_decode_ctl.scala 261:20] + i0_dp.imm20 <= _T_43.imm20 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.shimm5 <= _T_43.shimm5 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rd <= _T_43.rd @[el2_dec_decode_ctl.scala 261:20] + i0_dp.imm12 <= _T_43.imm12 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs2 <= _T_43.rs2 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs1 <= _T_43.rs1 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.alu <= _T_43.alu @[el2_dec_decode_ctl.scala 261:20] + i0_dp.alu <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 262:20] + i0_dp.rs1 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 263:20] + i0_dp.rs2 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lor <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 265:20] + i0_dp.legal <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 266:20] + i0_dp.postsync <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 267:20] + skip @[el2_dec_decode_ctl.scala 260:50] + io.dec_i0_select_pc_d <= i0_dp.pc @[el2_dec_decode_ctl.scala 271:25] + node _T_44 = or(i0_dp.condbr, i0_pcall) @[el2_dec_decode_ctl.scala 274:38] + node _T_45 = or(_T_44, i0_pja) @[el2_dec_decode_ctl.scala 274:49] + node i0_predict_br = or(_T_45, i0_pret) @[el2_dec_decode_ctl.scala 274:58] + node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 276:51] + node _T_47 = and(_T_46, i0_brp_valid) @[el2_dec_decode_ctl.scala 276:55] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 276:26] + node i0_predict_nt = and(_T_48, i0_predict_br) @[el2_dec_decode_ctl.scala 276:71] + node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 277:51] + node _T_50 = and(_T_49, i0_brp_valid) @[el2_dec_decode_ctl.scala 277:55] + node i0_predict_t = and(_T_50, i0_predict_br) @[el2_dec_decode_ctl.scala 277:71] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 278:20] + io.i0_ap.predict_nt <= i0_predict_nt @[el2_dec_decode_ctl.scala 280:26] + io.i0_ap.predict_t <= i0_predict_t @[el2_dec_decode_ctl.scala 281:26] + io.i0_ap.add <= i0_dp.add @[el2_dec_decode_ctl.scala 283:20] + io.i0_ap.sub <= i0_dp.sub @[el2_dec_decode_ctl.scala 284:20] + io.i0_ap.land <= i0_dp.land @[el2_dec_decode_ctl.scala 285:20] + io.i0_ap.lor <= i0_dp.lor @[el2_dec_decode_ctl.scala 286:20] + io.i0_ap.lxor <= i0_dp.lxor @[el2_dec_decode_ctl.scala 287:20] + io.i0_ap.sll <= i0_dp.sll @[el2_dec_decode_ctl.scala 288:20] + io.i0_ap.srl <= i0_dp.srl @[el2_dec_decode_ctl.scala 289:20] + io.i0_ap.sra <= i0_dp.sra @[el2_dec_decode_ctl.scala 290:20] + io.i0_ap.slt <= i0_dp.slt @[el2_dec_decode_ctl.scala 291:20] + io.i0_ap.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 292:20] + io.i0_ap.beq <= i0_dp.beq @[el2_dec_decode_ctl.scala 293:20] + io.i0_ap.bne <= i0_dp.bne @[el2_dec_decode_ctl.scala 294:20] + io.i0_ap.blt <= i0_dp.blt @[el2_dec_decode_ctl.scala 295:20] + io.i0_ap.bge <= i0_dp.bge @[el2_dec_decode_ctl.scala 296:20] + io.i0_ap.csr_write <= i0_csr_write_only_d @[el2_dec_decode_ctl.scala 297:22] + io.i0_ap.csr_imm <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 298:22] + io.i0_ap.jal <= i0_jal @[el2_dec_decode_ctl.scala 299:22] + node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_52 = bits(_T_51, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_53 = shl(cam_write, 0) @[el2_dec_decode_ctl.scala 303:158] + node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_55 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_56 = bits(_T_54, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_57 = and(_T_55, _T_56) @[el2_dec_decode_ctl.scala 303:126] + node _T_58 = bits(_T_57, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_59 = shl(cam_write, 1) @[el2_dec_decode_ctl.scala 303:158] + node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_61 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_62 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_63 = and(_T_61, _T_62) @[el2_dec_decode_ctl.scala 303:126] + node _T_64 = bits(_T_63, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_65 = bits(_T_60, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_66 = and(_T_64, _T_65) @[el2_dec_decode_ctl.scala 303:126] + node _T_67 = bits(_T_66, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_68 = shl(cam_write, 2) @[el2_dec_decode_ctl.scala 303:158] + node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_70 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_71 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_72 = and(_T_70, _T_71) @[el2_dec_decode_ctl.scala 303:126] + node _T_73 = bits(_T_72, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_74 = bits(cam[2].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_75 = and(_T_73, _T_74) @[el2_dec_decode_ctl.scala 303:126] + node _T_76 = bits(_T_75, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_77 = bits(_T_69, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_78 = and(_T_76, _T_77) @[el2_dec_decode_ctl.scala 303:126] + node _T_79 = bits(_T_78, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_80 = shl(cam_write, 3) @[el2_dec_decode_ctl.scala 303:158] + node _T_81 = mux(_T_52, _T_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_58, _T_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_67, _T_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_79, _T_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = or(_T_81, _T_82) @[Mux.scala 27:72] node _T_86 = or(_T_85, _T_83) @[Mux.scala 27:72] - wire _T_87 : UInt<4> @[Mux.scala 27:72] - _T_87 <= _T_86 @[Mux.scala 27:72] - cam_wen <= _T_87 @[el2_dec_decode_ctl.scala 307:11] - cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 309:25] - node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 310:54] - node cam_inv_reset_tag = bits(io.lsu_nonblock_load_inv_tag_r, 1, 0) @[el2_dec_decode_ctl.scala 313:59] - node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 315:63] - node cam_data_reset_tag = bits(io.lsu_nonblock_load_data_tag, 1, 0) @[el2_dec_decode_ctl.scala 316:60] - node _T_88 = bits(x_d.bits.i0load, 0, 0) @[el2_dec_decode_ctl.scala 318:48] - node nonblock_load_rd = mux(_T_88, x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 318:31] - node _T_89 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 322:116] + node _T_87 = or(_T_86, _T_84) @[Mux.scala 27:72] + wire _T_88 : UInt<4> @[Mux.scala 27:72] + _T_88 <= _T_87 @[Mux.scala 27:72] + cam_wen <= _T_88 @[el2_dec_decode_ctl.scala 303:11] + cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 305:25] + node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 306:54] + node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 311:63] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[el2_dec_decode_ctl.scala 314:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 314:31] + node _T_90 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 318:116] reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_89 : @[Reg.scala 28:19] + when _T_90 : @[Reg.scala 28:19] nonblock_load_valid_m_delay <= io.lsu_nonblock_load_valid_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 323:56] - node _T_90 = eq(cam_inv_reset_tag, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_91 = and(io.lsu_nonblock_load_inv_r, _T_90) @[el2_dec_decode_ctl.scala 325:45] - node _T_92 = and(_T_91, cam[0].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[0] <= _T_92 @[el2_dec_decode_ctl.scala 325:26] - node _T_93 = eq(cam_data_reset_tag, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_94 = and(cam_data_reset, _T_93) @[el2_dec_decode_ctl.scala 326:45] - node _T_95 = and(_T_94, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[0] <= _T_95 @[el2_dec_decode_ctl.scala 326:27] - wire _T_96 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_96.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_96.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_96.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_96.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[0].bits.rd <= _T_96.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[0].bits.tag <= _T_96.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[0].bits.wb <= _T_96.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[0].valid <= _T_96.valid @[el2_dec_decode_ctl.scala 327:14] - cam[0].bits.rd <= cam_raw[0].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[0].bits.tag <= cam_raw[0].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[0].bits.wb <= cam_raw[0].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[0].valid <= cam_raw[0].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_97 = bits(cam_data_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_97 : @[el2_dec_decode_ctl.scala 330:39] - cam[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_98 = bits(cam_wen, 0, 0) @[el2_dec_decode_ctl.scala 333:17] - node _T_99 = bits(_T_98, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_99 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[0].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[0].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[0].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[0].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_100 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_101 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_102 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_103 = and(_T_101, _T_102) @[el2_dec_decode_ctl.scala 338:64] - node _T_104 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_105 = and(_T_103, _T_104) @[el2_dec_decode_ctl.scala 338:105] - node _T_106 = or(_T_100, _T_105) @[el2_dec_decode_ctl.scala 338:44] - when _T_106 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[0].bits.rd <= cam[0].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[0].bits.tag <= cam[0].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[0].bits.wb <= cam[0].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[0].valid <= cam[0].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_107 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_108 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_109 = and(_T_107, _T_108) @[el2_dec_decode_ctl.scala 343:44] - node _T_110 = eq(cam[0].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_111 = and(_T_109, _T_110) @[el2_dec_decode_ctl.scala 343:100] - when _T_111 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[0].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_112 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_112.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_112.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_112.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_112.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_112)) @[el2_dec_decode_ctl.scala 351:47] - _T_113.bits.rd <= cam_in[0].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_113.bits.tag <= cam_in[0].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_113.bits.wb <= cam_in[0].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_113.valid <= cam_in[0].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[0].bits.rd <= _T_113.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[0].bits.tag <= _T_113.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[0].bits.wb <= _T_113.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[0].valid <= _T_113.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_114 = eq(io.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_115 = and(_T_114, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[0] <= _T_115 @[el2_dec_decode_ctl.scala 352:28] - node _T_116 = eq(cam_inv_reset_tag, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_117 = and(io.lsu_nonblock_load_inv_r, _T_116) @[el2_dec_decode_ctl.scala 325:45] - node _T_118 = and(_T_117, cam[1].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[1] <= _T_118 @[el2_dec_decode_ctl.scala 325:26] - node _T_119 = eq(cam_data_reset_tag, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_120 = and(cam_data_reset, _T_119) @[el2_dec_decode_ctl.scala 326:45] - node _T_121 = and(_T_120, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[1] <= _T_121 @[el2_dec_decode_ctl.scala 326:27] - wire _T_122 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_122.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_122.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_122.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_122.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[1].bits.rd <= _T_122.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[1].bits.tag <= _T_122.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[1].bits.wb <= _T_122.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[1].valid <= _T_122.valid @[el2_dec_decode_ctl.scala 327:14] - cam[1].bits.rd <= cam_raw[1].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[1].bits.tag <= cam_raw[1].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[1].bits.wb <= cam_raw[1].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[1].valid <= cam_raw[1].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_123 = bits(cam_data_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_123 : @[el2_dec_decode_ctl.scala 330:39] - cam[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_124 = bits(cam_wen, 1, 1) @[el2_dec_decode_ctl.scala 333:17] - node _T_125 = bits(_T_124, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_125 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[1].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[1].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[1].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[1].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_126 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_127 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_128 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_129 = and(_T_127, _T_128) @[el2_dec_decode_ctl.scala 338:64] - node _T_130 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_131 = and(_T_129, _T_130) @[el2_dec_decode_ctl.scala 338:105] - node _T_132 = or(_T_126, _T_131) @[el2_dec_decode_ctl.scala 338:44] - when _T_132 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[1].bits.rd <= cam[1].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[1].bits.tag <= cam[1].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[1].bits.wb <= cam[1].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[1].valid <= cam[1].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_133 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_134 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_135 = and(_T_133, _T_134) @[el2_dec_decode_ctl.scala 343:44] - node _T_136 = eq(cam[1].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_137 = and(_T_135, _T_136) @[el2_dec_decode_ctl.scala 343:100] - when _T_137 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[1].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_138 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_138.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_138.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_138.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_138.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_138)) @[el2_dec_decode_ctl.scala 351:47] - _T_139.bits.rd <= cam_in[1].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_139.bits.tag <= cam_in[1].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_139.bits.wb <= cam_in[1].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_139.valid <= cam_in[1].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[1].bits.rd <= _T_139.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[1].bits.tag <= _T_139.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[1].bits.wb <= _T_139.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[1].valid <= _T_139.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_140 = eq(io.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_141 = and(_T_140, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[1] <= _T_141 @[el2_dec_decode_ctl.scala 352:28] - node _T_142 = eq(cam_inv_reset_tag, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_143 = and(io.lsu_nonblock_load_inv_r, _T_142) @[el2_dec_decode_ctl.scala 325:45] - node _T_144 = and(_T_143, cam[2].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[2] <= _T_144 @[el2_dec_decode_ctl.scala 325:26] - node _T_145 = eq(cam_data_reset_tag, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_146 = and(cam_data_reset, _T_145) @[el2_dec_decode_ctl.scala 326:45] - node _T_147 = and(_T_146, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[2] <= _T_147 @[el2_dec_decode_ctl.scala 326:27] - wire _T_148 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_148.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_148.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_148.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_148.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[2].bits.rd <= _T_148.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[2].bits.tag <= _T_148.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[2].bits.wb <= _T_148.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[2].valid <= _T_148.valid @[el2_dec_decode_ctl.scala 327:14] - cam[2].bits.rd <= cam_raw[2].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[2].bits.tag <= cam_raw[2].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[2].bits.wb <= cam_raw[2].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[2].valid <= cam_raw[2].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_149 = bits(cam_data_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_149 : @[el2_dec_decode_ctl.scala 330:39] - cam[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_150 = bits(cam_wen, 2, 2) @[el2_dec_decode_ctl.scala 333:17] - node _T_151 = bits(_T_150, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_151 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[2].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[2].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[2].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[2].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_152 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_153 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_154 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_155 = and(_T_153, _T_154) @[el2_dec_decode_ctl.scala 338:64] - node _T_156 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_157 = and(_T_155, _T_156) @[el2_dec_decode_ctl.scala 338:105] - node _T_158 = or(_T_152, _T_157) @[el2_dec_decode_ctl.scala 338:44] - when _T_158 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[2].bits.rd <= cam[2].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[2].bits.tag <= cam[2].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[2].bits.wb <= cam[2].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[2].valid <= cam[2].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_159 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_160 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_161 = and(_T_159, _T_160) @[el2_dec_decode_ctl.scala 343:44] - node _T_162 = eq(cam[2].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_163 = and(_T_161, _T_162) @[el2_dec_decode_ctl.scala 343:100] - when _T_163 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[2].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_164 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_164.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_164.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_164.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_164.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_164)) @[el2_dec_decode_ctl.scala 351:47] - _T_165.bits.rd <= cam_in[2].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_165.bits.tag <= cam_in[2].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_165.bits.wb <= cam_in[2].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_165.valid <= cam_in[2].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[2].bits.rd <= _T_165.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[2].bits.tag <= _T_165.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[2].bits.wb <= _T_165.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[2].valid <= _T_165.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_166 = eq(io.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_167 = and(_T_166, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[2] <= _T_167 @[el2_dec_decode_ctl.scala 352:28] - node _T_168 = eq(cam_inv_reset_tag, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_169 = and(io.lsu_nonblock_load_inv_r, _T_168) @[el2_dec_decode_ctl.scala 325:45] - node _T_170 = and(_T_169, cam[3].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[3] <= _T_170 @[el2_dec_decode_ctl.scala 325:26] - node _T_171 = eq(cam_data_reset_tag, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_172 = and(cam_data_reset, _T_171) @[el2_dec_decode_ctl.scala 326:45] - node _T_173 = and(_T_172, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[3] <= _T_173 @[el2_dec_decode_ctl.scala 326:27] - wire _T_174 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_174.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_174.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_174.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_174.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[3].bits.rd <= _T_174.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[3].bits.tag <= _T_174.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[3].bits.wb <= _T_174.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[3].valid <= _T_174.valid @[el2_dec_decode_ctl.scala 327:14] - cam[3].bits.rd <= cam_raw[3].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[3].bits.tag <= cam_raw[3].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[3].bits.wb <= cam_raw[3].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[3].valid <= cam_raw[3].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_175 = bits(cam_data_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_175 : @[el2_dec_decode_ctl.scala 330:39] - cam[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_176 = bits(cam_wen, 3, 3) @[el2_dec_decode_ctl.scala 333:17] - node _T_177 = bits(_T_176, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_177 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[3].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[3].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[3].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[3].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_178 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_179 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_180 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_181 = and(_T_179, _T_180) @[el2_dec_decode_ctl.scala 338:64] - node _T_182 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_183 = and(_T_181, _T_182) @[el2_dec_decode_ctl.scala 338:105] - node _T_184 = or(_T_178, _T_183) @[el2_dec_decode_ctl.scala 338:44] - when _T_184 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[3].bits.rd <= cam[3].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[3].bits.tag <= cam[3].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[3].bits.wb <= cam[3].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[3].valid <= cam[3].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_185 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_186 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_187 = and(_T_185, _T_186) @[el2_dec_decode_ctl.scala 343:44] - node _T_188 = eq(cam[3].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_189 = and(_T_187, _T_188) @[el2_dec_decode_ctl.scala 343:100] - when _T_189 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[3].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_190 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_190.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_190.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_190.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_190.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_190)) @[el2_dec_decode_ctl.scala 351:47] - _T_191.bits.rd <= cam_in[3].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_191.bits.tag <= cam_in[3].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_191.bits.wb <= cam_in[3].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_191.valid <= cam_in[3].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[3].bits.rd <= _T_191.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[3].bits.tag <= _T_191.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[3].bits.wb <= _T_191.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[3].valid <= _T_191.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_192 = eq(io.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_193 = and(_T_192, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[3] <= _T_193 @[el2_dec_decode_ctl.scala 352:28] - io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 355:29] - node _T_194 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 357:49] - node nonblock_load_cancel = and(_T_194, i0_wen_r) @[el2_dec_decode_ctl.scala 357:81] - node _T_195 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 358:95] - node _T_196 = or(_T_195, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 358:95] - node _T_197 = or(_T_196, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 358:95] - node _T_198 = bits(_T_197, 0, 0) @[el2_dec_decode_ctl.scala 358:99] - node _T_199 = and(io.lsu_nonblock_load_data_valid, _T_198) @[el2_dec_decode_ctl.scala 358:64] - node _T_200 = eq(nonblock_load_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 358:109] - node _T_201 = and(_T_199, _T_200) @[el2_dec_decode_ctl.scala 358:106] - io.dec_nonblock_load_wen <= _T_201 @[el2_dec_decode_ctl.scala 358:28] - node _T_202 = eq(nonblock_load_rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:54] - node _T_203 = and(_T_202, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 359:66] - node _T_204 = and(_T_203, io.dec_i0_rs1_en_d) @[el2_dec_decode_ctl.scala 359:97] - node _T_205 = eq(nonblock_load_rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:137] - node _T_206 = and(_T_205, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 359:149] - node _T_207 = and(_T_206, io.dec_i0_rs2_en_d) @[el2_dec_decode_ctl.scala 359:180] - node i0_nonblock_boundary_stall = or(_T_204, _T_207) @[el2_dec_decode_ctl.scala 359:118] - i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[el2_dec_decode_ctl.scala 361:26] - node _T_208 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] - node _T_209 = mux(_T_208, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_210 = and(_T_209, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_211 = and(io.dec_i0_rs1_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_212 = eq(cam[0].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_213 = and(_T_211, _T_212) @[el2_dec_decode_ctl.scala 363:141] - node _T_214 = and(io.dec_i0_rs2_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_215 = eq(cam[0].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_216 = and(_T_214, _T_215) @[el2_dec_decode_ctl.scala 363:207] - node _T_217 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] - node _T_218 = mux(_T_217, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_219 = and(_T_218, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_220 = and(io.dec_i0_rs1_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_221 = eq(cam[1].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_222 = and(_T_220, _T_221) @[el2_dec_decode_ctl.scala 363:141] - node _T_223 = and(io.dec_i0_rs2_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_224 = eq(cam[1].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_225 = and(_T_223, _T_224) @[el2_dec_decode_ctl.scala 363:207] - node _T_226 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] - node _T_227 = mux(_T_226, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_228 = and(_T_227, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_229 = and(io.dec_i0_rs1_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_230 = eq(cam[2].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_231 = and(_T_229, _T_230) @[el2_dec_decode_ctl.scala 363:141] - node _T_232 = and(io.dec_i0_rs2_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_233 = eq(cam[2].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_234 = and(_T_232, _T_233) @[el2_dec_decode_ctl.scala 363:207] - node _T_235 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] - node _T_236 = mux(_T_235, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_237 = and(_T_236, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_238 = and(io.dec_i0_rs1_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_239 = eq(cam[3].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_240 = and(_T_238, _T_239) @[el2_dec_decode_ctl.scala 363:141] - node _T_241 = and(io.dec_i0_rs2_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_242 = eq(cam[3].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_243 = and(_T_241, _T_242) @[el2_dec_decode_ctl.scala 363:207] - node _T_244 = or(_T_210, _T_219) @[el2_dec_decode_ctl.scala 364:69] - node _T_245 = or(_T_244, _T_228) @[el2_dec_decode_ctl.scala 364:69] - node waddr = or(_T_245, _T_237) @[el2_dec_decode_ctl.scala 364:69] - node _T_246 = or(_T_213, _T_222) @[el2_dec_decode_ctl.scala 364:102] - node _T_247 = or(_T_246, _T_231) @[el2_dec_decode_ctl.scala 364:102] - node ld_stall_1 = or(_T_247, _T_240) @[el2_dec_decode_ctl.scala 364:102] - node _T_248 = or(_T_216, _T_225) @[el2_dec_decode_ctl.scala 364:134] - node _T_249 = or(_T_248, _T_234) @[el2_dec_decode_ctl.scala 364:134] - node ld_stall_2 = or(_T_249, _T_243) @[el2_dec_decode_ctl.scala 364:134] - io.dec_nonblock_load_waddr <= waddr @[el2_dec_decode_ctl.scala 365:29] - node _T_250 = or(ld_stall_1, ld_stall_2) @[el2_dec_decode_ctl.scala 366:38] - node _T_251 = or(_T_250, i0_nonblock_boundary_stall) @[el2_dec_decode_ctl.scala 366:51] - i0_nonblock_load_stall <= _T_251 @[el2_dec_decode_ctl.scala 366:25] - node _T_252 = eq(i0_predict_br, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 375:34] - node i0_br_unpred = and(i0_dp.jal, _T_252) @[el2_dec_decode_ctl.scala 375:32] - node _T_253 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] - node _T_254 = mux(_T_253, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_255 = and(csr_read, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 387:16] - node _T_256 = bits(_T_255, 0, 0) @[el2_dec_decode_ctl.scala 387:30] - node _T_257 = eq(csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 388:6] - node _T_258 = and(_T_257, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 388:16] - node _T_259 = bits(_T_258, 0, 0) @[el2_dec_decode_ctl.scala 388:30] - node _T_260 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 389:18] - node _T_261 = and(csr_read, _T_260) @[el2_dec_decode_ctl.scala 389:16] - node _T_262 = bits(_T_261, 0, 0) @[el2_dec_decode_ctl.scala 389:30] - node _T_263 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] - node _T_264 = mux(i0_dp.load, UInt<4>("h02"), _T_263) @[Mux.scala 98:16] - node _T_265 = mux(i0_dp.store, UInt<4>("h03"), _T_264) @[Mux.scala 98:16] - node _T_266 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_265) @[Mux.scala 98:16] - node _T_267 = mux(_T_262, UInt<4>("h05"), _T_266) @[Mux.scala 98:16] - node _T_268 = mux(_T_259, UInt<4>("h06"), _T_267) @[Mux.scala 98:16] - node _T_269 = mux(_T_256, UInt<4>("h07"), _T_268) @[Mux.scala 98:16] - node _T_270 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_269) @[Mux.scala 98:16] - node _T_271 = mux(i0_dp.ecall, UInt<4>("h09"), _T_270) @[Mux.scala 98:16] - node _T_272 = mux(i0_dp.fence, UInt<4>("h0a"), _T_271) @[Mux.scala 98:16] - node _T_273 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_272) @[Mux.scala 98:16] - node _T_274 = mux(i0_dp.mret, UInt<4>("h0c"), _T_273) @[Mux.scala 98:16] - node _T_275 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_274) @[Mux.scala 98:16] - node _T_276 = mux(i0_dp.jal, UInt<4>("h0e"), _T_275) @[Mux.scala 98:16] - node _T_277 = and(_T_254, _T_276) @[el2_dec_decode_ctl.scala 379:49] - d_t.pmu_i0_itype <= _T_277 @[el2_dec_decode_ctl.scala 379:21] - inst i0_dec of el2_dec_dec_ctl @[el2_dec_decode_ctl.scala 396:22] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 319:56] + node _T_91 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_92 = and(io.lsu_nonblock_load_inv_r, _T_91) @[el2_dec_decode_ctl.scala 321:45] + node _T_93 = and(_T_92, cam[0].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[0] <= _T_93 @[el2_dec_decode_ctl.scala 321:26] + node _T_94 = eq(io.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_95 = and(cam_data_reset, _T_94) @[el2_dec_decode_ctl.scala 322:45] + node _T_96 = and(_T_95, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[0] <= _T_96 @[el2_dec_decode_ctl.scala 322:27] + wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_97.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_97.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_97.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_97.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[0].bits.rd <= _T_97.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[0].bits.tag <= _T_97.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[0].bits.wb <= _T_97.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[0].valid <= _T_97.valid @[el2_dec_decode_ctl.scala 323:14] + cam[0].bits.rd <= cam_raw[0].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[0].bits.tag <= cam_raw[0].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[0].bits.wb <= cam_raw[0].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[0].valid <= cam_raw[0].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_98 : @[el2_dec_decode_ctl.scala 326:39] + cam[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_99 = bits(cam_wen, 0, 0) @[el2_dec_decode_ctl.scala 329:17] + node _T_100 = bits(_T_99, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_100 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[0].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[0].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[0].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[0].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_102 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_104 = and(_T_102, _T_103) @[el2_dec_decode_ctl.scala 334:64] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_106 = and(_T_104, _T_105) @[el2_dec_decode_ctl.scala 334:105] + node _T_107 = or(_T_101, _T_106) @[el2_dec_decode_ctl.scala 334:44] + when _T_107 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[0].bits.rd <= cam[0].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[0].bits.tag <= cam[0].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[0].bits.wb <= cam[0].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[0].valid <= cam[0].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_109 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_110 = and(_T_108, _T_109) @[el2_dec_decode_ctl.scala 339:44] + node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_112 = and(_T_110, _T_111) @[el2_dec_decode_ctl.scala 339:100] + when _T_112 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[0].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_113.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_113.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_113.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_113.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[el2_dec_decode_ctl.scala 347:47] + _T_114.bits.rd <= cam_in[0].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_114.bits.tag <= cam_in[0].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_114.bits.wb <= cam_in[0].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_114.valid <= cam_in[0].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[0].bits.rd <= _T_114.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[0].bits.tag <= _T_114.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[0].bits.wb <= _T_114.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[0].valid <= _T_114.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_115 = eq(io.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_116 = and(_T_115, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[0] <= _T_116 @[el2_dec_decode_ctl.scala 348:28] + node _T_117 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_118 = and(io.lsu_nonblock_load_inv_r, _T_117) @[el2_dec_decode_ctl.scala 321:45] + node _T_119 = and(_T_118, cam[1].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[1] <= _T_119 @[el2_dec_decode_ctl.scala 321:26] + node _T_120 = eq(io.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_121 = and(cam_data_reset, _T_120) @[el2_dec_decode_ctl.scala 322:45] + node _T_122 = and(_T_121, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[1] <= _T_122 @[el2_dec_decode_ctl.scala 322:27] + wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_123.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_123.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_123.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_123.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[1].bits.rd <= _T_123.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[1].bits.tag <= _T_123.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[1].bits.wb <= _T_123.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[1].valid <= _T_123.valid @[el2_dec_decode_ctl.scala 323:14] + cam[1].bits.rd <= cam_raw[1].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[1].bits.tag <= cam_raw[1].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[1].bits.wb <= cam_raw[1].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[1].valid <= cam_raw[1].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_124 : @[el2_dec_decode_ctl.scala 326:39] + cam[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_125 = bits(cam_wen, 1, 1) @[el2_dec_decode_ctl.scala 329:17] + node _T_126 = bits(_T_125, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_126 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[1].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[1].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[1].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[1].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_128 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_130 = and(_T_128, _T_129) @[el2_dec_decode_ctl.scala 334:64] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_132 = and(_T_130, _T_131) @[el2_dec_decode_ctl.scala 334:105] + node _T_133 = or(_T_127, _T_132) @[el2_dec_decode_ctl.scala 334:44] + when _T_133 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[1].bits.rd <= cam[1].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[1].bits.tag <= cam[1].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[1].bits.wb <= cam[1].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[1].valid <= cam[1].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_135 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_136 = and(_T_134, _T_135) @[el2_dec_decode_ctl.scala 339:44] + node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_138 = and(_T_136, _T_137) @[el2_dec_decode_ctl.scala 339:100] + when _T_138 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[1].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_139.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_139.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_139.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_139.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[el2_dec_decode_ctl.scala 347:47] + _T_140.bits.rd <= cam_in[1].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_140.bits.tag <= cam_in[1].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_140.bits.wb <= cam_in[1].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_140.valid <= cam_in[1].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[1].bits.rd <= _T_140.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[1].bits.tag <= _T_140.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[1].bits.wb <= _T_140.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[1].valid <= _T_140.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_141 = eq(io.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_142 = and(_T_141, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[1] <= _T_142 @[el2_dec_decode_ctl.scala 348:28] + node _T_143 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_144 = and(io.lsu_nonblock_load_inv_r, _T_143) @[el2_dec_decode_ctl.scala 321:45] + node _T_145 = and(_T_144, cam[2].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[2] <= _T_145 @[el2_dec_decode_ctl.scala 321:26] + node _T_146 = eq(io.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_147 = and(cam_data_reset, _T_146) @[el2_dec_decode_ctl.scala 322:45] + node _T_148 = and(_T_147, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[2] <= _T_148 @[el2_dec_decode_ctl.scala 322:27] + wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_149.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_149.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_149.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_149.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[2].bits.rd <= _T_149.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[2].bits.tag <= _T_149.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[2].bits.wb <= _T_149.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[2].valid <= _T_149.valid @[el2_dec_decode_ctl.scala 323:14] + cam[2].bits.rd <= cam_raw[2].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[2].bits.tag <= cam_raw[2].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[2].bits.wb <= cam_raw[2].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[2].valid <= cam_raw[2].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_150 : @[el2_dec_decode_ctl.scala 326:39] + cam[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_151 = bits(cam_wen, 2, 2) @[el2_dec_decode_ctl.scala 329:17] + node _T_152 = bits(_T_151, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_152 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[2].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[2].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[2].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[2].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_154 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_156 = and(_T_154, _T_155) @[el2_dec_decode_ctl.scala 334:64] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_158 = and(_T_156, _T_157) @[el2_dec_decode_ctl.scala 334:105] + node _T_159 = or(_T_153, _T_158) @[el2_dec_decode_ctl.scala 334:44] + when _T_159 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[2].bits.rd <= cam[2].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[2].bits.tag <= cam[2].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[2].bits.wb <= cam[2].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[2].valid <= cam[2].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_161 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_162 = and(_T_160, _T_161) @[el2_dec_decode_ctl.scala 339:44] + node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_164 = and(_T_162, _T_163) @[el2_dec_decode_ctl.scala 339:100] + when _T_164 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[2].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_165.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_165.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_165.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_165.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[el2_dec_decode_ctl.scala 347:47] + _T_166.bits.rd <= cam_in[2].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_166.bits.tag <= cam_in[2].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_166.bits.wb <= cam_in[2].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_166.valid <= cam_in[2].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[2].bits.rd <= _T_166.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[2].bits.tag <= _T_166.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[2].bits.wb <= _T_166.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[2].valid <= _T_166.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_167 = eq(io.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_168 = and(_T_167, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[2] <= _T_168 @[el2_dec_decode_ctl.scala 348:28] + node _T_169 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_170 = and(io.lsu_nonblock_load_inv_r, _T_169) @[el2_dec_decode_ctl.scala 321:45] + node _T_171 = and(_T_170, cam[3].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[3] <= _T_171 @[el2_dec_decode_ctl.scala 321:26] + node _T_172 = eq(io.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_173 = and(cam_data_reset, _T_172) @[el2_dec_decode_ctl.scala 322:45] + node _T_174 = and(_T_173, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[3] <= _T_174 @[el2_dec_decode_ctl.scala 322:27] + wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_175.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_175.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_175.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_175.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[3].bits.rd <= _T_175.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[3].bits.tag <= _T_175.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[3].bits.wb <= _T_175.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[3].valid <= _T_175.valid @[el2_dec_decode_ctl.scala 323:14] + cam[3].bits.rd <= cam_raw[3].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[3].bits.tag <= cam_raw[3].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[3].bits.wb <= cam_raw[3].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[3].valid <= cam_raw[3].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_176 : @[el2_dec_decode_ctl.scala 326:39] + cam[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_177 = bits(cam_wen, 3, 3) @[el2_dec_decode_ctl.scala 329:17] + node _T_178 = bits(_T_177, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_178 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[3].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[3].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[3].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[3].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_180 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_182 = and(_T_180, _T_181) @[el2_dec_decode_ctl.scala 334:64] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_184 = and(_T_182, _T_183) @[el2_dec_decode_ctl.scala 334:105] + node _T_185 = or(_T_179, _T_184) @[el2_dec_decode_ctl.scala 334:44] + when _T_185 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[3].bits.rd <= cam[3].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[3].bits.tag <= cam[3].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[3].bits.wb <= cam[3].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[3].valid <= cam[3].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_187 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_188 = and(_T_186, _T_187) @[el2_dec_decode_ctl.scala 339:44] + node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_190 = and(_T_188, _T_189) @[el2_dec_decode_ctl.scala 339:100] + when _T_190 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[3].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_191.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_191.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_191.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_191.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[el2_dec_decode_ctl.scala 347:47] + _T_192.bits.rd <= cam_in[3].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_192.bits.tag <= cam_in[3].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_192.bits.wb <= cam_in[3].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_192.valid <= cam_in[3].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[3].bits.rd <= _T_192.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[3].bits.tag <= _T_192.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[3].bits.wb <= _T_192.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[3].valid <= _T_192.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_193 = eq(io.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_194 = and(_T_193, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[3] <= _T_194 @[el2_dec_decode_ctl.scala 348:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:29] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 353:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[el2_dec_decode_ctl.scala 353:81] + node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 354:95] + node _T_197 = or(_T_196, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 354:95] + node _T_198 = or(_T_197, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 354:95] + node _T_199 = bits(_T_198, 0, 0) @[el2_dec_decode_ctl.scala 354:99] + node _T_200 = and(io.lsu_nonblock_load_data_valid, _T_199) @[el2_dec_decode_ctl.scala 354:64] + node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 354:109] + node _T_202 = and(_T_200, _T_201) @[el2_dec_decode_ctl.scala 354:106] + io.dec_nonblock_load_wen <= _T_202 @[el2_dec_decode_ctl.scala 354:28] + node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[el2_dec_decode_ctl.scala 355:54] + node _T_204 = and(_T_203, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 355:66] + node _T_205 = and(_T_204, io.dec_i0_rs1_en_d) @[el2_dec_decode_ctl.scala 355:97] + node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[el2_dec_decode_ctl.scala 355:137] + node _T_207 = and(_T_206, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 355:149] + node _T_208 = and(_T_207, io.dec_i0_rs2_en_d) @[el2_dec_decode_ctl.scala 355:180] + node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[el2_dec_decode_ctl.scala 355:118] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[el2_dec_decode_ctl.scala 357:26] + node _T_209 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] + node _T_210 = mux(_T_209, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_211 = and(_T_210, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_212 = and(io.dec_i0_rs1_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_214 = and(_T_212, _T_213) @[el2_dec_decode_ctl.scala 359:141] + node _T_215 = and(io.dec_i0_rs2_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_217 = and(_T_215, _T_216) @[el2_dec_decode_ctl.scala 359:207] + node _T_218 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] + node _T_219 = mux(_T_218, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_220 = and(_T_219, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_221 = and(io.dec_i0_rs1_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_223 = and(_T_221, _T_222) @[el2_dec_decode_ctl.scala 359:141] + node _T_224 = and(io.dec_i0_rs2_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_226 = and(_T_224, _T_225) @[el2_dec_decode_ctl.scala 359:207] + node _T_227 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] + node _T_228 = mux(_T_227, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_229 = and(_T_228, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_230 = and(io.dec_i0_rs1_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_232 = and(_T_230, _T_231) @[el2_dec_decode_ctl.scala 359:141] + node _T_233 = and(io.dec_i0_rs2_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_235 = and(_T_233, _T_234) @[el2_dec_decode_ctl.scala 359:207] + node _T_236 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] + node _T_237 = mux(_T_236, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_238 = and(_T_237, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_239 = and(io.dec_i0_rs1_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_241 = and(_T_239, _T_240) @[el2_dec_decode_ctl.scala 359:141] + node _T_242 = and(io.dec_i0_rs2_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_244 = and(_T_242, _T_243) @[el2_dec_decode_ctl.scala 359:207] + node _T_245 = or(_T_211, _T_220) @[el2_dec_decode_ctl.scala 360:69] + node _T_246 = or(_T_245, _T_229) @[el2_dec_decode_ctl.scala 360:69] + node waddr = or(_T_246, _T_238) @[el2_dec_decode_ctl.scala 360:69] + node _T_247 = or(_T_214, _T_223) @[el2_dec_decode_ctl.scala 360:102] + node _T_248 = or(_T_247, _T_232) @[el2_dec_decode_ctl.scala 360:102] + node ld_stall_1 = or(_T_248, _T_241) @[el2_dec_decode_ctl.scala 360:102] + node _T_249 = or(_T_217, _T_226) @[el2_dec_decode_ctl.scala 360:134] + node _T_250 = or(_T_249, _T_235) @[el2_dec_decode_ctl.scala 360:134] + node ld_stall_2 = or(_T_250, _T_244) @[el2_dec_decode_ctl.scala 360:134] + io.dec_nonblock_load_waddr <= waddr @[el2_dec_decode_ctl.scala 361:29] + node _T_251 = or(ld_stall_1, ld_stall_2) @[el2_dec_decode_ctl.scala 362:38] + node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[el2_dec_decode_ctl.scala 362:51] + i0_nonblock_load_stall <= _T_252 @[el2_dec_decode_ctl.scala 362:25] + node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 371:34] + node i0_br_unpred = and(i0_dp.jal, _T_253) @[el2_dec_decode_ctl.scala 371:32] + node _T_254 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 383:16] + node _T_257 = bits(_T_256, 0, 0) @[el2_dec_decode_ctl.scala 383:30] + node _T_258 = eq(csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 384:6] + node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 384:16] + node _T_260 = bits(_T_259, 0, 0) @[el2_dec_decode_ctl.scala 384:30] + node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 385:18] + node _T_262 = and(csr_read, _T_261) @[el2_dec_decode_ctl.scala 385:16] + node _T_263 = bits(_T_262, 0, 0) @[el2_dec_decode_ctl.scala 385:30] + node _T_264 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] + node _T_265 = mux(i0_dp.load, UInt<4>("h02"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(i0_dp.store, UInt<4>("h03"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_263, UInt<4>("h05"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_260, UInt<4>("h06"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_257, UInt<4>("h07"), _T_269) @[Mux.scala 98:16] + node _T_271 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_270) @[Mux.scala 98:16] + node _T_272 = mux(i0_dp.ecall, UInt<4>("h09"), _T_271) @[Mux.scala 98:16] + node _T_273 = mux(i0_dp.fence, UInt<4>("h0a"), _T_272) @[Mux.scala 98:16] + node _T_274 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_273) @[Mux.scala 98:16] + node _T_275 = mux(i0_dp.mret, UInt<4>("h0c"), _T_274) @[Mux.scala 98:16] + node _T_276 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_275) @[Mux.scala 98:16] + node _T_277 = mux(i0_dp.jal, UInt<4>("h0e"), _T_276) @[Mux.scala 98:16] + node _T_278 = and(_T_255, _T_277) @[el2_dec_decode_ctl.scala 375:49] + d_t.pmu_i0_itype <= _T_278 @[el2_dec_decode_ctl.scala 375:21] + inst i0_dec of el2_dec_dec_ctl @[el2_dec_decode_ctl.scala 392:22] i0_dec.clock <= clock i0_dec.reset <= reset - i0_dec.io.ins <= io.dec_i0_instr_d @[el2_dec_decode_ctl.scala 397:16] - i0_dp_raw.legal <= i0_dec.io.out.legal @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.fence <= i0_dec.io.out.fence @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rem <= i0_dec.io.out.rem @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.div <= i0_dec.io.out.div @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.low <= i0_dec.io.out.low @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.mul <= i0_dec.io.out.mul @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.mret <= i0_dec.io.out.mret @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.ecall <= i0_dec.io.out.ecall @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.postsync <= i0_dec.io.out.postsync @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.presync <= i0_dec.io.out.presync @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.word <= i0_dec.io.out.word @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.half <= i0_dec.io.out.half @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.by <= i0_dec.io.out.by @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.jal <= i0_dec.io.out.jal @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.blt <= i0_dec.io.out.blt @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.bge <= i0_dec.io.out.bge @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.bne <= i0_dec.io.out.bne @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.beq <= i0_dec.io.out.beq @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.condbr <= i0_dec.io.out.condbr @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.unsign <= i0_dec.io.out.unsign @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.slt <= i0_dec.io.out.slt @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.srl <= i0_dec.io.out.srl @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.sra <= i0_dec.io.out.sra @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.sll <= i0_dec.io.out.sll @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.lxor <= i0_dec.io.out.lxor @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.lor <= i0_dec.io.out.lor @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.land <= i0_dec.io.out.land @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.sub <= i0_dec.io.out.sub @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.add <= i0_dec.io.out.add @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.lsu <= i0_dec.io.out.lsu @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.store <= i0_dec.io.out.store @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.load <= i0_dec.io.out.load @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.pc <= i0_dec.io.out.pc @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rd <= i0_dec.io.out.rd @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.alu <= i0_dec.io.out.alu @[el2_dec_decode_ctl.scala 398:12] - reg _T_278 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 400:45] - _T_278 <= io.lsu_idle_any @[el2_dec_decode_ctl.scala 400:45] - lsu_idle <= _T_278 @[el2_dec_decode_ctl.scala 400:11] - node _T_279 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 403:73] - node _T_280 = and(leak1_i1_stall, _T_279) @[el2_dec_decode_ctl.scala 403:71] - node _T_281 = or(io.dec_tlu_flush_leak_one_r, _T_280) @[el2_dec_decode_ctl.scala 403:53] - leak1_i1_stall_in <= _T_281 @[el2_dec_decode_ctl.scala 403:21] - reg _T_282 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 404:56] - _T_282 <= leak1_i1_stall_in @[el2_dec_decode_ctl.scala 404:56] - leak1_i1_stall <= _T_282 @[el2_dec_decode_ctl.scala 404:21] - leak1_mode <= leak1_i1_stall @[el2_dec_decode_ctl.scala 405:14] - node _T_283 = and(io.dec_i0_decode_d, leak1_i1_stall) @[el2_dec_decode_ctl.scala 406:45] - node _T_284 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 406:83] - node _T_285 = and(leak1_i0_stall, _T_284) @[el2_dec_decode_ctl.scala 406:81] - node _T_286 = or(_T_283, _T_285) @[el2_dec_decode_ctl.scala 406:63] - leak1_i0_stall_in <= _T_286 @[el2_dec_decode_ctl.scala 406:21] - reg _T_287 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 407:56] - _T_287 <= leak1_i0_stall_in @[el2_dec_decode_ctl.scala 407:56] - leak1_i0_stall <= _T_287 @[el2_dec_decode_ctl.scala 407:21] - node _T_288 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 411:29] - node _T_289 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 411:36] - node _T_290 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 411:46] - node _T_291 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 411:53] - node _T_292 = cat(_T_291, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_293 = cat(_T_288, _T_289) @[Cat.scala 29:58] - node _T_294 = cat(_T_293, _T_290) @[Cat.scala 29:58] - node i0_pcall_imm = cat(_T_294, _T_292) @[Cat.scala 29:58] - node _T_295 = bits(i0_pcall_imm, 12, 12) @[el2_dec_decode_ctl.scala 412:46] - node _T_296 = bits(_T_295, 0, 0) @[el2_dec_decode_ctl.scala 412:51] - node _T_297 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 412:71] - node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[el2_dec_decode_ctl.scala 412:79] - node _T_299 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 412:104] - node _T_300 = eq(_T_299, UInt<8>("h00")) @[el2_dec_decode_ctl.scala 412:112] - node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[el2_dec_decode_ctl.scala 412:33] - node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 413:47] - node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 413:76] - node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 413:98] - node _T_304 = or(_T_302, _T_303) @[el2_dec_decode_ctl.scala 413:89] - node i0_pcall_case = and(_T_301, _T_304) @[el2_dec_decode_ctl.scala 413:65] - node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 414:47] - node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 414:76] - node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 414:98] - node _T_308 = or(_T_306, _T_307) @[el2_dec_decode_ctl.scala 414:89] - node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 414:67] - node i0_pja_case = and(_T_305, _T_309) @[el2_dec_decode_ctl.scala 414:65] - node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 415:38] - i0_pcall_raw <= _T_310 @[el2_dec_decode_ctl.scala 415:20] - node _T_311 = and(i0_dp.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 416:38] - i0_pcall <= _T_311 @[el2_dec_decode_ctl.scala 416:20] - node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 417:38] - i0_pja_raw <= _T_312 @[el2_dec_decode_ctl.scala 417:20] - node _T_313 = and(i0_dp.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 418:38] - i0_pja <= _T_313 @[el2_dec_decode_ctl.scala 418:20] - node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[el2_dec_decode_ctl.scala 419:41] - node _T_315 = bits(_T_314, 0, 0) @[el2_dec_decode_ctl.scala 419:55] - node _T_316 = bits(i0_pcall_imm, 12, 1) @[el2_dec_decode_ctl.scala 419:75] - node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 419:90] - node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[el2_dec_decode_ctl.scala 419:97] - node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[el2_dec_decode_ctl.scala 419:103] - node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[el2_dec_decode_ctl.scala 419:113] + i0_dec.io.ins <= io.dec_i0_instr_d @[el2_dec_decode_ctl.scala 393:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.div <= i0_dec.io.out.div @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.low <= i0_dec.io.out.low @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.word <= i0_dec.io.out.word @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.half <= i0_dec.io.out.half @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.by <= i0_dec.io.out.by @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.land <= i0_dec.io.out.land @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.add <= i0_dec.io.out.add @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.store <= i0_dec.io.out.store @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.load <= i0_dec.io.out.load @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[el2_dec_decode_ctl.scala 394:12] + reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 396:45] + _T_279 <= io.lsu_idle_any @[el2_dec_decode_ctl.scala 396:45] + lsu_idle <= _T_279 @[el2_dec_decode_ctl.scala 396:11] + node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 399:73] + node _T_281 = and(leak1_i1_stall, _T_280) @[el2_dec_decode_ctl.scala 399:71] + node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[el2_dec_decode_ctl.scala 399:53] + leak1_i1_stall_in <= _T_282 @[el2_dec_decode_ctl.scala 399:21] + reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 400:56] + _T_283 <= leak1_i1_stall_in @[el2_dec_decode_ctl.scala 400:56] + leak1_i1_stall <= _T_283 @[el2_dec_decode_ctl.scala 400:21] + leak1_mode <= leak1_i1_stall @[el2_dec_decode_ctl.scala 401:14] + node _T_284 = and(io.dec_i0_decode_d, leak1_i1_stall) @[el2_dec_decode_ctl.scala 402:45] + node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 402:83] + node _T_286 = and(leak1_i0_stall, _T_285) @[el2_dec_decode_ctl.scala 402:81] + node _T_287 = or(_T_284, _T_286) @[el2_dec_decode_ctl.scala 402:63] + leak1_i0_stall_in <= _T_287 @[el2_dec_decode_ctl.scala 402:21] + reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 403:56] + _T_288 <= leak1_i0_stall_in @[el2_dec_decode_ctl.scala 403:56] + leak1_i0_stall <= _T_288 @[el2_dec_decode_ctl.scala 403:21] + node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 407:29] + node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 407:36] + node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 407:46] + node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 407:53] + node _T_293 = cat(_T_291, _T_292) @[Cat.scala 29:58] + node _T_294 = cat(_T_289, _T_290) @[Cat.scala 29:58] + node i0_pcall_imm = cat(_T_294, _T_293) @[Cat.scala 29:58] + node _T_295 = bits(i0_pcall_imm, 11, 11) @[el2_dec_decode_ctl.scala 408:46] + node _T_296 = bits(_T_295, 0, 0) @[el2_dec_decode_ctl.scala 408:51] + node _T_297 = bits(i0_pcall_imm, 19, 12) @[el2_dec_decode_ctl.scala 408:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[el2_dec_decode_ctl.scala 408:79] + node _T_299 = bits(i0_pcall_imm, 19, 12) @[el2_dec_decode_ctl.scala 408:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[el2_dec_decode_ctl.scala 408:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[el2_dec_decode_ctl.scala 408:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 409:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 409:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 409:98] + node _T_304 = or(_T_302, _T_303) @[el2_dec_decode_ctl.scala 409:89] + node i0_pcall_case = and(_T_301, _T_304) @[el2_dec_decode_ctl.scala 409:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 410:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 410:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 410:98] + node _T_308 = or(_T_306, _T_307) @[el2_dec_decode_ctl.scala 410:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 410:67] + node i0_pja_case = and(_T_305, _T_309) @[el2_dec_decode_ctl.scala 410:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 411:38] + i0_pcall_raw <= _T_310 @[el2_dec_decode_ctl.scala 411:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 412:38] + i0_pcall <= _T_311 @[el2_dec_decode_ctl.scala 412:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 413:38] + i0_pja_raw <= _T_312 @[el2_dec_decode_ctl.scala 413:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 414:38] + i0_pja <= _T_313 @[el2_dec_decode_ctl.scala 414:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[el2_dec_decode_ctl.scala 415:41] + node _T_315 = bits(_T_314, 0, 0) @[el2_dec_decode_ctl.scala 415:55] + node _T_316 = bits(i0_pcall_imm, 11, 0) @[el2_dec_decode_ctl.scala 415:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 415:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[el2_dec_decode_ctl.scala 415:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[el2_dec_decode_ctl.scala 415:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[el2_dec_decode_ctl.scala 415:113] node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] - node _T_324 = mux(_T_315, _T_316, _T_323) @[el2_dec_decode_ctl.scala 419:26] - i0_br_offset <= _T_324 @[el2_dec_decode_ctl.scala 419:20] - node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[el2_dec_decode_ctl.scala 421:37] - node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 421:65] - node _T_327 = and(_T_325, _T_326) @[el2_dec_decode_ctl.scala 421:55] - node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 421:89] - node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 421:111] - node _T_330 = or(_T_328, _T_329) @[el2_dec_decode_ctl.scala 421:101] - node i0_pret_case = and(_T_327, _T_330) @[el2_dec_decode_ctl.scala 421:79] - node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 422:32] - i0_pret_raw <= _T_331 @[el2_dec_decode_ctl.scala 422:15] - node _T_332 = and(i0_dp.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 423:32] - i0_pret <= _T_332 @[el2_dec_decode_ctl.scala 423:15] - node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 424:35] - node _T_334 = and(i0_dp.jal, _T_333) @[el2_dec_decode_ctl.scala 424:32] - node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 424:52] - node _T_336 = and(_T_334, _T_335) @[el2_dec_decode_ctl.scala 424:50] - node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 424:67] - node _T_338 = and(_T_336, _T_337) @[el2_dec_decode_ctl.scala 424:65] - i0_jal <= _T_338 @[el2_dec_decode_ctl.scala 424:15] - io.div_p.valid <= div_decode_d @[el2_dec_decode_ctl.scala 427:21] - io.div_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 428:26] - io.div_p.bits.rem <= i0_dp.rem @[el2_dec_decode_ctl.scala 429:26] - io.mul_p.valid <= mul_decode_d @[el2_dec_decode_ctl.scala 431:21] - io.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[el2_dec_decode_ctl.scala 432:26] - io.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[el2_dec_decode_ctl.scala 433:26] - io.mul_p.bits.low <= i0_dp.low @[el2_dec_decode_ctl.scala 434:26] - reg _T_339 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 436:58] - _T_339 <= io.dec_tlu_flush_extint @[el2_dec_decode_ctl.scala 436:58] - io.dec_extint_stall <= _T_339 @[el2_dec_decode_ctl.scala 436:23] - wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.dma <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.dword <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.fast_int <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.dma <= _T_340.bits.dma @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.unsign <= _T_340.bits.unsign @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.store <= _T_340.bits.store @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.load <= _T_340.bits.load @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.dword <= _T_340.bits.dword @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.word <= _T_340.bits.word @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.half <= _T_340.bits.half @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.by <= _T_340.bits.by @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.valid <= _T_340.valid @[el2_dec_decode_ctl.scala 438:12] - when io.dec_extint_stall : @[el2_dec_decode_ctl.scala 439:29] - io.lsu_p.bits.load <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 440:29] - io.lsu_p.bits.word <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 441:29] - io.lsu_p.bits.fast_int <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 442:29] - io.lsu_p.valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 443:24] - skip @[el2_dec_decode_ctl.scala 439:29] - else : @[el2_dec_decode_ctl.scala 444:15] - io.lsu_p.valid <= lsu_decode_d @[el2_dec_decode_ctl.scala 445:35] - io.lsu_p.bits.load <= i0_dp.load @[el2_dec_decode_ctl.scala 446:40] - io.lsu_p.bits.store <= i0_dp.store @[el2_dec_decode_ctl.scala 447:40] - io.lsu_p.bits.by <= i0_dp.by @[el2_dec_decode_ctl.scala 448:40] - io.lsu_p.bits.half <= i0_dp.half @[el2_dec_decode_ctl.scala 449:40] - io.lsu_p.bits.word <= i0_dp.word @[el2_dec_decode_ctl.scala 450:40] - io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[el2_dec_decode_ctl.scala 451:40] - io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[el2_dec_decode_ctl.scala 452:40] - io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[el2_dec_decode_ctl.scala 453:40] - io.lsu_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 454:40] - skip @[el2_dec_decode_ctl.scala 444:15] - io.dec_csr_ren_d <= i0_dp.csr_read @[el2_dec_decode_ctl.scala 458:21] - node _T_341 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 459:56] - node _T_342 = and(i0_dp.csr_read, _T_341) @[el2_dec_decode_ctl.scala 459:36] - csr_read <= _T_342 @[el2_dec_decode_ctl.scala 459:18] - node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 461:42] - node i0_csr_write = and(i0_dp.csr_write, _T_343) @[el2_dec_decode_ctl.scala 461:40] - node _T_344 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 462:61] - node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[el2_dec_decode_ctl.scala 462:41] - node _T_345 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 463:59] - node csr_set_d = and(i0_dp.csr_set, _T_345) @[el2_dec_decode_ctl.scala 463:39] - node _T_346 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 464:59] - node csr_write_d = and(i0_csr_write, _T_346) @[el2_dec_decode_ctl.scala 464:39] - node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 466:41] - node _T_348 = and(i0_csr_write, _T_347) @[el2_dec_decode_ctl.scala 466:39] - i0_csr_write_only_d <= _T_348 @[el2_dec_decode_ctl.scala 466:23] - node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[el2_dec_decode_ctl.scala 467:42] - node _T_350 = or(_T_349, i0_csr_write) @[el2_dec_decode_ctl.scala 467:58] - io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 467:24] - node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 470:30] - io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 470:24] - io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 471:23] - node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[el2_dec_decode_ctl.scala 475:39] - node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 475:53] - node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 475:51] - io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 475:20] - node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 478:50] - node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 478:85] - node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 478:64] - node _T_358 = and(_T_357, r_d.bits.csrwen) @[el2_dec_decode_ctl.scala 478:100] - node _T_359 = and(_T_358, r_d.valid) @[el2_dec_decode_ctl.scala 478:118] - node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 478:132] - node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 478:130] - io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 478:27] - reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 480:52] - csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 480:52] - reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 481:51] - csr_clr_x <= csr_clr_d @[el2_dec_decode_ctl.scala 481:51] - reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 482:51] - csr_set_x <= csr_set_d @[el2_dec_decode_ctl.scala 482:51] - reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 483:53] - csr_write_x <= csr_write_d @[el2_dec_decode_ctl.scala 483:53] - reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 484:51] - csr_imm_x <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 484:51] - node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 487:27] - node _T_363 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 487:48] - inst rvclkhdr of rvclkhdr_1 @[el2_lib.scala 508:23] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr.io.en <= _T_363 @[el2_lib.scala 511:17] - rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg csrimm_x : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - csrimm_x <= _T_362 @[el2_lib.scala 514:16] - node _T_364 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 488:62] - inst rvclkhdr_1 of rvclkhdr_2 @[el2_lib.scala 508:23] + node _T_324 = mux(_T_315, _T_316, _T_323) @[el2_dec_decode_ctl.scala 415:26] + i0_br_offset <= _T_324 @[el2_dec_decode_ctl.scala 415:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[el2_dec_decode_ctl.scala 417:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 417:65] + node _T_327 = and(_T_325, _T_326) @[el2_dec_decode_ctl.scala 417:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 417:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 417:111] + node _T_330 = or(_T_328, _T_329) @[el2_dec_decode_ctl.scala 417:101] + node i0_pret_case = and(_T_327, _T_330) @[el2_dec_decode_ctl.scala 417:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 418:32] + i0_pret_raw <= _T_331 @[el2_dec_decode_ctl.scala 418:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 419:32] + i0_pret <= _T_332 @[el2_dec_decode_ctl.scala 419:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 420:35] + node _T_334 = and(i0_dp.jal, _T_333) @[el2_dec_decode_ctl.scala 420:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 420:52] + node _T_336 = and(_T_334, _T_335) @[el2_dec_decode_ctl.scala 420:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 420:67] + node _T_338 = and(_T_336, _T_337) @[el2_dec_decode_ctl.scala 420:65] + i0_jal <= _T_338 @[el2_dec_decode_ctl.scala 420:15] + io.div_p.valid <= div_decode_d @[el2_dec_decode_ctl.scala 423:21] + io.div_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 424:26] + io.div_p.bits.rem <= i0_dp.rem @[el2_dec_decode_ctl.scala 425:26] + io.mul_p.valid <= mul_decode_d @[el2_dec_decode_ctl.scala 427:21] + io.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[el2_dec_decode_ctl.scala 428:26] + io.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[el2_dec_decode_ctl.scala 429:26] + io.mul_p.bits.low <= i0_dp.low @[el2_dec_decode_ctl.scala 430:26] + reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 432:58] + _T_339 <= io.dec_tlu_flush_extint @[el2_dec_decode_ctl.scala 432:58] + io.dec_extint_stall <= _T_339 @[el2_dec_decode_ctl.scala 432:23] + wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.dma <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.dword <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.fast_int <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.dma <= _T_340.bits.dma @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.unsign <= _T_340.bits.unsign @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.store <= _T_340.bits.store @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.load <= _T_340.bits.load @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.dword <= _T_340.bits.dword @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.word <= _T_340.bits.word @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.half <= _T_340.bits.half @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.by <= _T_340.bits.by @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.valid <= _T_340.valid @[el2_dec_decode_ctl.scala 434:12] + when io.dec_extint_stall : @[el2_dec_decode_ctl.scala 435:29] + io.lsu_p.bits.load <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 436:29] + io.lsu_p.bits.word <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 437:29] + io.lsu_p.bits.fast_int <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 438:29] + io.lsu_p.valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 439:24] + skip @[el2_dec_decode_ctl.scala 435:29] + else : @[el2_dec_decode_ctl.scala 440:15] + io.lsu_p.valid <= lsu_decode_d @[el2_dec_decode_ctl.scala 441:35] + io.lsu_p.bits.load <= i0_dp.load @[el2_dec_decode_ctl.scala 442:40] + io.lsu_p.bits.store <= i0_dp.store @[el2_dec_decode_ctl.scala 443:40] + io.lsu_p.bits.by <= i0_dp.by @[el2_dec_decode_ctl.scala 444:40] + io.lsu_p.bits.half <= i0_dp.half @[el2_dec_decode_ctl.scala 445:40] + io.lsu_p.bits.word <= i0_dp.word @[el2_dec_decode_ctl.scala 446:40] + io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[el2_dec_decode_ctl.scala 447:40] + io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[el2_dec_decode_ctl.scala 448:40] + io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[el2_dec_decode_ctl.scala 449:40] + io.lsu_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 450:40] + skip @[el2_dec_decode_ctl.scala 440:15] + io.dec_csr_ren_d <= i0_dp.csr_read @[el2_dec_decode_ctl.scala 454:21] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 455:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[el2_dec_decode_ctl.scala 455:36] + csr_read <= _T_342 @[el2_dec_decode_ctl.scala 455:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 457:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[el2_dec_decode_ctl.scala 457:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 458:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[el2_dec_decode_ctl.scala 458:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 459:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[el2_dec_decode_ctl.scala 459:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 460:59] + node csr_write_d = and(i0_csr_write, _T_346) @[el2_dec_decode_ctl.scala 460:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 462:41] + node _T_348 = and(i0_csr_write, _T_347) @[el2_dec_decode_ctl.scala 462:39] + i0_csr_write_only_d <= _T_348 @[el2_dec_decode_ctl.scala 462:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[el2_dec_decode_ctl.scala 463:42] + node _T_350 = or(_T_349, i0_csr_write) @[el2_dec_decode_ctl.scala 463:58] + io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 463:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 466:30] + io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 466:24] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 467:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[el2_dec_decode_ctl.scala 471:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 471:53] + node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 471:51] + io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 471:20] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 474:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 474:85] + node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 474:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[el2_dec_decode_ctl.scala 474:100] + node _T_359 = and(_T_358, r_d.valid) @[el2_dec_decode_ctl.scala 474:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:132] + node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 474:130] + io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 474:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 476:52] + csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 476:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 477:51] + csr_clr_x <= csr_clr_d @[el2_dec_decode_ctl.scala 477:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 478:51] + csr_set_x <= csr_set_d @[el2_dec_decode_ctl.scala 478:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 479:53] + csr_write_x <= csr_write_d @[el2_dec_decode_ctl.scala 479:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 480:51] + csr_imm_x <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 480:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 483:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 483:48] + inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_1.io.en <= _T_364 @[el2_lib.scala 511:17] + rvclkhdr_1.io.en <= _T_363 @[el2_lib.scala 511:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg csr_rddata_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + reg csrimm_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + csrimm_x <= _T_362 @[el2_lib.scala 514:16] + node _T_364 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 484:62] + inst rvclkhdr_2 of rvclkhdr_2 @[el2_lib.scala 508:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_2.io.en <= _T_364 @[el2_lib.scala 511:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg csr_rddata_x : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] csr_rddata_x <= io.dec_csr_rddata_d @[el2_lib.scala 514:16] - node _T_365 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 491:15] + node _T_365 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 487:15] wire _T_366 : UInt<1>[27] @[el2_lib.scala 162:48] _T_366[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_366[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -3800,18 +3798,18 @@ circuit el2_dec : node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] - node _T_393 = bits(csrimm_x, 4, 0) @[el2_dec_decode_ctl.scala 491:53] + node _T_393 = bits(csrimm_x, 4, 0) @[el2_dec_decode_ctl.scala 487:53] node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] - node _T_395 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 492:16] - node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 492:5] + node _T_395 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 488:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 488:5] node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] node _T_398 = mux(_T_396, io.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] wire csr_mask_x : UInt<32> @[Mux.scala 27:72] csr_mask_x <= _T_399 @[Mux.scala 27:72] - node _T_400 = not(csr_mask_x) @[el2_dec_decode_ctl.scala 495:38] - node _T_401 = and(csr_rddata_x, _T_400) @[el2_dec_decode_ctl.scala 495:35] - node _T_402 = or(csr_rddata_x, csr_mask_x) @[el2_dec_decode_ctl.scala 496:35] + node _T_400 = not(csr_mask_x) @[el2_dec_decode_ctl.scala 491:38] + node _T_401 = and(csr_rddata_x, _T_400) @[el2_dec_decode_ctl.scala 491:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[el2_dec_decode_ctl.scala 492:35] node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3819,74 +3817,74 @@ circuit el2_dec : node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] wire write_csr_data_x : UInt @[Mux.scala 27:72] write_csr_data_x <= _T_407 @[Mux.scala 27:72] - node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 499:49] - node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[el2_dec_decode_ctl.scala 499:47] - node _T_410 = eq(write_csr_data, UInt<31>("h00")) @[el2_dec_decode_ctl.scala 499:109] - node _T_411 = and(pause_stall, _T_410) @[el2_dec_decode_ctl.scala 499:91] - node clear_pause = or(_T_409, _T_411) @[el2_dec_decode_ctl.scala 499:76] - node _T_412 = or(io.dec_tlu_wr_pause_r, pause_stall) @[el2_dec_decode_ctl.scala 500:44] - node _T_413 = eq(clear_pause, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 500:61] - node _T_414 = and(_T_412, _T_413) @[el2_dec_decode_ctl.scala 500:59] - pause_state_in <= _T_414 @[el2_dec_decode_ctl.scala 500:18] - reg _T_415 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 501:50] - _T_415 <= pause_state_in @[el2_dec_decode_ctl.scala 501:50] - pause_stall <= _T_415 @[el2_dec_decode_ctl.scala 501:15] - io.dec_pause_state <= pause_stall @[el2_dec_decode_ctl.scala 502:22] - reg _T_416 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 503:29] - _T_416 <= io.dec_tlu_wr_pause_r @[el2_dec_decode_ctl.scala 503:29] - tlu_wr_pause_r1 <= _T_416 @[el2_dec_decode_ctl.scala 503:19] - reg _T_417 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 504:29] - _T_417 <= tlu_wr_pause_r1 @[el2_dec_decode_ctl.scala 504:29] - tlu_wr_pause_r2 <= _T_417 @[el2_dec_decode_ctl.scala 504:19] - node _T_418 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 506:44] - node _T_419 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 506:64] - node _T_420 = and(_T_418, _T_419) @[el2_dec_decode_ctl.scala 506:61] - node _T_421 = and(pause_stall, _T_420) @[el2_dec_decode_ctl.scala 506:41] - io.dec_pause_state_cg <= _T_421 @[el2_dec_decode_ctl.scala 506:25] - node _T_422 = sub(write_csr_data, UInt<32>("h01")) @[el2_dec_decode_ctl.scala 509:59] - node _T_423 = tail(_T_422, 1) @[el2_dec_decode_ctl.scala 509:59] - node _T_424 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[el2_dec_decode_ctl.scala 510:8] - node write_csr_data_in = mux(pause_stall, _T_423, _T_424) @[el2_dec_decode_ctl.scala 509:30] - node _T_425 = or(csr_clr_x, csr_set_x) @[el2_dec_decode_ctl.scala 511:34] - node _T_426 = or(_T_425, csr_write_x) @[el2_dec_decode_ctl.scala 511:46] - node _T_427 = and(_T_426, csr_read_x) @[el2_dec_decode_ctl.scala 511:61] - node _T_428 = or(_T_427, io.dec_tlu_wr_pause_r) @[el2_dec_decode_ctl.scala 511:75] - node csr_data_wen = or(_T_428, pause_stall) @[el2_dec_decode_ctl.scala 511:99] - inst rvclkhdr_2 of rvclkhdr_3 @[el2_lib.scala 508:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_2.io.en <= csr_data_wen @[el2_lib.scala 511:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_429 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 495:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[el2_dec_decode_ctl.scala 495:47] + node _T_410 = eq(write_csr_data, UInt<31>("h00")) @[el2_dec_decode_ctl.scala 495:109] + node _T_411 = and(pause_stall, _T_410) @[el2_dec_decode_ctl.scala 495:91] + node clear_pause = or(_T_409, _T_411) @[el2_dec_decode_ctl.scala 495:76] + node _T_412 = or(io.dec_tlu_wr_pause_r, pause_stall) @[el2_dec_decode_ctl.scala 496:44] + node _T_413 = eq(clear_pause, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 496:61] + node _T_414 = and(_T_412, _T_413) @[el2_dec_decode_ctl.scala 496:59] + pause_state_in <= _T_414 @[el2_dec_decode_ctl.scala 496:18] + reg _T_415 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 497:50] + _T_415 <= pause_state_in @[el2_dec_decode_ctl.scala 497:50] + pause_stall <= _T_415 @[el2_dec_decode_ctl.scala 497:15] + io.dec_pause_state <= pause_stall @[el2_dec_decode_ctl.scala 498:22] + reg _T_416 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 499:55] + _T_416 <= io.dec_tlu_wr_pause_r @[el2_dec_decode_ctl.scala 499:55] + tlu_wr_pause_r1 <= _T_416 @[el2_dec_decode_ctl.scala 499:19] + reg _T_417 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 500:55] + _T_417 <= tlu_wr_pause_r1 @[el2_dec_decode_ctl.scala 500:55] + tlu_wr_pause_r2 <= _T_417 @[el2_dec_decode_ctl.scala 500:19] + node _T_418 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 502:44] + node _T_419 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 502:64] + node _T_420 = and(_T_418, _T_419) @[el2_dec_decode_ctl.scala 502:61] + node _T_421 = and(pause_stall, _T_420) @[el2_dec_decode_ctl.scala 502:41] + io.dec_pause_state_cg <= _T_421 @[el2_dec_decode_ctl.scala 502:25] + node _T_422 = sub(write_csr_data, UInt<32>("h01")) @[el2_dec_decode_ctl.scala 505:59] + node _T_423 = tail(_T_422, 1) @[el2_dec_decode_ctl.scala 505:59] + node _T_424 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[el2_dec_decode_ctl.scala 506:8] + node write_csr_data_in = mux(pause_stall, _T_423, _T_424) @[el2_dec_decode_ctl.scala 505:30] + node _T_425 = or(csr_clr_x, csr_set_x) @[el2_dec_decode_ctl.scala 507:34] + node _T_426 = or(_T_425, csr_write_x) @[el2_dec_decode_ctl.scala 507:46] + node _T_427 = and(_T_426, csr_read_x) @[el2_dec_decode_ctl.scala 507:61] + node _T_428 = or(_T_427, io.dec_tlu_wr_pause_r) @[el2_dec_decode_ctl.scala 507:75] + node csr_data_wen = or(_T_428, pause_stall) @[el2_dec_decode_ctl.scala 507:99] + inst rvclkhdr_3 of rvclkhdr_3 @[el2_lib.scala 508:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_3.io.en <= csr_data_wen @[el2_lib.scala 511:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_429 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_429 <= write_csr_data_in @[el2_lib.scala 514:16] - write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 512:18] - node _T_430 = bits(r_d.bits.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 518:49] - node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 518:30] - io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 518:24] - node _T_432 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[el2_dec_decode_ctl.scala 520:43] - node prior_csr_write = or(_T_432, wbd.bits.csrwonly) @[el2_dec_decode_ctl.scala 520:63] - node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 522:67] - node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 522:48] - node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 523:67] - node debug_fence_raw = and(io.dec_debug_fence_d, _T_434) @[el2_dec_decode_ctl.scala 523:48] - node _T_435 = or(debug_fence_raw, debug_fence_i) @[el2_dec_decode_ctl.scala 524:40] - debug_fence <= _T_435 @[el2_dec_decode_ctl.scala 524:21] - node _T_436 = or(i0_dp.presync, io.dec_tlu_presync_d) @[el2_dec_decode_ctl.scala 527:34] - node _T_437 = or(_T_436, debug_fence_i) @[el2_dec_decode_ctl.scala 527:57] - node _T_438 = or(_T_437, debug_fence_raw) @[el2_dec_decode_ctl.scala 527:73] - node i0_presync = or(_T_438, io.dec_tlu_pipelining_disable) @[el2_dec_decode_ctl.scala 527:91] - node _T_439 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[el2_dec_decode_ctl.scala 530:36] - node _T_440 = or(_T_439, debug_fence_i) @[el2_dec_decode_ctl.scala 530:60] - node _T_441 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 530:104] - node _T_442 = eq(_T_441, UInt<11>("h07c2")) @[el2_dec_decode_ctl.scala 530:112] - node _T_443 = and(i0_csr_write_only_d, _T_442) @[el2_dec_decode_ctl.scala 530:99] - node i0_postsync = or(_T_440, _T_443) @[el2_dec_decode_ctl.scala 530:76] - node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[el2_dec_decode_ctl.scala 532:34] - io.dec_csr_any_unq_d <= any_csr_d @[el2_dec_decode_ctl.scala 533:24] - node _T_444 = eq(any_csr_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 534:40] - node _T_445 = or(_T_444, io.dec_csr_legal_d) @[el2_dec_decode_ctl.scala 534:51] - node i0_legal = and(i0_dp.legal, _T_445) @[el2_dec_decode_ctl.scala 534:37] + write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 508:18] + node _T_430 = bits(r_d.bits.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 514:49] + node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 514:30] + io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 514:24] + node _T_432 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:43] + node prior_csr_write = or(_T_432, wbd.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:63] + node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 518:67] + node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 518:48] + node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 519:67] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_434) @[el2_dec_decode_ctl.scala 519:48] + node _T_435 = or(debug_fence_raw, debug_fence_i) @[el2_dec_decode_ctl.scala 520:40] + debug_fence <= _T_435 @[el2_dec_decode_ctl.scala 520:21] + node _T_436 = or(i0_dp.presync, io.dec_tlu_presync_d) @[el2_dec_decode_ctl.scala 523:34] + node _T_437 = or(_T_436, debug_fence_i) @[el2_dec_decode_ctl.scala 523:57] + node _T_438 = or(_T_437, debug_fence_raw) @[el2_dec_decode_ctl.scala 523:73] + node i0_presync = or(_T_438, io.dec_tlu_pipelining_disable) @[el2_dec_decode_ctl.scala 523:91] + node _T_439 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[el2_dec_decode_ctl.scala 526:36] + node _T_440 = or(_T_439, debug_fence_i) @[el2_dec_decode_ctl.scala 526:60] + node _T_441 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 526:104] + node _T_442 = eq(_T_441, UInt<11>("h07c2")) @[el2_dec_decode_ctl.scala 526:112] + node _T_443 = and(i0_csr_write_only_d, _T_442) @[el2_dec_decode_ctl.scala 526:99] + node i0_postsync = or(_T_440, _T_443) @[el2_dec_decode_ctl.scala 526:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[el2_dec_decode_ctl.scala 528:34] + io.dec_csr_any_unq_d <= any_csr_d @[el2_dec_decode_ctl.scala 529:24] + node _T_444 = eq(any_csr_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 530:40] + node _T_445 = or(_T_444, io.dec_csr_legal_d) @[el2_dec_decode_ctl.scala 530:51] + node i0_legal = and(i0_dp.legal, _T_445) @[el2_dec_decode_ctl.scala 530:37] wire _T_446 : UInt<1>[16] @[el2_lib.scala 162:48] _T_446[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_446[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -3920,107 +3918,107 @@ circuit el2_dec : node _T_460 = cat(_T_459, _T_446[14]) @[Cat.scala 29:58] node _T_461 = cat(_T_460, _T_446[15]) @[Cat.scala 29:58] node _T_462 = cat(_T_461, io.ifu_i0_cinst) @[Cat.scala 29:58] - node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_462) @[el2_dec_decode_ctl.scala 535:27] - node _T_463 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 538:49] - node shift_illegal = and(io.dec_i0_decode_d, _T_463) @[el2_dec_decode_ctl.scala 538:47] - node _T_464 = eq(illegal_lockout, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 539:44] - node illegal_inst_en = and(shift_illegal, _T_464) @[el2_dec_decode_ctl.scala 539:42] - inst rvclkhdr_3 of rvclkhdr_4 @[el2_lib.scala 508:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_3.io.en <= illegal_inst_en @[el2_lib.scala 511:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_465 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_462) @[el2_dec_decode_ctl.scala 531:27] + node _T_463 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 534:49] + node shift_illegal = and(io.dec_i0_decode_d, _T_463) @[el2_dec_decode_ctl.scala 534:47] + node _T_464 = eq(illegal_lockout, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 535:44] + node illegal_inst_en = and(shift_illegal, _T_464) @[el2_dec_decode_ctl.scala 535:42] + inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 508:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_4.io.en <= illegal_inst_en @[el2_lib.scala 511:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_465 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_465 <= i0_inst_d @[el2_lib.scala 514:16] - io.dec_illegal_inst <= _T_465 @[el2_dec_decode_ctl.scala 540:23] - node _T_466 = or(shift_illegal, illegal_lockout) @[el2_dec_decode_ctl.scala 541:40] - node _T_467 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 541:61] - node _T_468 = and(_T_466, _T_467) @[el2_dec_decode_ctl.scala 541:59] - illegal_lockout_in <= _T_468 @[el2_dec_decode_ctl.scala 541:22] - reg _T_469 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 542:54] - _T_469 <= illegal_lockout_in @[el2_dec_decode_ctl.scala 542:54] - illegal_lockout <= _T_469 @[el2_dec_decode_ctl.scala 542:19] - node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[el2_dec_decode_ctl.scala 543:42] - node _T_470 = and(i0_dp.csr_read, prior_csr_write) @[el2_dec_decode_ctl.scala 545:40] - node _T_471 = or(_T_470, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 545:59] - node _T_472 = or(_T_471, pause_stall) @[el2_dec_decode_ctl.scala 545:81] - node _T_473 = or(_T_472, leak1_i0_stall) @[el2_dec_decode_ctl.scala 545:95] - node _T_474 = or(_T_473, io.dec_tlu_debug_stall) @[el2_dec_decode_ctl.scala 546:20] - node _T_475 = or(_T_474, postsync_stall) @[el2_dec_decode_ctl.scala 546:45] - node _T_476 = or(_T_475, presync_stall) @[el2_dec_decode_ctl.scala 546:62] - node _T_477 = or(i0_dp.fence, debug_fence) @[el2_dec_decode_ctl.scala 547:19] - node _T_478 = eq(lsu_idle, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 547:36] - node _T_479 = and(_T_477, _T_478) @[el2_dec_decode_ctl.scala 547:34] - node _T_480 = or(_T_476, _T_479) @[el2_dec_decode_ctl.scala 546:79] - node _T_481 = or(_T_480, i0_nonblock_load_stall) @[el2_dec_decode_ctl.scala 547:47] - node _T_482 = or(_T_481, i0_load_block_d) @[el2_dec_decode_ctl.scala 547:72] - node _T_483 = or(_T_482, i0_nonblock_div_stall) @[el2_dec_decode_ctl.scala 548:21] - node i0_block_raw_d = or(_T_483, i0_div_prior_div_stall) @[el2_dec_decode_ctl.scala 548:45] - node _T_484 = or(io.lsu_store_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 550:65] - node i0_store_stall_d = and(i0_dp.store, _T_484) @[el2_dec_decode_ctl.scala 550:39] - node _T_485 = or(io.lsu_load_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 551:63] - node i0_load_stall_d = and(i0_dp.load, _T_485) @[el2_dec_decode_ctl.scala 551:38] - node _T_486 = or(i0_block_raw_d, i0_store_stall_d) @[el2_dec_decode_ctl.scala 552:38] - node i0_block_d = or(_T_486, i0_load_stall_d) @[el2_dec_decode_ctl.scala 552:57] - node _T_487 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:46] - node _T_488 = and(io.dec_ib0_valid_d, _T_487) @[el2_dec_decode_ctl.scala 556:44] - node _T_489 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:63] - node _T_490 = and(_T_488, _T_489) @[el2_dec_decode_ctl.scala 556:61] - node _T_491 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:91] - node _T_492 = and(_T_490, _T_491) @[el2_dec_decode_ctl.scala 556:89] - io.dec_i0_decode_d <= _T_492 @[el2_dec_decode_ctl.scala 556:22] - node _T_493 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 557:46] - node _T_494 = and(io.dec_ib0_valid_d, _T_493) @[el2_dec_decode_ctl.scala 557:44] - node _T_495 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 557:63] - node _T_496 = and(_T_494, _T_495) @[el2_dec_decode_ctl.scala 557:61] - node _T_497 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 557:91] - node i0_exudecode_d = and(_T_496, _T_497) @[el2_dec_decode_ctl.scala 557:89] - node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[el2_dec_decode_ctl.scala 558:46] - io.dec_pmu_instr_decoded <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 561:28] - node _T_498 = eq(io.dec_i0_decode_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 562:51] - node _T_499 = and(io.dec_ib0_valid_d, _T_498) @[el2_dec_decode_ctl.scala 562:49] - io.dec_pmu_decode_stall <= _T_499 @[el2_dec_decode_ctl.scala 562:27] - node _T_500 = bits(postsync_stall, 0, 0) @[el2_dec_decode_ctl.scala 563:47] - io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 563:29] - node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 564:46] - io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 564:29] - node prior_inflight = or(x_d.valid, r_d.valid) @[el2_dec_decode_ctl.scala 568:41] - node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[el2_dec_decode_ctl.scala 569:31] - node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 571:37] - presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 571:22] - reg _T_503 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 572:53] - _T_503 <= ps_stall_in @[el2_dec_decode_ctl.scala 572:53] - postsync_stall <= _T_503 @[el2_dec_decode_ctl.scala 572:18] - node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 574:56] - node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 574:54] - node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 574:39] - node _T_507 = and(postsync_stall, x_d.valid) @[el2_dec_decode_ctl.scala 574:88] - node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 574:69] - ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 574:15] - node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 576:50] - io.dec_i0_alu_decode_d <= _T_509 @[el2_dec_decode_ctl.scala 576:26] - node _T_510 = and(i0_legal_decode_d, i0_dp.lsu) @[el2_dec_decode_ctl.scala 578:40] - lsu_decode_d <= _T_510 @[el2_dec_decode_ctl.scala 578:16] - node _T_511 = and(i0_exulegal_decode_d, i0_dp.mul) @[el2_dec_decode_ctl.scala 579:40] - mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 579:16] - node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 580:40] - div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 580:16] - node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 582:45] - node _T_514 = and(r_d.valid, _T_513) @[el2_dec_decode_ctl.scala 582:43] - io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 582:29] - d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 585:26] - node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 586:40] - d_t.icaf <= _T_515 @[el2_dec_decode_ctl.scala 586:26] - node _T_516 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 587:50] - d_t.icaf_f1 <= _T_516 @[el2_dec_decode_ctl.scala 587:26] - d_t.icaf_type <= io.dec_i0_icaf_type_d @[el2_dec_decode_ctl.scala 588:26] - node _T_517 = or(i0_dp.fence_i, debug_fence_i) @[el2_dec_decode_ctl.scala 590:44] - node _T_518 = and(_T_517, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 590:61] - d_t.fence_i <= _T_518 @[el2_dec_decode_ctl.scala 590:26] - d_t.pmu_i0_br_unpred <= i0_br_unpred @[el2_dec_decode_ctl.scala 593:26] - d_t.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 594:26] - d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 595:26] + io.dec_illegal_inst <= _T_465 @[el2_dec_decode_ctl.scala 536:23] + node _T_466 = or(shift_illegal, illegal_lockout) @[el2_dec_decode_ctl.scala 537:40] + node _T_467 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 537:61] + node _T_468 = and(_T_466, _T_467) @[el2_dec_decode_ctl.scala 537:59] + illegal_lockout_in <= _T_468 @[el2_dec_decode_ctl.scala 537:22] + reg _T_469 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 538:54] + _T_469 <= illegal_lockout_in @[el2_dec_decode_ctl.scala 538:54] + illegal_lockout <= _T_469 @[el2_dec_decode_ctl.scala 538:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[el2_dec_decode_ctl.scala 539:42] + node _T_470 = and(i0_dp.csr_read, prior_csr_write) @[el2_dec_decode_ctl.scala 541:40] + node _T_471 = or(_T_470, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 541:59] + node _T_472 = or(_T_471, pause_stall) @[el2_dec_decode_ctl.scala 541:81] + node _T_473 = or(_T_472, leak1_i0_stall) @[el2_dec_decode_ctl.scala 541:95] + node _T_474 = or(_T_473, io.dec_tlu_debug_stall) @[el2_dec_decode_ctl.scala 542:20] + node _T_475 = or(_T_474, postsync_stall) @[el2_dec_decode_ctl.scala 542:45] + node _T_476 = or(_T_475, presync_stall) @[el2_dec_decode_ctl.scala 542:62] + node _T_477 = or(i0_dp.fence, debug_fence) @[el2_dec_decode_ctl.scala 543:19] + node _T_478 = eq(lsu_idle, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 543:36] + node _T_479 = and(_T_477, _T_478) @[el2_dec_decode_ctl.scala 543:34] + node _T_480 = or(_T_476, _T_479) @[el2_dec_decode_ctl.scala 542:79] + node _T_481 = or(_T_480, i0_nonblock_load_stall) @[el2_dec_decode_ctl.scala 543:47] + node _T_482 = or(_T_481, i0_load_block_d) @[el2_dec_decode_ctl.scala 543:72] + node _T_483 = or(_T_482, i0_nonblock_div_stall) @[el2_dec_decode_ctl.scala 544:21] + node i0_block_raw_d = or(_T_483, i0_div_prior_div_stall) @[el2_dec_decode_ctl.scala 544:45] + node _T_484 = or(io.lsu_store_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 546:65] + node i0_store_stall_d = and(i0_dp.store, _T_484) @[el2_dec_decode_ctl.scala 546:39] + node _T_485 = or(io.lsu_load_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 547:63] + node i0_load_stall_d = and(i0_dp.load, _T_485) @[el2_dec_decode_ctl.scala 547:38] + node _T_486 = or(i0_block_raw_d, i0_store_stall_d) @[el2_dec_decode_ctl.scala 548:38] + node i0_block_d = or(_T_486, i0_load_stall_d) @[el2_dec_decode_ctl.scala 548:57] + node _T_487 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 552:46] + node _T_488 = and(io.dec_ib0_valid_d, _T_487) @[el2_dec_decode_ctl.scala 552:44] + node _T_489 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 552:63] + node _T_490 = and(_T_488, _T_489) @[el2_dec_decode_ctl.scala 552:61] + node _T_491 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 552:91] + node _T_492 = and(_T_490, _T_491) @[el2_dec_decode_ctl.scala 552:89] + io.dec_i0_decode_d <= _T_492 @[el2_dec_decode_ctl.scala 552:22] + node _T_493 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 553:46] + node _T_494 = and(io.dec_ib0_valid_d, _T_493) @[el2_dec_decode_ctl.scala 553:44] + node _T_495 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 553:63] + node _T_496 = and(_T_494, _T_495) @[el2_dec_decode_ctl.scala 553:61] + node _T_497 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 553:91] + node i0_exudecode_d = and(_T_496, _T_497) @[el2_dec_decode_ctl.scala 553:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[el2_dec_decode_ctl.scala 554:46] + io.dec_pmu_instr_decoded <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 557:28] + node _T_498 = eq(io.dec_i0_decode_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 558:51] + node _T_499 = and(io.dec_ib0_valid_d, _T_498) @[el2_dec_decode_ctl.scala 558:49] + io.dec_pmu_decode_stall <= _T_499 @[el2_dec_decode_ctl.scala 558:27] + node _T_500 = bits(postsync_stall, 0, 0) @[el2_dec_decode_ctl.scala 559:47] + io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 559:29] + node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 560:46] + io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 560:29] + node prior_inflight = or(x_d.valid, r_d.valid) @[el2_dec_decode_ctl.scala 564:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[el2_dec_decode_ctl.scala 565:31] + node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 567:37] + presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 567:22] + reg _T_503 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 568:53] + _T_503 <= ps_stall_in @[el2_dec_decode_ctl.scala 568:53] + postsync_stall <= _T_503 @[el2_dec_decode_ctl.scala 568:18] + node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 570:56] + node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 570:54] + node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 570:39] + node _T_507 = and(postsync_stall, x_d.valid) @[el2_dec_decode_ctl.scala 570:88] + node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 570:69] + ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 570:15] + node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 572:50] + io.dec_i0_alu_decode_d <= _T_509 @[el2_dec_decode_ctl.scala 572:26] + node _T_510 = and(i0_legal_decode_d, i0_dp.lsu) @[el2_dec_decode_ctl.scala 574:40] + lsu_decode_d <= _T_510 @[el2_dec_decode_ctl.scala 574:16] + node _T_511 = and(i0_exulegal_decode_d, i0_dp.mul) @[el2_dec_decode_ctl.scala 575:40] + mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 575:16] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 576:40] + div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 576:16] + node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 578:45] + node _T_514 = and(r_d.valid, _T_513) @[el2_dec_decode_ctl.scala 578:43] + io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 578:29] + d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 581:26] + node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 582:40] + d_t.icaf <= _T_515 @[el2_dec_decode_ctl.scala 582:26] + node _T_516 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 583:50] + d_t.icaf_f1 <= _T_516 @[el2_dec_decode_ctl.scala 583:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[el2_dec_decode_ctl.scala 584:26] + node _T_517 = or(i0_dp.fence_i, debug_fence_i) @[el2_dec_decode_ctl.scala 586:44] + node _T_518 = and(_T_517, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 586:61] + d_t.fence_i <= _T_518 @[el2_dec_decode_ctl.scala 586:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[el2_dec_decode_ctl.scala 589:26] + d_t.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 590:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 591:26] wire _T_519 : UInt<1>[4] @[el2_lib.scala 162:48] _T_519[0] <= io.dec_i0_decode_d @[el2_lib.scala 162:48] _T_519[1] <= io.dec_i0_decode_d @[el2_lib.scala 162:48] @@ -4029,15 +4027,15 @@ circuit el2_dec : node _T_520 = cat(_T_519[0], _T_519[1]) @[Cat.scala 29:58] node _T_521 = cat(_T_520, _T_519[2]) @[Cat.scala 29:58] node _T_522 = cat(_T_521, _T_519[3]) @[Cat.scala 29:58] - node _T_523 = and(io.dec_i0_trigger_match_d, _T_522) @[el2_dec_decode_ctl.scala 597:56] - d_t.i0trigger <= _T_523 @[el2_dec_decode_ctl.scala 597:26] - node _T_524 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 600:33] - inst rvclkhdr_4 of rvclkhdr_5 @[el2_lib.scala 518:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_4.io.en <= _T_524 @[el2_lib.scala 521:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + node _T_523 = and(io.dec_i0_trigger_match_d, _T_522) @[el2_dec_decode_ctl.scala 593:56] + d_t.i0trigger <= _T_523 @[el2_dec_decode_ctl.scala 593:26] + node _T_524 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 596:33] + inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 518:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_5.io.en <= _T_524 @[el2_lib.scala 521:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_525 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 524:33] _T_525.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_525.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4049,7 +4047,7 @@ circuit el2_dec : _T_525.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_525.icaf <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_525.legal <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_526 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_4.io.l1clk with : (reset => (reset, _T_525)) @[el2_lib.scala 524:16] + reg _T_526 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_525)) @[el2_lib.scala 524:16] _T_526.pmu_lsu_misaligned <= d_t.pmu_lsu_misaligned @[el2_lib.scala 524:16] _T_526.pmu_divide <= d_t.pmu_divide @[el2_lib.scala 524:16] _T_526.pmu_i0_br_unpred <= d_t.pmu_i0_br_unpred @[el2_lib.scala 524:16] @@ -4060,26 +4058,26 @@ circuit el2_dec : _T_526.icaf_f1 <= d_t.icaf_f1 @[el2_lib.scala 524:16] _T_526.icaf <= d_t.icaf @[el2_lib.scala 524:16] _T_526.legal <= d_t.legal @[el2_lib.scala 524:16] - x_t.pmu_lsu_misaligned <= _T_526.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 600:7] - x_t.pmu_divide <= _T_526.pmu_divide @[el2_dec_decode_ctl.scala 600:7] - x_t.pmu_i0_br_unpred <= _T_526.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 600:7] - x_t.pmu_i0_itype <= _T_526.pmu_i0_itype @[el2_dec_decode_ctl.scala 600:7] - x_t.i0trigger <= _T_526.i0trigger @[el2_dec_decode_ctl.scala 600:7] - x_t.fence_i <= _T_526.fence_i @[el2_dec_decode_ctl.scala 600:7] - x_t.icaf_type <= _T_526.icaf_type @[el2_dec_decode_ctl.scala 600:7] - x_t.icaf_f1 <= _T_526.icaf_f1 @[el2_dec_decode_ctl.scala 600:7] - x_t.icaf <= _T_526.icaf @[el2_dec_decode_ctl.scala 600:7] - x_t.legal <= _T_526.legal @[el2_dec_decode_ctl.scala 600:7] - x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 602:10] - x_t_in.pmu_divide <= x_t.pmu_divide @[el2_dec_decode_ctl.scala 602:10] - x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 602:10] - x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 602:10] - x_t_in.i0trigger <= x_t.i0trigger @[el2_dec_decode_ctl.scala 602:10] - x_t_in.fence_i <= x_t.fence_i @[el2_dec_decode_ctl.scala 602:10] - x_t_in.icaf_type <= x_t.icaf_type @[el2_dec_decode_ctl.scala 602:10] - x_t_in.icaf_f1 <= x_t.icaf_f1 @[el2_dec_decode_ctl.scala 602:10] - x_t_in.icaf <= x_t.icaf @[el2_dec_decode_ctl.scala 602:10] - x_t_in.legal <= x_t.legal @[el2_dec_decode_ctl.scala 602:10] + x_t.pmu_lsu_misaligned <= _T_526.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 596:7] + x_t.pmu_divide <= _T_526.pmu_divide @[el2_dec_decode_ctl.scala 596:7] + x_t.pmu_i0_br_unpred <= _T_526.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 596:7] + x_t.pmu_i0_itype <= _T_526.pmu_i0_itype @[el2_dec_decode_ctl.scala 596:7] + x_t.i0trigger <= _T_526.i0trigger @[el2_dec_decode_ctl.scala 596:7] + x_t.fence_i <= _T_526.fence_i @[el2_dec_decode_ctl.scala 596:7] + x_t.icaf_type <= _T_526.icaf_type @[el2_dec_decode_ctl.scala 596:7] + x_t.icaf_f1 <= _T_526.icaf_f1 @[el2_dec_decode_ctl.scala 596:7] + x_t.icaf <= _T_526.icaf @[el2_dec_decode_ctl.scala 596:7] + x_t.legal <= _T_526.legal @[el2_dec_decode_ctl.scala 596:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 598:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[el2_dec_decode_ctl.scala 598:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 598:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 598:10] + x_t_in.i0trigger <= x_t.i0trigger @[el2_dec_decode_ctl.scala 598:10] + x_t_in.fence_i <= x_t.fence_i @[el2_dec_decode_ctl.scala 598:10] + x_t_in.icaf_type <= x_t.icaf_type @[el2_dec_decode_ctl.scala 598:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[el2_dec_decode_ctl.scala 598:10] + x_t_in.icaf <= x_t.icaf @[el2_dec_decode_ctl.scala 598:10] + x_t_in.legal <= x_t.legal @[el2_dec_decode_ctl.scala 598:10] wire _T_527 : UInt<1>[4] @[el2_lib.scala 162:48] _T_527[0] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 162:48] _T_527[1] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 162:48] @@ -4088,16 +4086,16 @@ circuit el2_dec : node _T_528 = cat(_T_527[0], _T_527[1]) @[Cat.scala 29:58] node _T_529 = cat(_T_528, _T_527[2]) @[Cat.scala 29:58] node _T_530 = cat(_T_529, _T_527[3]) @[Cat.scala 29:58] - node _T_531 = not(_T_530) @[el2_dec_decode_ctl.scala 603:39] - node _T_532 = and(x_t.i0trigger, _T_531) @[el2_dec_decode_ctl.scala 603:37] - x_t_in.i0trigger <= _T_532 @[el2_dec_decode_ctl.scala 603:20] - node _T_533 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 605:36] - inst rvclkhdr_5 of rvclkhdr_6 @[el2_lib.scala 518:23] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_5.io.en <= _T_533 @[el2_lib.scala 521:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + node _T_531 = not(_T_530) @[el2_dec_decode_ctl.scala 599:39] + node _T_532 = and(x_t.i0trigger, _T_531) @[el2_dec_decode_ctl.scala 599:37] + x_t_in.i0trigger <= _T_532 @[el2_dec_decode_ctl.scala 599:20] + node _T_533 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 601:36] + inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 518:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_6.io.en <= _T_533 @[el2_lib.scala 521:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_534 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 524:33] _T_534.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_534.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4109,7 +4107,7 @@ circuit el2_dec : _T_534.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_534.icaf <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_534.legal <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_535 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_534)) @[el2_lib.scala 524:16] + reg _T_535 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_534)) @[el2_lib.scala 524:16] _T_535.pmu_lsu_misaligned <= x_t_in.pmu_lsu_misaligned @[el2_lib.scala 524:16] _T_535.pmu_divide <= x_t_in.pmu_divide @[el2_lib.scala 524:16] _T_535.pmu_i0_br_unpred <= x_t_in.pmu_i0_br_unpred @[el2_lib.scala 524:16] @@ -4120,31 +4118,31 @@ circuit el2_dec : _T_535.icaf_f1 <= x_t_in.icaf_f1 @[el2_lib.scala 524:16] _T_535.icaf <= x_t_in.icaf @[el2_lib.scala 524:16] _T_535.legal <= x_t_in.legal @[el2_lib.scala 524:16] - r_t.pmu_lsu_misaligned <= _T_535.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 605:7] - r_t.pmu_divide <= _T_535.pmu_divide @[el2_dec_decode_ctl.scala 605:7] - r_t.pmu_i0_br_unpred <= _T_535.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 605:7] - r_t.pmu_i0_itype <= _T_535.pmu_i0_itype @[el2_dec_decode_ctl.scala 605:7] - r_t.i0trigger <= _T_535.i0trigger @[el2_dec_decode_ctl.scala 605:7] - r_t.fence_i <= _T_535.fence_i @[el2_dec_decode_ctl.scala 605:7] - r_t.icaf_type <= _T_535.icaf_type @[el2_dec_decode_ctl.scala 605:7] - r_t.icaf_f1 <= _T_535.icaf_f1 @[el2_dec_decode_ctl.scala 605:7] - r_t.icaf <= _T_535.icaf @[el2_dec_decode_ctl.scala 605:7] - r_t.legal <= _T_535.legal @[el2_dec_decode_ctl.scala 605:7] - reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 606:36] - lsu_trigger_match_r <= io.lsu_trigger_match_m @[el2_dec_decode_ctl.scala 606:36] - reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 607:37] - lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[el2_dec_decode_ctl.scala 607:37] - r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 609:10] - r_t_in.pmu_divide <= r_t.pmu_divide @[el2_dec_decode_ctl.scala 609:10] - r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 609:10] - r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 609:10] - r_t_in.i0trigger <= r_t.i0trigger @[el2_dec_decode_ctl.scala 609:10] - r_t_in.fence_i <= r_t.fence_i @[el2_dec_decode_ctl.scala 609:10] - r_t_in.icaf_type <= r_t.icaf_type @[el2_dec_decode_ctl.scala 609:10] - r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 609:10] - r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 609:10] - r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 609:10] - node _T_536 = or(r_d.bits.i0load, r_d.bits.i0store) @[el2_dec_decode_ctl.scala 611:61] + r_t.pmu_lsu_misaligned <= _T_535.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 601:7] + r_t.pmu_divide <= _T_535.pmu_divide @[el2_dec_decode_ctl.scala 601:7] + r_t.pmu_i0_br_unpred <= _T_535.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 601:7] + r_t.pmu_i0_itype <= _T_535.pmu_i0_itype @[el2_dec_decode_ctl.scala 601:7] + r_t.i0trigger <= _T_535.i0trigger @[el2_dec_decode_ctl.scala 601:7] + r_t.fence_i <= _T_535.fence_i @[el2_dec_decode_ctl.scala 601:7] + r_t.icaf_type <= _T_535.icaf_type @[el2_dec_decode_ctl.scala 601:7] + r_t.icaf_f1 <= _T_535.icaf_f1 @[el2_dec_decode_ctl.scala 601:7] + r_t.icaf <= _T_535.icaf @[el2_dec_decode_ctl.scala 601:7] + r_t.legal <= _T_535.legal @[el2_dec_decode_ctl.scala 601:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 602:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[el2_dec_decode_ctl.scala 602:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 603:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[el2_dec_decode_ctl.scala 603:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 605:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[el2_dec_decode_ctl.scala 605:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 605:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 605:10] + r_t_in.i0trigger <= r_t.i0trigger @[el2_dec_decode_ctl.scala 605:10] + r_t_in.fence_i <= r_t.fence_i @[el2_dec_decode_ctl.scala 605:10] + r_t_in.icaf_type <= r_t.icaf_type @[el2_dec_decode_ctl.scala 605:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 605:10] + r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 605:10] + r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 605:10] + node _T_536 = or(r_d.bits.i0load, r_d.bits.i0store) @[el2_dec_decode_ctl.scala 607:61] wire _T_537 : UInt<1>[4] @[el2_lib.scala 162:48] _T_537[0] <= _T_536 @[el2_lib.scala 162:48] _T_537[1] <= _T_536 @[el2_lib.scala 162:48] @@ -4153,83 +4151,83 @@ circuit el2_dec : node _T_538 = cat(_T_537[0], _T_537[1]) @[Cat.scala 29:58] node _T_539 = cat(_T_538, _T_537[2]) @[Cat.scala 29:58] node _T_540 = cat(_T_539, _T_537[3]) @[Cat.scala 29:58] - node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 611:82] - node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 611:105] - r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 611:33] - r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 612:33] - node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 614:35] - when _T_543 : @[el2_dec_decode_ctl.scala 614:43] - wire _T_544 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_i0_itype <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.i0trigger <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.icaf_type <= UInt<2>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.icaf_f1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.icaf <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - r_t_in.pmu_lsu_misaligned <= _T_544.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 614:51] - r_t_in.pmu_divide <= _T_544.pmu_divide @[el2_dec_decode_ctl.scala 614:51] - r_t_in.pmu_i0_br_unpred <= _T_544.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 614:51] - r_t_in.pmu_i0_itype <= _T_544.pmu_i0_itype @[el2_dec_decode_ctl.scala 614:51] - r_t_in.i0trigger <= _T_544.i0trigger @[el2_dec_decode_ctl.scala 614:51] - r_t_in.fence_i <= _T_544.fence_i @[el2_dec_decode_ctl.scala 614:51] - r_t_in.icaf_type <= _T_544.icaf_type @[el2_dec_decode_ctl.scala 614:51] - r_t_in.icaf_f1 <= _T_544.icaf_f1 @[el2_dec_decode_ctl.scala 614:51] - r_t_in.icaf <= _T_544.icaf @[el2_dec_decode_ctl.scala 614:51] - r_t_in.legal <= _T_544.legal @[el2_dec_decode_ctl.scala 614:51] - skip @[el2_dec_decode_ctl.scala 614:43] - io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 616:39] - node _T_545 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 617:58] - io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 617:39] - reg _T_546 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 620:52] - _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 620:52] - flush_final_r <= _T_546 @[el2_dec_decode_ctl.scala 620:17] - node _T_547 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 622:46] - node _T_548 = and(io.dec_ib0_valid_d, _T_547) @[el2_dec_decode_ctl.scala 622:44] - node _T_549 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 622:60] - node _T_550 = and(_T_548, _T_549) @[el2_dec_decode_ctl.scala 622:58] - node _T_551 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 622:88] - node _T_552 = and(_T_550, _T_551) @[el2_dec_decode_ctl.scala 622:86] - io.dec_i0_decode_d <= _T_552 @[el2_dec_decode_ctl.scala 622:22] - node _T_553 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 624:16] - i0r.rs1 <= _T_553 @[el2_dec_decode_ctl.scala 624:11] - node _T_554 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 625:16] - i0r.rs2 <= _T_554 @[el2_dec_decode_ctl.scala 625:11] - node _T_555 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 626:16] - i0r.rd <= _T_555 @[el2_dec_decode_ctl.scala 626:11] - node _T_556 = neq(i0r.rs1, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 628:49] - node _T_557 = and(i0_dp.rs1, _T_556) @[el2_dec_decode_ctl.scala 628:38] - io.dec_i0_rs1_en_d <= _T_557 @[el2_dec_decode_ctl.scala 628:24] - node _T_558 = neq(i0r.rs2, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 629:49] - node _T_559 = and(i0_dp.rs2, _T_558) @[el2_dec_decode_ctl.scala 629:38] - io.dec_i0_rs2_en_d <= _T_559 @[el2_dec_decode_ctl.scala 629:24] - node _T_560 = neq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 630:48] - node i0_rd_en_d = and(i0_dp.rd, _T_560) @[el2_dec_decode_ctl.scala 630:37] - io.dec_i0_rs1_d <= i0r.rs1 @[el2_dec_decode_ctl.scala 631:19] - io.dec_i0_rs2_d <= i0r.rs2 @[el2_dec_decode_ctl.scala 632:19] - node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[el2_dec_decode_ctl.scala 634:38] - node _T_561 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 635:27] - node i0_uiimm20 = and(_T_561, i0_dp.imm20) @[el2_dec_decode_ctl.scala 635:38] - node _T_562 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 639:5] + node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 607:82] + node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 607:105] + r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 607:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 608:33] + node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 610:35] + when _T_543 : @[el2_dec_decode_ctl.scala 610:43] + wire _T_544 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_i0_itype <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.i0trigger <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.icaf_type <= UInt<2>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.icaf_f1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.icaf <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + r_t_in.pmu_lsu_misaligned <= _T_544.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 610:51] + r_t_in.pmu_divide <= _T_544.pmu_divide @[el2_dec_decode_ctl.scala 610:51] + r_t_in.pmu_i0_br_unpred <= _T_544.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 610:51] + r_t_in.pmu_i0_itype <= _T_544.pmu_i0_itype @[el2_dec_decode_ctl.scala 610:51] + r_t_in.i0trigger <= _T_544.i0trigger @[el2_dec_decode_ctl.scala 610:51] + r_t_in.fence_i <= _T_544.fence_i @[el2_dec_decode_ctl.scala 610:51] + r_t_in.icaf_type <= _T_544.icaf_type @[el2_dec_decode_ctl.scala 610:51] + r_t_in.icaf_f1 <= _T_544.icaf_f1 @[el2_dec_decode_ctl.scala 610:51] + r_t_in.icaf <= _T_544.icaf @[el2_dec_decode_ctl.scala 610:51] + r_t_in.legal <= _T_544.legal @[el2_dec_decode_ctl.scala 610:51] + skip @[el2_dec_decode_ctl.scala 610:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 612:39] + node _T_545 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 613:58] + io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 613:39] + reg _T_546 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 616:52] + _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 616:52] + flush_final_r <= _T_546 @[el2_dec_decode_ctl.scala 616:17] + node _T_547 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 618:46] + node _T_548 = and(io.dec_ib0_valid_d, _T_547) @[el2_dec_decode_ctl.scala 618:44] + node _T_549 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 618:60] + node _T_550 = and(_T_548, _T_549) @[el2_dec_decode_ctl.scala 618:58] + node _T_551 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 618:88] + node _T_552 = and(_T_550, _T_551) @[el2_dec_decode_ctl.scala 618:86] + io.dec_i0_decode_d <= _T_552 @[el2_dec_decode_ctl.scala 618:22] + node _T_553 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 620:16] + i0r.rs1 <= _T_553 @[el2_dec_decode_ctl.scala 620:11] + node _T_554 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 621:16] + i0r.rs2 <= _T_554 @[el2_dec_decode_ctl.scala 621:11] + node _T_555 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 622:16] + i0r.rd <= _T_555 @[el2_dec_decode_ctl.scala 622:11] + node _T_556 = neq(i0r.rs1, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 624:49] + node _T_557 = and(i0_dp.rs1, _T_556) @[el2_dec_decode_ctl.scala 624:38] + io.dec_i0_rs1_en_d <= _T_557 @[el2_dec_decode_ctl.scala 624:24] + node _T_558 = neq(i0r.rs2, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 625:49] + node _T_559 = and(i0_dp.rs2, _T_558) @[el2_dec_decode_ctl.scala 625:38] + io.dec_i0_rs2_en_d <= _T_559 @[el2_dec_decode_ctl.scala 625:24] + node _T_560 = neq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 626:48] + node i0_rd_en_d = and(i0_dp.rd, _T_560) @[el2_dec_decode_ctl.scala 626:37] + io.dec_i0_rs1_d <= i0r.rs1 @[el2_dec_decode_ctl.scala 627:19] + io.dec_i0_rs2_d <= i0r.rs2 @[el2_dec_decode_ctl.scala 628:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[el2_dec_decode_ctl.scala 630:38] + node _T_561 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 631:27] + node i0_uiimm20 = and(_T_561, i0_dp.imm20) @[el2_dec_decode_ctl.scala 631:38] + node _T_562 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 635:5] node _T_563 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_564 = mux(_T_562, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_565 = or(_T_563, _T_564) @[Mux.scala 27:72] wire _T_566 : UInt<32> @[Mux.scala 27:72] _T_566 <= _T_565 @[Mux.scala 27:72] - io.dec_i0_immed_d <= _T_566 @[el2_dec_decode_ctl.scala 637:21] - node _T_567 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 642:38] + io.dec_i0_immed_d <= _T_566 @[el2_dec_decode_ctl.scala 633:21] + node _T_567 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 638:38] wire _T_568 : UInt<1>[20] @[el2_lib.scala 162:48] _T_568[0] <= _T_567 @[el2_lib.scala 162:48] _T_568[1] <= _T_567 @[el2_lib.scala 162:48] @@ -4270,7 +4268,7 @@ circuit el2_dec : node _T_585 = cat(_T_584, _T_568[17]) @[Cat.scala 29:58] node _T_586 = cat(_T_585, _T_568[18]) @[Cat.scala 29:58] node _T_587 = cat(_T_586, _T_568[19]) @[Cat.scala 29:58] - node _T_588 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 642:46] + node _T_588 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 638:46] node _T_589 = cat(_T_587, _T_588) @[Cat.scala 29:58] wire _T_590 : UInt<1>[27] @[el2_lib.scala 162:48] _T_590[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4326,9 +4324,9 @@ circuit el2_dec : node _T_614 = cat(_T_613, _T_590[24]) @[Cat.scala 29:58] node _T_615 = cat(_T_614, _T_590[25]) @[Cat.scala 29:58] node _T_616 = cat(_T_615, _T_590[26]) @[Cat.scala 29:58] - node _T_617 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 643:43] + node _T_617 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 639:43] node _T_618 = cat(_T_616, _T_617) @[Cat.scala 29:58] - node _T_619 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 644:38] + node _T_619 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 640:38] wire _T_620 : UInt<1>[12] @[el2_lib.scala 162:48] _T_620[0] <= _T_619 @[el2_lib.scala 162:48] _T_620[1] <= _T_619 @[el2_lib.scala 162:48] @@ -4353,14 +4351,14 @@ circuit el2_dec : node _T_629 = cat(_T_628, _T_620[9]) @[Cat.scala 29:58] node _T_630 = cat(_T_629, _T_620[10]) @[Cat.scala 29:58] node _T_631 = cat(_T_630, _T_620[11]) @[Cat.scala 29:58] - node _T_632 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 644:46] - node _T_633 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 644:56] - node _T_634 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 644:63] + node _T_632 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 640:46] + node _T_633 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 640:56] + node _T_634 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 640:63] node _T_635 = cat(_T_634, UInt<1>("h00")) @[Cat.scala 29:58] node _T_636 = cat(_T_631, _T_632) @[Cat.scala 29:58] node _T_637 = cat(_T_636, _T_633) @[Cat.scala 29:58] node _T_638 = cat(_T_637, _T_635) @[Cat.scala 29:58] - node _T_639 = bits(io.dec_i0_instr_d, 31, 12) @[el2_dec_decode_ctl.scala 645:30] + node _T_639 = bits(io.dec_i0_instr_d, 31, 12) @[el2_dec_decode_ctl.scala 641:30] wire _T_640 : UInt<1>[12] @[el2_lib.scala 162:48] _T_640[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_640[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4386,8 +4384,8 @@ circuit el2_dec : node _T_650 = cat(_T_649, _T_640[10]) @[Cat.scala 29:58] node _T_651 = cat(_T_650, _T_640[11]) @[Cat.scala 29:58] node _T_652 = cat(_T_639, _T_651) @[Cat.scala 29:58] - node _T_653 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[el2_dec_decode_ctl.scala 646:26] - node _T_654 = bits(_T_653, 0, 0) @[el2_dec_decode_ctl.scala 646:43] + node _T_653 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[el2_dec_decode_ctl.scala 642:26] + node _T_654 = bits(_T_653, 0, 0) @[el2_dec_decode_ctl.scala 642:43] wire _T_655 : UInt<1>[27] @[el2_lib.scala 162:48] _T_655[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_655[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4442,7 +4440,7 @@ circuit el2_dec : node _T_679 = cat(_T_678, _T_655[24]) @[Cat.scala 29:58] node _T_680 = cat(_T_679, _T_655[25]) @[Cat.scala 29:58] node _T_681 = cat(_T_680, _T_655[26]) @[Cat.scala 29:58] - node _T_682 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 646:72] + node _T_682 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 642:72] node _T_683 = cat(_T_681, _T_682) @[Cat.scala 29:58] node _T_684 = mux(i0_dp.imm12, _T_589, UInt<1>("h00")) @[Mux.scala 27:72] node _T_685 = mux(i0_dp.shimm5, _T_618, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4455,85 +4453,85 @@ circuit el2_dec : node _T_692 = or(_T_691, _T_688) @[Mux.scala 27:72] wire _T_693 : UInt<32> @[Mux.scala 27:72] _T_693 <= _T_692 @[Mux.scala 27:72] - i0_immed_d <= _T_693 @[el2_dec_decode_ctl.scala 641:14] - node _T_694 = and(io.dec_i0_decode_d, i0_legal) @[el2_dec_decode_ctl.scala 648:46] - i0_legal_decode_d <= _T_694 @[el2_dec_decode_ctl.scala 648:24] - node _T_695 = and(i0_dp.mul, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 650:44] - i0_d_c.mul <= _T_695 @[el2_dec_decode_ctl.scala 650:29] - node _T_696 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 651:44] - i0_d_c.load <= _T_696 @[el2_dec_decode_ctl.scala 651:29] - node _T_697 = and(i0_dp.alu, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 652:44] - i0_d_c.alu <= _T_697 @[el2_dec_decode_ctl.scala 652:29] - node _T_698 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 654:71] + i0_immed_d <= _T_693 @[el2_dec_decode_ctl.scala 637:14] + node _T_694 = and(io.dec_i0_decode_d, i0_legal) @[el2_dec_decode_ctl.scala 644:46] + i0_legal_decode_d <= _T_694 @[el2_dec_decode_ctl.scala 644:24] + node _T_695 = and(i0_dp.mul, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 646:44] + i0_d_c.mul <= _T_695 @[el2_dec_decode_ctl.scala 646:29] + node _T_696 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 647:44] + i0_d_c.load <= _T_696 @[el2_dec_decode_ctl.scala 647:29] + node _T_697 = and(i0_dp.alu, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 648:44] + i0_d_c.alu <= _T_697 @[el2_dec_decode_ctl.scala 648:29] + node _T_698 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 650:71] reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] when _T_698 : @[Reg.scala 16:19] i0_x_c.alu <= i0_d_c.alu @[Reg.scala 16:23] i0_x_c.load <= i0_d_c.load @[Reg.scala 16:23] i0_x_c.mul <= i0_d_c.mul @[Reg.scala 16:23] skip @[Reg.scala 16:19] - node _T_699 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 655:71] + node _T_699 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 651:71] reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] when _T_699 : @[Reg.scala 16:19] i0_r_c.alu <= i0_x_c.alu @[Reg.scala 16:23] i0_r_c.load <= i0_x_c.load @[Reg.scala 16:23] i0_r_c.mul <= i0_x_c.mul @[Reg.scala 16:23] skip @[Reg.scala 16:19] - node _T_700 = bits(i0_pipe_en, 3, 1) @[el2_dec_decode_ctl.scala 656:83] - reg _T_701 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 656:72] - _T_701 <= _T_700 @[el2_dec_decode_ctl.scala 656:72] + node _T_700 = bits(i0_pipe_en, 3, 1) @[el2_dec_decode_ctl.scala 652:83] + reg _T_701 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 652:72] + _T_701 <= _T_700 @[el2_dec_decode_ctl.scala 652:72] node _T_702 = cat(io.dec_i0_decode_d, _T_701) @[Cat.scala 29:58] - i0_pipe_en <= _T_702 @[el2_dec_decode_ctl.scala 656:14] - node _T_703 = bits(i0_pipe_en, 3, 2) @[el2_dec_decode_ctl.scala 658:43] - node _T_704 = orr(_T_703) @[el2_dec_decode_ctl.scala 658:49] - node _T_705 = or(_T_704, io.clk_override) @[el2_dec_decode_ctl.scala 658:53] - i0_x_ctl_en <= _T_705 @[el2_dec_decode_ctl.scala 658:29] - node _T_706 = bits(i0_pipe_en, 2, 1) @[el2_dec_decode_ctl.scala 659:43] - node _T_707 = orr(_T_706) @[el2_dec_decode_ctl.scala 659:49] - node _T_708 = or(_T_707, io.clk_override) @[el2_dec_decode_ctl.scala 659:53] - i0_r_ctl_en <= _T_708 @[el2_dec_decode_ctl.scala 659:29] - node _T_709 = bits(i0_pipe_en, 1, 0) @[el2_dec_decode_ctl.scala 660:43] - node _T_710 = orr(_T_709) @[el2_dec_decode_ctl.scala 660:49] - node _T_711 = or(_T_710, io.clk_override) @[el2_dec_decode_ctl.scala 660:53] - i0_wb_ctl_en <= _T_711 @[el2_dec_decode_ctl.scala 660:29] - node _T_712 = bits(i0_pipe_en, 3, 3) @[el2_dec_decode_ctl.scala 661:44] - node _T_713 = or(_T_712, io.clk_override) @[el2_dec_decode_ctl.scala 661:50] - i0_x_data_en <= _T_713 @[el2_dec_decode_ctl.scala 661:29] - node _T_714 = bits(i0_pipe_en, 2, 2) @[el2_dec_decode_ctl.scala 662:44] - node _T_715 = or(_T_714, io.clk_override) @[el2_dec_decode_ctl.scala 662:50] - i0_r_data_en <= _T_715 @[el2_dec_decode_ctl.scala 662:29] - node _T_716 = bits(i0_pipe_en, 1, 1) @[el2_dec_decode_ctl.scala 663:44] - node _T_717 = or(_T_716, io.clk_override) @[el2_dec_decode_ctl.scala 663:50] - i0_wb_data_en <= _T_717 @[el2_dec_decode_ctl.scala 663:29] - node _T_718 = bits(i0_pipe_en, 0, 0) @[el2_dec_decode_ctl.scala 664:44] - node _T_719 = or(_T_718, io.clk_override) @[el2_dec_decode_ctl.scala 664:50] - i0_wb1_data_en <= _T_719 @[el2_dec_decode_ctl.scala 664:29] + i0_pipe_en <= _T_702 @[el2_dec_decode_ctl.scala 652:14] + node _T_703 = bits(i0_pipe_en, 3, 2) @[el2_dec_decode_ctl.scala 654:43] + node _T_704 = orr(_T_703) @[el2_dec_decode_ctl.scala 654:49] + node _T_705 = or(_T_704, io.clk_override) @[el2_dec_decode_ctl.scala 654:53] + i0_x_ctl_en <= _T_705 @[el2_dec_decode_ctl.scala 654:29] + node _T_706 = bits(i0_pipe_en, 2, 1) @[el2_dec_decode_ctl.scala 655:43] + node _T_707 = orr(_T_706) @[el2_dec_decode_ctl.scala 655:49] + node _T_708 = or(_T_707, io.clk_override) @[el2_dec_decode_ctl.scala 655:53] + i0_r_ctl_en <= _T_708 @[el2_dec_decode_ctl.scala 655:29] + node _T_709 = bits(i0_pipe_en, 1, 0) @[el2_dec_decode_ctl.scala 656:43] + node _T_710 = orr(_T_709) @[el2_dec_decode_ctl.scala 656:49] + node _T_711 = or(_T_710, io.clk_override) @[el2_dec_decode_ctl.scala 656:53] + i0_wb_ctl_en <= _T_711 @[el2_dec_decode_ctl.scala 656:29] + node _T_712 = bits(i0_pipe_en, 3, 3) @[el2_dec_decode_ctl.scala 657:44] + node _T_713 = or(_T_712, io.clk_override) @[el2_dec_decode_ctl.scala 657:50] + i0_x_data_en <= _T_713 @[el2_dec_decode_ctl.scala 657:29] + node _T_714 = bits(i0_pipe_en, 2, 2) @[el2_dec_decode_ctl.scala 658:44] + node _T_715 = or(_T_714, io.clk_override) @[el2_dec_decode_ctl.scala 658:50] + i0_r_data_en <= _T_715 @[el2_dec_decode_ctl.scala 658:29] + node _T_716 = bits(i0_pipe_en, 1, 1) @[el2_dec_decode_ctl.scala 659:44] + node _T_717 = or(_T_716, io.clk_override) @[el2_dec_decode_ctl.scala 659:50] + i0_wb_data_en <= _T_717 @[el2_dec_decode_ctl.scala 659:29] + node _T_718 = bits(i0_pipe_en, 0, 0) @[el2_dec_decode_ctl.scala 660:44] + node _T_719 = or(_T_718, io.clk_override) @[el2_dec_decode_ctl.scala 660:50] + i0_wb1_data_en <= _T_719 @[el2_dec_decode_ctl.scala 660:29] node _T_720 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] - io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 666:27] + io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 662:27] node _T_721 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] - io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 667:27] - d_d.bits.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 669:34] - node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:50] - d_d.bits.i0v <= _T_722 @[el2_dec_decode_ctl.scala 670:34] - d_d.valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 671:27] - node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:50] - d_d.bits.i0load <= _T_723 @[el2_dec_decode_ctl.scala 673:34] - node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 674:50] - d_d.bits.i0store <= _T_724 @[el2_dec_decode_ctl.scala 674:34] - node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 675:50] - d_d.bits.i0div <= _T_725 @[el2_dec_decode_ctl.scala 675:34] - node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 677:61] - d_d.bits.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 677:34] - node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 678:58] - d_d.bits.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 678:34] - node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 679:40] - d_d.bits.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 679:34] - node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 681:34] - inst rvclkhdr_6 of rvclkhdr_7 @[el2_lib.scala 518:23] - rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_6.io.en <= _T_729 @[el2_lib.scala 521:17] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 663:27] + d_d.bits.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 665:34] + node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 666:50] + d_d.bits.i0v <= _T_722 @[el2_dec_decode_ctl.scala 666:34] + d_d.valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 667:27] + node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:50] + d_d.bits.i0load <= _T_723 @[el2_dec_decode_ctl.scala 669:34] + node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:50] + d_d.bits.i0store <= _T_724 @[el2_dec_decode_ctl.scala 670:34] + node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 671:50] + d_d.bits.i0div <= _T_725 @[el2_dec_decode_ctl.scala 671:34] + node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:61] + d_d.bits.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 673:34] + node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 674:58] + d_d.bits.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 674:34] + node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 675:40] + d_d.bits.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 675:34] + node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 677:34] + inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 518:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_7.io.en <= _T_729 @[el2_lib.scala 521:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_730 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] _T_730.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] _T_730.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4544,7 +4542,7 @@ circuit el2_dec : _T_730.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_730.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] _T_730.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_731 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] + reg _T_731 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] _T_731.bits.csrwaddr <= d_d.bits.csrwaddr @[el2_lib.scala 524:16] _T_731.bits.csrwonly <= d_d.bits.csrwonly @[el2_lib.scala 524:16] _T_731.bits.csrwen <= d_d.bits.csrwen @[el2_lib.scala 524:16] @@ -4554,42 +4552,42 @@ circuit el2_dec : _T_731.bits.i0load <= d_d.bits.i0load @[el2_lib.scala 524:16] _T_731.bits.i0rd <= d_d.bits.i0rd @[el2_lib.scala 524:16] _T_731.valid <= d_d.valid @[el2_lib.scala 524:16] - x_d.bits.csrwaddr <= _T_731.bits.csrwaddr @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.csrwonly <= _T_731.bits.csrwonly @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.csrwen <= _T_731.bits.csrwen @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0v <= _T_731.bits.i0v @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0div <= _T_731.bits.i0div @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0store <= _T_731.bits.i0store @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0load <= _T_731.bits.i0load @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0rd <= _T_731.bits.i0rd @[el2_dec_decode_ctl.scala 681:7] - x_d.valid <= _T_731.valid @[el2_dec_decode_ctl.scala 681:7] - wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 682:20] - x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.csrwen <= x_d.bits.csrwen @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0v <= x_d.bits.i0v @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0div <= x_d.bits.i0div @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0store <= x_d.bits.i0store @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0load <= x_d.bits.i0load @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0rd <= x_d.bits.i0rd @[el2_dec_decode_ctl.scala 683:10] - x_d_in.valid <= x_d.valid @[el2_dec_decode_ctl.scala 683:10] - node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:49] - node _T_733 = and(x_d.bits.i0v, _T_732) @[el2_dec_decode_ctl.scala 684:47] - node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:78] - node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 684:76] - x_d_in.bits.i0v <= _T_735 @[el2_dec_decode_ctl.scala 684:27] - node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 685:35] - node _T_737 = and(x_d.valid, _T_736) @[el2_dec_decode_ctl.scala 685:33] - node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 685:64] - node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 685:62] - x_d_in.valid <= _T_739 @[el2_dec_decode_ctl.scala 685:20] - node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 687:36] - inst rvclkhdr_7 of rvclkhdr_8 @[el2_lib.scala 518:23] - rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_7.io.en <= _T_740 @[el2_lib.scala 521:17] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + x_d.bits.csrwaddr <= _T_731.bits.csrwaddr @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwonly <= _T_731.bits.csrwonly @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwen <= _T_731.bits.csrwen @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0v <= _T_731.bits.i0v @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0div <= _T_731.bits.i0div @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0store <= _T_731.bits.i0store @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0load <= _T_731.bits.i0load @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0rd <= _T_731.bits.i0rd @[el2_dec_decode_ctl.scala 677:7] + x_d.valid <= _T_731.valid @[el2_dec_decode_ctl.scala 677:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 678:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[el2_dec_decode_ctl.scala 679:10] + x_d_in.valid <= x_d.valid @[el2_dec_decode_ctl.scala 679:10] + node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:49] + node _T_733 = and(x_d.bits.i0v, _T_732) @[el2_dec_decode_ctl.scala 680:47] + node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:78] + node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 680:76] + x_d_in.bits.i0v <= _T_735 @[el2_dec_decode_ctl.scala 680:27] + node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:35] + node _T_737 = and(x_d.valid, _T_736) @[el2_dec_decode_ctl.scala 681:33] + node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:64] + node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 681:62] + x_d_in.valid <= _T_739 @[el2_dec_decode_ctl.scala 681:20] + node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 683:36] + inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 518:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_8.io.en <= _T_740 @[el2_lib.scala 521:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_741 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] _T_741.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] _T_741.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4600,7 +4598,7 @@ circuit el2_dec : _T_741.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_741.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] _T_741.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_742 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] + reg _T_742 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] _T_742.bits.csrwaddr <= x_d_in.bits.csrwaddr @[el2_lib.scala 524:16] _T_742.bits.csrwonly <= x_d_in.bits.csrwonly @[el2_lib.scala 524:16] _T_742.bits.csrwen <= x_d_in.bits.csrwen @[el2_lib.scala 524:16] @@ -4610,44 +4608,44 @@ circuit el2_dec : _T_742.bits.i0load <= x_d_in.bits.i0load @[el2_lib.scala 524:16] _T_742.bits.i0rd <= x_d_in.bits.i0rd @[el2_lib.scala 524:16] _T_742.valid <= x_d_in.valid @[el2_lib.scala 524:16] - r_d.bits.csrwaddr <= _T_742.bits.csrwaddr @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.csrwonly <= _T_742.bits.csrwonly @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.csrwen <= _T_742.bits.csrwen @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0v <= _T_742.bits.i0v @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0div <= _T_742.bits.i0div @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0store <= _T_742.bits.i0store @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0load <= _T_742.bits.i0load @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0rd <= _T_742.bits.i0rd @[el2_dec_decode_ctl.scala 687:7] - r_d.valid <= _T_742.valid @[el2_dec_decode_ctl.scala 687:7] - r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.csrwen <= r_d.bits.csrwen @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0v <= r_d.bits.i0v @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0div <= r_d.bits.i0div @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0store <= r_d.bits.i0store @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0load <= r_d.bits.i0load @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 688:10] - r_d_in.valid <= r_d.valid @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 689:22] - node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 691:51] - node _T_744 = and(r_d.bits.i0v, _T_743) @[el2_dec_decode_ctl.scala 691:49] - r_d_in.bits.i0v <= _T_744 @[el2_dec_decode_ctl.scala 691:27] - node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 692:37] - node _T_746 = and(r_d.valid, _T_745) @[el2_dec_decode_ctl.scala 692:35] - r_d_in.valid <= _T_746 @[el2_dec_decode_ctl.scala 692:20] - node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 693:51] - node _T_748 = and(r_d.bits.i0load, _T_747) @[el2_dec_decode_ctl.scala 693:49] - r_d_in.bits.i0load <= _T_748 @[el2_dec_decode_ctl.scala 693:27] - node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 694:51] - node _T_750 = and(r_d.bits.i0store, _T_749) @[el2_dec_decode_ctl.scala 694:49] - r_d_in.bits.i0store <= _T_750 @[el2_dec_decode_ctl.scala 694:27] - node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 696:37] - inst rvclkhdr_8 of rvclkhdr_9 @[el2_lib.scala 518:23] - rvclkhdr_8.clock <= clock - rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_8.io.en <= _T_751 @[el2_lib.scala 521:17] - rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + r_d.bits.csrwaddr <= _T_742.bits.csrwaddr @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwonly <= _T_742.bits.csrwonly @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwen <= _T_742.bits.csrwen @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0v <= _T_742.bits.i0v @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0div <= _T_742.bits.i0div @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0store <= _T_742.bits.i0store @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0load <= _T_742.bits.i0load @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0rd <= _T_742.bits.i0rd @[el2_dec_decode_ctl.scala 683:7] + r_d.valid <= _T_742.valid @[el2_dec_decode_ctl.scala 683:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 684:10] + r_d_in.valid <= r_d.valid @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 685:22] + node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 687:51] + node _T_744 = and(r_d.bits.i0v, _T_743) @[el2_dec_decode_ctl.scala 687:49] + r_d_in.bits.i0v <= _T_744 @[el2_dec_decode_ctl.scala 687:27] + node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 688:37] + node _T_746 = and(r_d.valid, _T_745) @[el2_dec_decode_ctl.scala 688:35] + r_d_in.valid <= _T_746 @[el2_dec_decode_ctl.scala 688:20] + node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 689:51] + node _T_748 = and(r_d.bits.i0load, _T_747) @[el2_dec_decode_ctl.scala 689:49] + r_d_in.bits.i0load <= _T_748 @[el2_dec_decode_ctl.scala 689:27] + node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:51] + node _T_750 = and(r_d.bits.i0store, _T_749) @[el2_dec_decode_ctl.scala 690:49] + r_d_in.bits.i0store <= _T_750 @[el2_dec_decode_ctl.scala 690:27] + node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 692:37] + inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 518:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_9.io.en <= _T_751 @[el2_lib.scala 521:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_752 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] _T_752.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] _T_752.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4658,7 +4656,7 @@ circuit el2_dec : _T_752.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_752.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] _T_752.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_753 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] + reg _T_753 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] _T_753.bits.csrwaddr <= r_d_in.bits.csrwaddr @[el2_lib.scala 524:16] _T_753.bits.csrwonly <= r_d_in.bits.csrwonly @[el2_lib.scala 524:16] _T_753.bits.csrwen <= r_d_in.bits.csrwen @[el2_lib.scala 524:16] @@ -4668,46 +4666,46 @@ circuit el2_dec : _T_753.bits.i0load <= r_d_in.bits.i0load @[el2_lib.scala 524:16] _T_753.bits.i0rd <= r_d_in.bits.i0rd @[el2_lib.scala 524:16] _T_753.valid <= r_d_in.valid @[el2_lib.scala 524:16] - wbd.bits.csrwaddr <= _T_753.bits.csrwaddr @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.csrwonly <= _T_753.bits.csrwonly @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.csrwen <= _T_753.bits.csrwen @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0v <= _T_753.bits.i0v @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0div <= _T_753.bits.i0div @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0store <= _T_753.bits.i0store @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0load <= _T_753.bits.i0load @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0rd <= _T_753.bits.i0rd @[el2_dec_decode_ctl.scala 696:7] - wbd.valid <= _T_753.valid @[el2_dec_decode_ctl.scala 696:7] - io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[el2_dec_decode_ctl.scala 698:27] - node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 699:47] - node _T_755 = and(r_d_in.bits.i0v, _T_754) @[el2_dec_decode_ctl.scala 699:45] - i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 699:25] - node _T_756 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 700:49] - node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 700:47] - node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 700:70] - node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 700:68] - io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 700:32] - io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 701:26] - node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 703:57] - inst rvclkhdr_9 of rvclkhdr_10 @[el2_lib.scala 508:23] - rvclkhdr_9.clock <= clock - rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_9.io.en <= _T_760 @[el2_lib.scala 511:17] - rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_result_r_raw : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + wbd.bits.csrwaddr <= _T_753.bits.csrwaddr @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwonly <= _T_753.bits.csrwonly @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwen <= _T_753.bits.csrwen @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0v <= _T_753.bits.i0v @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0div <= _T_753.bits.i0div @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0store <= _T_753.bits.i0store @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0load <= _T_753.bits.i0load @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0rd <= _T_753.bits.i0rd @[el2_dec_decode_ctl.scala 692:7] + wbd.valid <= _T_753.valid @[el2_dec_decode_ctl.scala 692:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[el2_dec_decode_ctl.scala 694:27] + node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 695:47] + node _T_755 = and(r_d_in.bits.i0v, _T_754) @[el2_dec_decode_ctl.scala 695:45] + i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 695:25] + node _T_756 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:49] + node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 696:47] + node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:70] + node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 696:68] + io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 696:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 697:26] + node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 699:57] + inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 508:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_10.io.en <= _T_760 @[el2_lib.scala 511:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_result_r_raw <= i0_result_x @[el2_lib.scala 514:16] - node _T_761 = and(x_d.bits.i0v, x_d.bits.i0load) @[el2_dec_decode_ctl.scala 709:47] - node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 709:66] - node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 709:32] - i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 709:26] - i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 710:26] - node _T_764 = and(r_d.bits.i0v, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 714:42] - node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 714:61] - node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 714:27] - i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 714:21] - node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 715:54] - node _T_768 = and(io.i0_ap.predict_nt, _T_767) @[el2_dec_decode_ctl.scala 715:52] - node _T_769 = bits(_T_768, 0, 0) @[el2_dec_decode_ctl.scala 715:66] + node _T_761 = and(x_d.bits.i0v, x_d.bits.i0load) @[el2_dec_decode_ctl.scala 705:47] + node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 705:66] + node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 705:32] + i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 705:26] + i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 706:26] + node _T_764 = and(r_d.bits.i0v, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 710:42] + node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 710:61] + node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 710:27] + i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 710:21] + node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 711:54] + node _T_768 = and(io.i0_ap.predict_nt, _T_767) @[el2_dec_decode_ctl.scala 711:52] + node _T_769 = bits(_T_768, 0, 0) @[el2_dec_decode_ctl.scala 711:66] wire _T_770 : UInt<1>[10] @[el2_lib.scala 162:48] _T_770[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_770[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4730,11 +4728,11 @@ circuit el2_dec : node _T_779 = cat(_T_778, _T_770[9]) @[Cat.scala 29:58] node _T_780 = cat(_T_779, io.dec_i0_pc4_d) @[Cat.scala 29:58] node _T_781 = cat(_T_780, i0_ap_pc2) @[Cat.scala 29:58] - node _T_782 = mux(_T_769, i0_br_offset, _T_781) @[el2_dec_decode_ctl.scala 715:30] - io.dec_i0_br_immed_d <= _T_782 @[el2_dec_decode_ctl.scala 715:24] + node _T_782 = mux(_T_769, i0_br_offset, _T_781) @[el2_dec_decode_ctl.scala 711:30] + io.dec_i0_br_immed_d <= _T_782 @[el2_dec_decode_ctl.scala 711:24] wire last_br_immed_d : UInt<12> last_br_immed_d <= UInt<1>("h00") - node _T_783 = bits(io.i0_ap.predict_nt, 0, 0) @[el2_dec_decode_ctl.scala 717:48] + node _T_783 = bits(io.i0_ap.predict_nt, 0, 0) @[el2_dec_decode_ctl.scala 713:48] wire _T_784 : UInt<1>[10] @[el2_lib.scala 162:48] _T_784[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_784[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4757,141 +4755,141 @@ circuit el2_dec : node _T_793 = cat(_T_792, _T_784[9]) @[Cat.scala 29:58] node _T_794 = cat(_T_793, io.dec_i0_pc4_d) @[Cat.scala 29:58] node _T_795 = cat(_T_794, i0_ap_pc2) @[Cat.scala 29:58] - node _T_796 = mux(_T_783, _T_795, i0_br_offset) @[el2_dec_decode_ctl.scala 717:25] - last_br_immed_d <= _T_796 @[el2_dec_decode_ctl.scala 717:19] + node _T_796 = mux(_T_783, _T_795, i0_br_offset) @[el2_dec_decode_ctl.scala 713:25] + last_br_immed_d <= _T_796 @[el2_dec_decode_ctl.scala 713:19] wire last_br_immed_x : UInt<12> last_br_immed_x <= UInt<1>("h00") - node _T_797 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 719:58] - inst rvclkhdr_10 of rvclkhdr_11 @[el2_lib.scala 508:23] - rvclkhdr_10.clock <= clock - rvclkhdr_10.reset <= reset - rvclkhdr_10.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_10.io.en <= _T_797 @[el2_lib.scala 511:17] - rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_798 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + node _T_797 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 715:58] + inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 508:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_11.io.en <= _T_797 @[el2_lib.scala 511:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_798 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_798 <= last_br_immed_d @[el2_lib.scala 514:16] - last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 719:19] - node _T_799 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 723:45] - node _T_800 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 723:76] - node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 723:58] - node _T_801 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 725:48] - node _T_802 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 725:77] - node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 725:60] - node _T_804 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 726:21] - node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 726:33] - node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 725:94] - node _T_807 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 727:21] - node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 727:33] - node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 727:60] - node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 726:62] - node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 731:51] - node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 732:26] - node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 732:24] - node _T_813 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 732:56] - node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 732:39] - node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 732:77] - node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 731:65] - node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 734:53] - io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 734:29] - node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 735:55] - node _T_817 = eq(io.exu_div_wren, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 737:62] - node _T_818 = and(io.dec_div_active, _T_817) @[el2_dec_decode_ctl.scala 737:60] - node _T_819 = eq(nonblock_div_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 737:81] - node _T_820 = and(_T_818, _T_819) @[el2_dec_decode_ctl.scala 737:79] - node div_active_in = or(i0_div_decode_d, _T_820) @[el2_dec_decode_ctl.scala 737:39] - reg _T_821 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 739:54] - _T_821 <= div_active_in @[el2_dec_decode_ctl.scala 739:54] - io.dec_div_active <= _T_821 @[el2_dec_decode_ctl.scala 739:21] - node _T_822 = and(io.dec_i0_rs1_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 742:49] - node _T_823 = eq(io.div_waddr_wb, i0r.rs1) @[el2_dec_decode_ctl.scala 742:88] - node _T_824 = and(_T_822, _T_823) @[el2_dec_decode_ctl.scala 742:69] - node _T_825 = and(io.dec_i0_rs2_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 743:25] - node _T_826 = eq(io.div_waddr_wb, i0r.rs2) @[el2_dec_decode_ctl.scala 743:64] - node _T_827 = and(_T_825, _T_826) @[el2_dec_decode_ctl.scala 743:45] - node _T_828 = or(_T_824, _T_827) @[el2_dec_decode_ctl.scala 742:102] - i0_nonblock_div_stall <= _T_828 @[el2_dec_decode_ctl.scala 742:26] - node _T_829 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 745:59] + last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 715:19] + node _T_799 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 719:45] + node _T_800 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 719:76] + node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 719:58] + node _T_801 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 721:48] + node _T_802 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 721:77] + node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 721:60] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 722:21] + node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 722:33] + node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 721:94] + node _T_807 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 723:21] + node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 723:33] + node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 723:60] + node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 722:62] + node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 727:51] + node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 728:26] + node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 728:24] + node _T_813 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 728:56] + node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 728:39] + node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 728:77] + node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 727:65] + node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 730:53] + io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 730:29] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 731:55] + node _T_817 = eq(io.exu_div_wren, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 733:62] + node _T_818 = and(io.dec_div_active, _T_817) @[el2_dec_decode_ctl.scala 733:60] + node _T_819 = eq(nonblock_div_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 733:81] + node _T_820 = and(_T_818, _T_819) @[el2_dec_decode_ctl.scala 733:79] + node div_active_in = or(i0_div_decode_d, _T_820) @[el2_dec_decode_ctl.scala 733:39] + reg _T_821 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 735:54] + _T_821 <= div_active_in @[el2_dec_decode_ctl.scala 735:54] + io.dec_div_active <= _T_821 @[el2_dec_decode_ctl.scala 735:21] + node _T_822 = and(io.dec_i0_rs1_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 738:49] + node _T_823 = eq(io.div_waddr_wb, i0r.rs1) @[el2_dec_decode_ctl.scala 738:88] + node _T_824 = and(_T_822, _T_823) @[el2_dec_decode_ctl.scala 738:69] + node _T_825 = and(io.dec_i0_rs2_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 739:25] + node _T_826 = eq(io.div_waddr_wb, i0r.rs2) @[el2_dec_decode_ctl.scala 739:64] + node _T_827 = and(_T_825, _T_826) @[el2_dec_decode_ctl.scala 739:45] + node _T_828 = or(_T_824, _T_827) @[el2_dec_decode_ctl.scala 738:102] + i0_nonblock_div_stall <= _T_828 @[el2_dec_decode_ctl.scala 738:26] + node _T_829 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 741:59] reg _T_830 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_829 : @[Reg.scala 28:19] _T_830 <= i0r.rd @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.div_waddr_wb <= _T_830 @[el2_dec_decode_ctl.scala 745:19] - node _T_831 = bits(i0_inst_d, 24, 7) @[el2_dec_decode_ctl.scala 752:34] - node _T_832 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 752:57] - inst rvclkhdr_11 of rvclkhdr_12 @[el2_lib.scala 508:23] - rvclkhdr_11.clock <= clock - rvclkhdr_11.reset <= reset - rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_11.io.en <= _T_832 @[el2_lib.scala 511:17] - rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg div_inst : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - div_inst <= _T_831 @[el2_lib.scala 514:16] - node _T_833 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 753:49] - inst rvclkhdr_12 of rvclkhdr_13 @[el2_lib.scala 508:23] + io.div_waddr_wb <= _T_830 @[el2_dec_decode_ctl.scala 741:19] + node _T_831 = bits(i0_inst_d, 24, 7) @[el2_dec_decode_ctl.scala 748:34] + node _T_832 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 748:57] + inst rvclkhdr_12 of rvclkhdr_12 @[el2_lib.scala 508:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_12.io.en <= _T_833 @[el2_lib.scala 511:17] + rvclkhdr_12.io.en <= _T_832 @[el2_lib.scala 511:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_inst_x : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_inst_x <= i0_inst_d @[el2_lib.scala 514:16] - node _T_834 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 754:49] - inst rvclkhdr_13 of rvclkhdr_14 @[el2_lib.scala 508:23] + reg div_inst : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + div_inst <= _T_831 @[el2_lib.scala 514:16] + node _T_833 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 749:49] + inst rvclkhdr_13 of rvclkhdr_13 @[el2_lib.scala 508:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_13.io.en <= _T_834 @[el2_lib.scala 511:17] + rvclkhdr_13.io.en <= _T_833 @[el2_lib.scala 511:17] rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_inst_r : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_inst_r <= i0_inst_x @[el2_lib.scala 514:16] - node _T_835 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 756:50] - inst rvclkhdr_14 of rvclkhdr_15 @[el2_lib.scala 508:23] + reg i0_inst_x : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_inst_x <= i0_inst_d @[el2_lib.scala 514:16] + node _T_834 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 750:49] + inst rvclkhdr_14 of rvclkhdr_14 @[el2_lib.scala 508:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_14.io.en <= _T_835 @[el2_lib.scala 511:17] + rvclkhdr_14.io.en <= _T_834 @[el2_lib.scala 511:17] rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_inst_wb : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_inst_wb <= i0_inst_r @[el2_lib.scala 514:16] - node _T_836 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 757:53] - inst rvclkhdr_15 of rvclkhdr_16 @[el2_lib.scala 508:23] + reg i0_inst_r : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_inst_r <= i0_inst_x @[el2_lib.scala 514:16] + node _T_835 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 752:50] + inst rvclkhdr_15 of rvclkhdr_15 @[el2_lib.scala 508:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_15.io.en <= _T_836 @[el2_lib.scala 511:17] + rvclkhdr_15.io.en <= _T_835 @[el2_lib.scala 511:17] rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_837 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_837 <= i0_inst_wb @[el2_lib.scala 514:16] - io.dec_i0_inst_wb1 <= _T_837 @[el2_dec_decode_ctl.scala 757:22] - node _T_838 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 758:53] - inst rvclkhdr_16 of rvclkhdr_17 @[el2_lib.scala 508:23] + reg i0_inst_wb : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_inst_wb <= i0_inst_r @[el2_lib.scala 514:16] + node _T_836 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 753:53] + inst rvclkhdr_16 of rvclkhdr_16 @[el2_lib.scala 508:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_16.io.en <= _T_838 @[el2_lib.scala 511:17] + rvclkhdr_16.io.en <= _T_836 @[el2_lib.scala 511:17] rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_pc_wb : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_pc_wb <= io.dec_tlu_i0_pc_r @[el2_lib.scala 514:16] - node _T_839 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 760:49] - inst rvclkhdr_17 of rvclkhdr_18 @[el2_lib.scala 508:23] + reg _T_837 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_837 <= i0_inst_wb @[el2_lib.scala 514:16] + io.dec_i0_inst_wb1 <= _T_837 @[el2_dec_decode_ctl.scala 753:22] + node _T_838 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 754:53] + inst rvclkhdr_17 of rvclkhdr_17 @[el2_lib.scala 508:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_17.io.en <= _T_839 @[el2_lib.scala 511:17] + rvclkhdr_17.io.en <= _T_838 @[el2_lib.scala 511:17] rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_840 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_840 <= i0_pc_wb @[el2_lib.scala 514:16] - io.dec_i0_pc_wb1 <= _T_840 @[el2_dec_decode_ctl.scala 760:20] - node _T_841 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 761:56] - inst rvclkhdr_18 of rvclkhdr_19 @[el2_lib.scala 508:23] + reg i0_pc_wb : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_pc_wb <= io.dec_tlu_i0_pc_r @[el2_lib.scala 514:16] + node _T_839 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 756:49] + inst rvclkhdr_18 of rvclkhdr_18 @[el2_lib.scala 508:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset rvclkhdr_18.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_18.io.en <= _T_841 @[el2_lib.scala 511:17] + rvclkhdr_18.io.en <= _T_839 @[el2_lib.scala 511:17] rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg dec_i0_pc_r : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + reg _T_840 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_840 <= i0_pc_wb @[el2_lib.scala 514:16] + io.dec_i0_pc_wb1 <= _T_840 @[el2_dec_decode_ctl.scala 756:20] + node _T_841 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 757:56] + inst rvclkhdr_19 of rvclkhdr_19 @[el2_lib.scala 508:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_19.io.en <= _T_841 @[el2_lib.scala 511:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg dec_i0_pc_r : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] dec_i0_pc_r <= io.exu_i0_pc_x @[el2_lib.scala 514:16] - io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[el2_dec_decode_ctl.scala 763:27] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[el2_dec_decode_ctl.scala 759:27] node _T_842 = cat(io.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] node _T_843 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] node _T_844 = bits(_T_842, 12, 1) @[el2_lib.scala 208:24] @@ -4927,124 +4925,124 @@ circuit el2_dec : node _T_873 = bits(_T_846, 11, 0) @[el2_lib.scala 214:94] node _T_874 = cat(_T_872, _T_873) @[Cat.scala 29:58] node temp_pred_correct_npc_x = cat(_T_874, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 768:51] - io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 768:25] - node _T_876 = and(io.dec_i0_rs1_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 772:48] - node _T_877 = eq(x_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 772:80] - node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 772:63] - node _T_878 = and(io.dec_i0_rs1_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 773:48] - node _T_879 = eq(r_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 773:80] - node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 773:63] - node _T_880 = and(io.dec_i0_rs2_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 775:48] - node _T_881 = eq(x_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 775:80] - node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 775:63] - node _T_882 = and(io.dec_i0_rs2_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 776:48] - node _T_883 = eq(r_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 776:80] - node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 776:63] - node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 778:44] - node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 778:81] - wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 778:109] - _T_886.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 778:109] - _T_886.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 778:109] - _T_886.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 778:109] - node _T_887 = mux(_T_885, i0_r_c, _T_886) @[el2_dec_decode_ctl.scala 778:61] - node _T_888 = mux(_T_884, i0_x_c, _T_887) @[el2_dec_decode_ctl.scala 778:24] - i0_rs1_class_d.alu <= _T_888.alu @[el2_dec_decode_ctl.scala 778:18] - i0_rs1_class_d.load <= _T_888.load @[el2_dec_decode_ctl.scala 778:18] - i0_rs1_class_d.mul <= _T_888.mul @[el2_dec_decode_ctl.scala 778:18] - node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 779:44] - node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 779:83] - node _T_891 = mux(_T_890, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 779:63] - node _T_892 = mux(_T_889, UInt<2>("h01"), _T_891) @[el2_dec_decode_ctl.scala 779:24] - i0_rs1_depth_d <= _T_892 @[el2_dec_decode_ctl.scala 779:18] - node _T_893 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 780:44] - node _T_894 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 780:81] - wire _T_895 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 780:109] - _T_895.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 780:109] - _T_895.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 780:109] - _T_895.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 780:109] - node _T_896 = mux(_T_894, i0_r_c, _T_895) @[el2_dec_decode_ctl.scala 780:61] - node _T_897 = mux(_T_893, i0_x_c, _T_896) @[el2_dec_decode_ctl.scala 780:24] - i0_rs2_class_d.alu <= _T_897.alu @[el2_dec_decode_ctl.scala 780:18] - i0_rs2_class_d.load <= _T_897.load @[el2_dec_decode_ctl.scala 780:18] - i0_rs2_class_d.mul <= _T_897.mul @[el2_dec_decode_ctl.scala 780:18] - node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 781:44] - node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 781:83] - node _T_900 = mux(_T_899, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 781:63] - node _T_901 = mux(_T_898, UInt<2>("h01"), _T_900) @[el2_dec_decode_ctl.scala 781:24] - i0_rs2_depth_d <= _T_901 @[el2_dec_decode_ctl.scala 781:18] - i0_load_block_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 791:21] - node _T_902 = or(i0_dp.load, i0_dp.store) @[el2_dec_decode_ctl.scala 792:43] - node _T_903 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 792:74] - node _T_904 = and(_T_902, _T_903) @[el2_dec_decode_ctl.scala 792:58] - node _T_905 = and(_T_904, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 792:78] - load_ldst_bypass_d <= _T_905 @[el2_dec_decode_ctl.scala 792:27] - node _T_906 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 793:59] - node _T_907 = and(i0_dp.store, _T_906) @[el2_dec_decode_ctl.scala 793:43] - node _T_908 = and(_T_907, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 793:63] - store_data_bypass_d <= _T_908 @[el2_dec_decode_ctl.scala 793:25] - store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 794:25] - node _T_909 = and(io.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 798:62] - node _T_910 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[el2_dec_decode_ctl.scala 798:119] - node i0_rs1_nonblock_load_bypass_en_d = and(_T_909, _T_910) @[el2_dec_decode_ctl.scala 798:89] - node _T_911 = and(io.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 800:62] - node _T_912 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[el2_dec_decode_ctl.scala 800:119] - node i0_rs2_nonblock_load_bypass_en_d = and(_T_911, _T_912) @[el2_dec_decode_ctl.scala 800:89] - node _T_913 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 803:41] - node _T_914 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 803:66] - node _T_915 = and(_T_913, _T_914) @[el2_dec_decode_ctl.scala 803:45] - node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 803:104] - node _T_917 = and(_T_916, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 803:108] - node _T_918 = bits(i0_rs1_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 803:149] - node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 803:175] - node _T_920 = or(_T_919, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 803:196] - node _T_921 = and(_T_918, _T_920) @[el2_dec_decode_ctl.scala 803:153] + node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 764:51] + io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 764:25] + node _T_876 = and(io.dec_i0_rs1_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 768:48] + node _T_877 = eq(x_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 768:80] + node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 768:63] + node _T_878 = and(io.dec_i0_rs1_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 769:48] + node _T_879 = eq(r_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 769:80] + node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 769:63] + node _T_880 = and(io.dec_i0_rs2_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 771:48] + node _T_881 = eq(x_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 771:80] + node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 771:63] + node _T_882 = and(io.dec_i0_rs2_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 772:48] + node _T_883 = eq(r_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 772:80] + node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 772:63] + node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 774:44] + node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 774:81] + wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 774:109] + _T_886.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 774:109] + _T_886.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 774:109] + _T_886.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 774:109] + node _T_887 = mux(_T_885, i0_r_c, _T_886) @[el2_dec_decode_ctl.scala 774:61] + node _T_888 = mux(_T_884, i0_x_c, _T_887) @[el2_dec_decode_ctl.scala 774:24] + i0_rs1_class_d.alu <= _T_888.alu @[el2_dec_decode_ctl.scala 774:18] + i0_rs1_class_d.load <= _T_888.load @[el2_dec_decode_ctl.scala 774:18] + i0_rs1_class_d.mul <= _T_888.mul @[el2_dec_decode_ctl.scala 774:18] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 775:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 775:83] + node _T_891 = mux(_T_890, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 775:63] + node _T_892 = mux(_T_889, UInt<2>("h01"), _T_891) @[el2_dec_decode_ctl.scala 775:24] + i0_rs1_depth_d <= _T_892 @[el2_dec_decode_ctl.scala 775:18] + node _T_893 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 776:44] + node _T_894 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 776:81] + wire _T_895 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 776:109] + _T_895.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 776:109] + _T_895.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 776:109] + _T_895.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 776:109] + node _T_896 = mux(_T_894, i0_r_c, _T_895) @[el2_dec_decode_ctl.scala 776:61] + node _T_897 = mux(_T_893, i0_x_c, _T_896) @[el2_dec_decode_ctl.scala 776:24] + i0_rs2_class_d.alu <= _T_897.alu @[el2_dec_decode_ctl.scala 776:18] + i0_rs2_class_d.load <= _T_897.load @[el2_dec_decode_ctl.scala 776:18] + i0_rs2_class_d.mul <= _T_897.mul @[el2_dec_decode_ctl.scala 776:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 777:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 777:83] + node _T_900 = mux(_T_899, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 777:63] + node _T_901 = mux(_T_898, UInt<2>("h01"), _T_900) @[el2_dec_decode_ctl.scala 777:24] + i0_rs2_depth_d <= _T_901 @[el2_dec_decode_ctl.scala 777:18] + i0_load_block_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 787:21] + node _T_902 = or(i0_dp.load, i0_dp.store) @[el2_dec_decode_ctl.scala 788:43] + node _T_903 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 788:74] + node _T_904 = and(_T_902, _T_903) @[el2_dec_decode_ctl.scala 788:58] + node _T_905 = and(_T_904, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 788:78] + load_ldst_bypass_d <= _T_905 @[el2_dec_decode_ctl.scala 788:27] + node _T_906 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 789:59] + node _T_907 = and(i0_dp.store, _T_906) @[el2_dec_decode_ctl.scala 789:43] + node _T_908 = and(_T_907, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 789:63] + store_data_bypass_d <= _T_908 @[el2_dec_decode_ctl.scala 789:25] + store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 790:25] + node _T_909 = and(io.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 794:62] + node _T_910 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[el2_dec_decode_ctl.scala 794:119] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_909, _T_910) @[el2_dec_decode_ctl.scala 794:89] + node _T_911 = and(io.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 796:62] + node _T_912 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[el2_dec_decode_ctl.scala 796:119] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_911, _T_912) @[el2_dec_decode_ctl.scala 796:89] + node _T_913 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 799:41] + node _T_914 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 799:66] + node _T_915 = and(_T_913, _T_914) @[el2_dec_decode_ctl.scala 799:45] + node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 799:104] + node _T_917 = and(_T_916, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 799:108] + node _T_918 = bits(i0_rs1_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 799:149] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 799:175] + node _T_920 = or(_T_919, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 799:196] + node _T_921 = and(_T_918, _T_920) @[el2_dec_decode_ctl.scala 799:153] node _T_922 = cat(_T_915, _T_917) @[Cat.scala 29:58] node _T_923 = cat(_T_922, _T_921) @[Cat.scala 29:58] - i0_rs1bypass <= _T_923 @[el2_dec_decode_ctl.scala 803:18] - node _T_924 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 805:41] - node _T_925 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 805:67] - node _T_926 = and(_T_924, _T_925) @[el2_dec_decode_ctl.scala 805:45] - node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 805:105] - node _T_928 = and(_T_927, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 805:109] - node _T_929 = bits(i0_rs2_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 805:149] - node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 805:175] - node _T_931 = or(_T_930, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 805:196] - node _T_932 = and(_T_929, _T_931) @[el2_dec_decode_ctl.scala 805:153] + i0_rs1bypass <= _T_923 @[el2_dec_decode_ctl.scala 799:18] + node _T_924 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 801:41] + node _T_925 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 801:67] + node _T_926 = and(_T_924, _T_925) @[el2_dec_decode_ctl.scala 801:45] + node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 801:105] + node _T_928 = and(_T_927, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 801:109] + node _T_929 = bits(i0_rs2_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 801:149] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 801:175] + node _T_931 = or(_T_930, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 801:196] + node _T_932 = and(_T_929, _T_931) @[el2_dec_decode_ctl.scala 801:153] node _T_933 = cat(_T_926, _T_928) @[Cat.scala 29:58] node _T_934 = cat(_T_933, _T_932) @[Cat.scala 29:58] - i0_rs2bypass <= _T_934 @[el2_dec_decode_ctl.scala 805:18] - node _T_935 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:54] - node _T_936 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 807:71] - node _T_937 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 807:89] - node _T_938 = or(_T_936, _T_937) @[el2_dec_decode_ctl.scala 807:75] - node _T_939 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:109] - node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 807:96] - node _T_941 = and(_T_940, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 807:113] - node _T_942 = or(_T_938, _T_941) @[el2_dec_decode_ctl.scala 807:93] + i0_rs2bypass <= _T_934 @[el2_dec_decode_ctl.scala 801:18] + node _T_935 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 803:54] + node _T_936 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 803:71] + node _T_937 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 803:89] + node _T_938 = or(_T_936, _T_937) @[el2_dec_decode_ctl.scala 803:75] + node _T_939 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 803:109] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 803:96] + node _T_941 = and(_T_940, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 803:113] + node _T_942 = or(_T_938, _T_941) @[el2_dec_decode_ctl.scala 803:93] node _T_943 = cat(_T_935, _T_942) @[Cat.scala 29:58] - io.dec_i0_rs1_bypass_en_d <= _T_943 @[el2_dec_decode_ctl.scala 807:34] - node _T_944 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 808:54] - node _T_945 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 808:71] - node _T_946 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 808:89] - node _T_947 = or(_T_945, _T_946) @[el2_dec_decode_ctl.scala 808:75] - node _T_948 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 808:109] - node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 808:96] - node _T_950 = and(_T_949, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 808:113] - node _T_951 = or(_T_947, _T_950) @[el2_dec_decode_ctl.scala 808:93] + io.dec_i0_rs1_bypass_en_d <= _T_943 @[el2_dec_decode_ctl.scala 803:34] + node _T_944 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 804:54] + node _T_945 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 804:71] + node _T_946 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 804:89] + node _T_947 = or(_T_945, _T_946) @[el2_dec_decode_ctl.scala 804:75] + node _T_948 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 804:109] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 804:96] + node _T_950 = and(_T_949, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 804:113] + node _T_951 = or(_T_947, _T_950) @[el2_dec_decode_ctl.scala 804:93] node _T_952 = cat(_T_944, _T_951) @[Cat.scala 29:58] - io.dec_i0_rs2_bypass_en_d <= _T_952 @[el2_dec_decode_ctl.scala 808:34] - node _T_953 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 811:17] - node _T_954 = bits(_T_953, 0, 0) @[el2_dec_decode_ctl.scala 811:21] - node _T_955 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 812:17] - node _T_956 = bits(_T_955, 0, 0) @[el2_dec_decode_ctl.scala 812:21] - node _T_957 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 813:19] - node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 813:6] - node _T_959 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 813:38] - node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 813:25] - node _T_961 = and(_T_958, _T_960) @[el2_dec_decode_ctl.scala 813:23] - node _T_962 = and(_T_961, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 813:42] - node _T_963 = bits(_T_962, 0, 0) @[el2_dec_decode_ctl.scala 813:78] + io.dec_i0_rs2_bypass_en_d <= _T_952 @[el2_dec_decode_ctl.scala 804:34] + node _T_953 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 808:17] + node _T_954 = bits(_T_953, 0, 0) @[el2_dec_decode_ctl.scala 808:21] + node _T_955 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 809:17] + node _T_956 = bits(_T_955, 0, 0) @[el2_dec_decode_ctl.scala 809:21] + node _T_957 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 810:19] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 810:6] + node _T_959 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 810:38] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 810:25] + node _T_961 = and(_T_958, _T_960) @[el2_dec_decode_ctl.scala 810:23] + node _T_962 = and(_T_961, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 810:42] + node _T_963 = bits(_T_962, 0, 0) @[el2_dec_decode_ctl.scala 810:78] node _T_964 = mux(_T_954, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] node _T_965 = mux(_T_956, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_966 = mux(_T_963, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5052,18 +5050,18 @@ circuit el2_dec : node _T_968 = or(_T_967, _T_966) @[Mux.scala 27:72] wire _T_969 : UInt<32> @[Mux.scala 27:72] _T_969 <= _T_968 @[Mux.scala 27:72] - io.dec_i0_rs1_bypass_data_d <= _T_969 @[el2_dec_decode_ctl.scala 810:31] - node _T_970 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 816:17] - node _T_971 = bits(_T_970, 0, 0) @[el2_dec_decode_ctl.scala 816:21] - node _T_972 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 817:17] - node _T_973 = bits(_T_972, 0, 0) @[el2_dec_decode_ctl.scala 817:21] - node _T_974 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 818:19] - node _T_975 = eq(_T_974, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 818:6] - node _T_976 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 818:38] - node _T_977 = eq(_T_976, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 818:25] - node _T_978 = and(_T_975, _T_977) @[el2_dec_decode_ctl.scala 818:23] - node _T_979 = and(_T_978, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 818:42] - node _T_980 = bits(_T_979, 0, 0) @[el2_dec_decode_ctl.scala 818:78] + io.dec_i0_rs1_bypass_data_d <= _T_969 @[el2_dec_decode_ctl.scala 807:31] + node _T_970 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 813:17] + node _T_971 = bits(_T_970, 0, 0) @[el2_dec_decode_ctl.scala 813:21] + node _T_972 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 814:17] + node _T_973 = bits(_T_972, 0, 0) @[el2_dec_decode_ctl.scala 814:21] + node _T_974 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 815:19] + node _T_975 = eq(_T_974, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 815:6] + node _T_976 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 815:38] + node _T_977 = eq(_T_976, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 815:25] + node _T_978 = and(_T_975, _T_977) @[el2_dec_decode_ctl.scala 815:23] + node _T_979 = and(_T_978, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 815:42] + node _T_980 = bits(_T_979, 0, 0) @[el2_dec_decode_ctl.scala 815:78] node _T_981 = mux(_T_971, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] node _T_982 = mux(_T_973, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_983 = mux(_T_980, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5071,33 +5069,33 @@ circuit el2_dec : node _T_985 = or(_T_984, _T_983) @[Mux.scala 27:72] wire _T_986 : UInt<32> @[Mux.scala 27:72] _T_986 <= _T_985 @[Mux.scala 27:72] - io.dec_i0_rs2_bypass_data_d <= _T_986 @[el2_dec_decode_ctl.scala 815:31] - node _T_987 = or(i0_dp_raw.load, i0_dp_raw.store) @[el2_dec_decode_ctl.scala 820:68] - node _T_988 = and(io.dec_ib0_valid_d, _T_987) @[el2_dec_decode_ctl.scala 820:50] - node _T_989 = eq(io.dma_dccm_stall_any, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 820:89] - node _T_990 = and(_T_988, _T_989) @[el2_dec_decode_ctl.scala 820:87] - node _T_991 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 820:114] - node _T_992 = and(_T_990, _T_991) @[el2_dec_decode_ctl.scala 820:112] - node _T_993 = or(_T_992, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 820:131] - io.dec_lsu_valid_raw_d <= _T_993 @[el2_dec_decode_ctl.scala 820:26] - node _T_994 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 822:6] - node _T_995 = and(_T_994, i0_dp.lsu) @[el2_dec_decode_ctl.scala 822:27] - node _T_996 = and(_T_995, i0_dp.load) @[el2_dec_decode_ctl.scala 822:39] - node _T_997 = bits(_T_996, 0, 0) @[el2_dec_decode_ctl.scala 822:53] - node _T_998 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 822:70] - node _T_999 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 823:6] - node _T_1000 = and(_T_999, i0_dp.lsu) @[el2_dec_decode_ctl.scala 823:27] - node _T_1001 = and(_T_1000, i0_dp.store) @[el2_dec_decode_ctl.scala 823:39] - node _T_1002 = bits(_T_1001, 0, 0) @[el2_dec_decode_ctl.scala 823:54] - node _T_1003 = bits(io.dec_i0_instr_d, 31, 25) @[el2_dec_decode_ctl.scala 823:74] - node _T_1004 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 823:84] + io.dec_i0_rs2_bypass_data_d <= _T_986 @[el2_dec_decode_ctl.scala 812:31] + node _T_987 = or(i0_dp_raw.load, i0_dp_raw.store) @[el2_dec_decode_ctl.scala 817:68] + node _T_988 = and(io.dec_ib0_valid_d, _T_987) @[el2_dec_decode_ctl.scala 817:50] + node _T_989 = eq(io.dma_dccm_stall_any, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:89] + node _T_990 = and(_T_988, _T_989) @[el2_dec_decode_ctl.scala 817:87] + node _T_991 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:114] + node _T_992 = and(_T_990, _T_991) @[el2_dec_decode_ctl.scala 817:112] + node _T_993 = or(_T_992, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 817:131] + io.dec_lsu_valid_raw_d <= _T_993 @[el2_dec_decode_ctl.scala 817:26] + node _T_994 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 819:6] + node _T_995 = and(_T_994, i0_dp.lsu) @[el2_dec_decode_ctl.scala 819:27] + node _T_996 = and(_T_995, i0_dp.load) @[el2_dec_decode_ctl.scala 819:39] + node _T_997 = bits(_T_996, 0, 0) @[el2_dec_decode_ctl.scala 819:53] + node _T_998 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 819:70] + node _T_999 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 820:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[el2_dec_decode_ctl.scala 820:27] + node _T_1001 = and(_T_1000, i0_dp.store) @[el2_dec_decode_ctl.scala 820:39] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_dec_decode_ctl.scala 820:54] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 25) @[el2_dec_decode_ctl.scala 820:74] + node _T_1004 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 820:84] node _T_1005 = cat(_T_1003, _T_1004) @[Cat.scala 29:58] node _T_1006 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1007 = mux(_T_1002, _T_1005, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1008 = or(_T_1006, _T_1007) @[Mux.scala 27:72] wire _T_1009 : UInt<12> @[Mux.scala 27:72] _T_1009 <= _T_1008 @[Mux.scala 27:72] - io.dec_lsu_offset_d <= _T_1009 @[el2_dec_decode_ctl.scala 821:23] + io.dec_lsu_offset_d <= _T_1009 @[el2_dec_decode_ctl.scala 818:23] extmodule gated_latch_20 : output Q : Clock @@ -14223,7 +14221,7 @@ circuit el2_dec : lsu_exc_valid_r_d1 <= _T_407 @[el2_dec_tlu_ctl.scala 693:41] reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_tlu_ctl.scala 694:73] lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[el2_dec_tlu_ctl.scala 694:73] - node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[el2_dec_tlu_ctl.scala 695:39] + node _T_408 = eq(io.lsu_error_pkt_r.bits.exc_type, UInt<1>("h00")) @[el2_dec_tlu_ctl.scala 695:39] node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[el2_dec_tlu_ctl.scala 695:37] node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[el2_dec_tlu_ctl.scala 696:37] node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[el2_dec_tlu_ctl.scala 697:37] @@ -16661,9 +16659,8 @@ circuit el2_dec : module el2_dec : input clock : Clock input reset : AsyncReset - output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_extint_stall : UInt<1>, dec_i0_decode_d : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<32>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<32>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<32>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip lsu_nonblock_load_valid_m : UInt<1>, flip lsu_nonblock_load_tag_m : UInt<2>, flip lsu_nonblock_load_inv_r : UInt<1>, flip lsu_nonblock_load_inv_tag_r : UInt<2>, flip lsu_nonblock_load_data_valid : UInt<1>, flip lsu_nonblock_load_data_error : UInt<1>, flip lsu_nonblock_load_data_tag : UInt<2>, flip lsu_nonblock_load_data : UInt<32>, flip lsu_pmu_bus_trxn : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_pmu_load_external_m : UInt<1>, flip lsu_pmu_store_external_m : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip lsu_fir_addr : UInt<32>, flip lsu_fir_error : UInt<2>, flip ifu_pmu_instr_aligned : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip ifu_pmu_bus_trxn : UInt<1>, flip ifu_ic_error_start : UInt<1>, flip ifu_iccm_rd_ecc_single_err : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>, flip dbg_cmd_wrdata : UInt<2>, flip ifu_i0_icaf : UInt<1>, flip ifu_i0_icaf_type : UInt<2>, flip ifu_i0_icaf_f1 : UInt<1>, flip ifu_i0_dbecc : UInt<1>, flip lsu_idle_any : UInt<1>, flip i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip ifu_i0_bp_index : UInt<9>, flip ifu_i0_bp_fghr : UInt<8>, flip ifu_i0_bp_btag : UInt<5>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip exu_csr_rs1_x : UInt<32>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip exu_npc_r : UInt<32>, flip exu_i0_result_x : UInt<32>, flip ifu_i0_valid : UInt<1>, flip ifu_i0_instr : UInt<32>, flip ifu_i0_pc : UInt<32>, flip ifu_i0_pc4 : UInt<1>, flip exu_i0_pc_x : UInt<32>, flip mexintpend : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip ifu_ic_debug_rd_data : UInt<70>, flip ifu_ic_debug_rd_data_valid : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip ifu_miss_state_idle : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_flush_noredir_r : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_leak_one_r : UInt<1>, dec_tlu_flush_err_r : UInt<1>, dec_tlu_meihap : UInt<32>, dec_debug_wdata_rs1_d : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], dec_tlu_force_halt : UInt<1>, flip exu_i0_br_hist_r : UInt<2>, flip exu_i0_br_error_r : UInt<1>, flip exu_i0_br_start_error_r : UInt<1>, flip exu_i0_br_valid_r : UInt<1>, flip exu_i0_br_mp_r : UInt<1>, flip exu_i0_br_middle_r : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_i0_rs1_en_d : UInt<1>, dec_i0_rs2_en_d : UInt<1>, gpr_i0_rs1_d : UInt<32>, gpr_i0_rs2_d : UInt<32>, dec_i0_immed_d : UInt<32>, dec_i0_br_immed_d : UInt<13>, i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, dec_i0_alu_decode_d : UInt<1>, dec_i0_select_pc_d : UInt<1>, dec_i0_pc_d : UInt<32>, dec_i0_rs1_bypass_en_d : UInt<2>, dec_i0_rs2_bypass_en_d : UInt<2>, dec_i0_rs1_bypass_data_d : UInt<32>, dec_i0_rs2_bypass_data_d : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, dec_div_cancel : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_ren_d : UInt<1>, dec_tlu_flush_lower_r : UInt<1>, dec_tlu_flush_path_r : UInt<32>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_fence_i_r : UInt<1>, pred_correct_npc_x : UInt<32>, dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, i0_predict_fghr_d : UInt<8>, i0_predict_index_d : UInt<9>, i0_predict_btag_d : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_data_en : UInt<2>, dec_ctl_en : UInt<2>, flip ifu_i0_cinst : UInt<16>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_tlu_i0_commit_cmt : UInt<1>, flip scan_mode : UInt<1>} + output io : {flip free_clk : Clock, flip active_clk : Clock, flip lsu_fastint_stall_any : UInt<1>, dec_extint_stall : UInt<1>, dec_i0_decode_d : UInt<1>, dec_pause_state_cg : UInt<1>, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_status : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_run_ack : UInt<1>, o_debug_mode_status : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip exu_pmu_i0_br_misp : UInt<1>, flip exu_pmu_i0_br_ataken : UInt<1>, flip exu_pmu_i0_pc4 : UInt<1>, flip lsu_nonblock_load_valid_m : UInt<1>, flip lsu_nonblock_load_tag_m : UInt<2>, flip lsu_nonblock_load_inv_r : UInt<1>, flip lsu_nonblock_load_inv_tag_r : UInt<2>, flip lsu_nonblock_load_data_valid : UInt<1>, flip lsu_nonblock_load_data_error : UInt<1>, flip lsu_nonblock_load_data_tag : UInt<2>, flip lsu_nonblock_load_data : UInt<32>, flip lsu_pmu_bus_trxn : UInt<1>, flip lsu_pmu_bus_misaligned : UInt<1>, flip lsu_pmu_bus_error : UInt<1>, flip lsu_pmu_bus_busy : UInt<1>, flip lsu_pmu_misaligned_m : UInt<1>, flip lsu_pmu_load_external_m : UInt<1>, flip lsu_pmu_store_external_m : UInt<1>, flip dma_pmu_dccm_read : UInt<1>, flip dma_pmu_dccm_write : UInt<1>, flip dma_pmu_any_read : UInt<1>, flip dma_pmu_any_write : UInt<1>, flip lsu_fir_addr : UInt<31>, flip lsu_fir_error : UInt<2>, flip ifu_pmu_instr_aligned : UInt<1>, flip ifu_pmu_fetch_stall : UInt<1>, flip ifu_pmu_ic_miss : UInt<1>, flip ifu_pmu_ic_hit : UInt<1>, flip ifu_pmu_bus_error : UInt<1>, flip ifu_pmu_bus_busy : UInt<1>, flip ifu_pmu_bus_trxn : UInt<1>, flip ifu_ic_error_start : UInt<1>, flip ifu_iccm_rd_ecc_single_err : UInt<1>, flip lsu_trigger_match_m : UInt<4>, flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>, flip dbg_cmd_wrdata : UInt<2>, flip ifu_i0_icaf : UInt<1>, flip ifu_i0_icaf_type : UInt<2>, flip ifu_i0_icaf_f1 : UInt<1>, flip ifu_i0_dbecc : UInt<1>, flip lsu_idle_any : UInt<1>, flip i0_brp : {valid : UInt<1>, bits : {toffset : UInt<12>, hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, bank : UInt<1>, prett : UInt<31>, way : UInt<1>, ret : UInt<1>}}, flip ifu_i0_bp_index : UInt<8>, flip ifu_i0_bp_fghr : UInt<8>, flip ifu_i0_bp_btag : UInt<5>, flip lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<1>, addr : UInt<1>}}, flip lsu_single_ecc_error_incr : UInt<1>, flip lsu_imprecise_error_load_any : UInt<1>, flip lsu_imprecise_error_store_any : UInt<1>, flip lsu_imprecise_error_addr_any : UInt<32>, flip exu_div_result : UInt<32>, flip exu_div_wren : UInt<1>, flip exu_csr_rs1_x : UInt<32>, flip lsu_result_m : UInt<32>, flip lsu_result_corr_r : UInt<32>, flip lsu_load_stall_any : UInt<1>, flip lsu_store_stall_any : UInt<1>, flip dma_dccm_stall_any : UInt<1>, flip dma_iccm_stall_any : UInt<1>, flip iccm_dma_sb_error : UInt<1>, flip exu_flush_final : UInt<1>, flip exu_npc_r : UInt<31>, flip exu_i0_result_x : UInt<32>, flip ifu_i0_valid : UInt<1>, flip ifu_i0_instr : UInt<32>, flip ifu_i0_pc : UInt<31>, flip ifu_i0_pc4 : UInt<1>, flip exu_i0_pc_x : UInt<31>, flip mexintpend : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip pic_claimid : UInt<8>, flip pic_pl : UInt<4>, flip mhwakeup : UInt<1>, dec_tlu_meicurpl : UInt<4>, dec_tlu_meipt : UInt<4>, flip ifu_ic_debug_rd_data : UInt<71>, flip ifu_ic_debug_rd_data_valid : UInt<1>, dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, flip dbg_halt_req : UInt<1>, flip dbg_resume_req : UInt<1>, flip ifu_miss_state_idle : UInt<1>, dec_tlu_dbg_halted : UInt<1>, dec_tlu_debug_mode : UInt<1>, dec_tlu_resume_ack : UInt<1>, dec_tlu_flush_noredir_r : UInt<1>, dec_tlu_mpc_halted_only : UInt<1>, dec_tlu_flush_leak_one_r : UInt<1>, dec_tlu_flush_err_r : UInt<1>, dec_tlu_meihap : UInt<30>, dec_debug_wdata_rs1_d : UInt<1>, dec_dbg_rddata : UInt<32>, dec_dbg_cmd_done : UInt<1>, dec_dbg_cmd_fail : UInt<1>, trigger_pkt_any : {select : UInt<1>, match_ : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], dec_tlu_force_halt : UInt<1>, flip exu_i0_br_hist_r : UInt<2>, flip exu_i0_br_error_r : UInt<1>, flip exu_i0_br_start_error_r : UInt<1>, flip exu_i0_br_valid_r : UInt<1>, flip exu_i0_br_mp_r : UInt<1>, flip exu_i0_br_middle_r : UInt<1>, flip exu_i0_br_way_r : UInt<1>, dec_i0_rs1_en_d : UInt<1>, dec_i0_rs2_en_d : UInt<1>, gpr_i0_rs1_d : UInt<32>, gpr_i0_rs2_d : UInt<32>, dec_i0_immed_d : UInt<32>, dec_i0_br_immed_d : UInt<12>, i0_ap : {land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, srl : UInt<1>, sra : UInt<1>, beq : UInt<1>, bne : UInt<1>, blt : UInt<1>, bge : UInt<1>, add : UInt<1>, sub : UInt<1>, slt : UInt<1>, unsign : UInt<1>, jal : UInt<1>, predict_t : UInt<1>, predict_nt : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>}, dec_i0_alu_decode_d : UInt<1>, dec_i0_select_pc_d : UInt<1>, dec_i0_pc_d : UInt<31>, dec_i0_rs1_bypass_en_d : UInt<2>, dec_i0_rs2_bypass_en_d : UInt<2>, dec_i0_rs1_bypass_data_d : UInt<32>, dec_i0_rs2_bypass_data_d : UInt<32>, lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, mul_p : {valid : UInt<1>, bits : {rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, bext : UInt<1>, bdep : UInt<1>, clmul : UInt<1>, clmulh : UInt<1>, clmulr : UInt<1>, grev : UInt<1>, shfl : UInt<1>, unshfl : UInt<1>, crc32_b : UInt<1>, crc32_h : UInt<1>, crc32_w : UInt<1>, crc32c_b : UInt<1>, crc32c_h : UInt<1>, crc32c_w : UInt<1>, bfp : UInt<1>}}, div_p : {valid : UInt<1>, bits : {unsign : UInt<1>, rem : UInt<1>}}, dec_div_cancel : UInt<1>, dec_lsu_offset_d : UInt<12>, dec_csr_ren_d : UInt<1>, dec_tlu_flush_lower_r : UInt<1>, dec_tlu_flush_path_r : UInt<31>, dec_tlu_i0_kill_writeb_r : UInt<1>, dec_tlu_fence_i_r : UInt<1>, pred_correct_npc_x : UInt<31>, dec_tlu_br0_r_pkt : {valid : UInt<1>, bits : {hist : UInt<2>, br_error : UInt<1>, br_start_error : UInt<1>, way : UInt<1>, middle : UInt<1>}}, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, dec_i0_predict_p_d : {valid : UInt<1>, bits : {misp : UInt<1>, ataken : UInt<1>, boffset : UInt<1>, pc4 : UInt<1>, hist : UInt<2>, toffset : UInt<12>, br_error : UInt<1>, br_start_error : UInt<1>, prett : UInt<31>, pcall : UInt<1>, pret : UInt<1>, pja : UInt<1>, way : UInt<1>}}, i0_predict_fghr_d : UInt<8>, i0_predict_index_d : UInt<8>, i0_predict_btag_d : UInt<5>, dec_lsu_valid_raw_d : UInt<1>, dec_tlu_mrac_ff : UInt<32>, dec_data_en : UInt<2>, dec_ctl_en : UInt<2>, flip ifu_i0_cinst : UInt<16>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, dec_tlu_external_ldfwd_disable : UInt<1>, dec_tlu_sideeffect_posted_disable : UInt<1>, dec_tlu_core_ecc_disable : UInt<1>, dec_tlu_bpred_disable : UInt<1>, dec_tlu_wb_coalescing_disable : UInt<1>, dec_tlu_dma_qos_prty : UInt<3>, dec_tlu_misc_clk_override : UInt<1>, dec_tlu_ifu_clk_override : UInt<1>, dec_tlu_lsu_clk_override : UInt<1>, dec_tlu_bus_clk_override : UInt<1>, dec_tlu_pic_clk_override : UInt<1>, dec_tlu_dccm_clk_override : UInt<1>, dec_tlu_icm_clk_override : UInt<1>, dec_tlu_i0_commit_cmt : UInt<1>, flip scan_mode : UInt<1>} - io.dec_i0_pc_d <= UInt<1>("h00") @[el2_dec.scala 273:18] wire dec_i0_inst_wb1 : UInt<32> dec_i0_inst_wb1 <= UInt<1>("h00") wire dec_i0_pc_wb1 : UInt<32> @@ -16678,507 +16675,443 @@ circuit el2_dec : dec_tlu_mtval_wb1 <= UInt<1>("h00") wire dec_tlu_i0_exc_valid_wb1 : UInt<1> dec_tlu_i0_exc_valid_wb1 <= UInt<1>("h00") - inst instbuff of el2_dec_ib_ctl @[el2_dec.scala 353:24] + inst instbuff of el2_dec_ib_ctl @[el2_dec.scala 285:24] instbuff.clock <= clock instbuff.reset <= reset - inst decode of el2_dec_decode_ctl @[el2_dec.scala 354:22] + inst decode of el2_dec_decode_ctl @[el2_dec.scala 286:22] decode.clock <= clock decode.reset <= reset - inst gpr of el2_dec_gpr_ctl @[el2_dec.scala 355:19] + inst gpr of el2_dec_gpr_ctl @[el2_dec.scala 287:19] gpr.clock <= clock gpr.reset <= reset - inst tlu of el2_dec_tlu_ctl @[el2_dec.scala 356:19] + inst tlu of el2_dec_tlu_ctl @[el2_dec.scala 288:19] tlu.clock <= clock tlu.reset <= reset - inst dec_trigger of el2_dec_trigger @[el2_dec.scala 357:27] + inst dec_trigger of el2_dec_trigger @[el2_dec.scala 289:27] dec_trigger.clock <= clock dec_trigger.reset <= reset - instbuff.io.dbg_cmd_valid <= io.dbg_cmd_valid @[el2_dec.scala 364:45] - instbuff.io.dbg_cmd_write <= io.dbg_cmd_write @[el2_dec.scala 365:45] - instbuff.io.dbg_cmd_type <= io.dbg_cmd_type @[el2_dec.scala 366:45] - instbuff.io.dbg_cmd_addr <= io.dbg_cmd_addr @[el2_dec.scala 367:45] - instbuff.io.i0_brp.bits.ret <= io.i0_brp.bits.ret @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.way <= io.i0_brp.bits.way @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.prett <= io.i0_brp.bits.prett @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.bank <= io.i0_brp.bits.bank @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.br_start_error <= io.i0_brp.bits.br_start_error @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.br_error <= io.i0_brp.bits.br_error @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.hist <= io.i0_brp.bits.hist @[el2_dec.scala 368:55] - instbuff.io.i0_brp.bits.toffset <= io.i0_brp.bits.toffset @[el2_dec.scala 368:55] - instbuff.io.i0_brp.valid <= io.i0_brp.valid @[el2_dec.scala 368:55] - instbuff.io.ifu_i0_bp_index <= io.ifu_i0_bp_index @[el2_dec.scala 369:35] - instbuff.io.ifu_i0_bp_fghr <= io.ifu_i0_bp_fghr @[el2_dec.scala 370:35] - instbuff.io.ifu_i0_bp_btag <= io.ifu_i0_bp_btag @[el2_dec.scala 371:35] - instbuff.io.ifu_i0_pc4 <= io.ifu_i0_pc4 @[el2_dec.scala 372:35] - instbuff.io.ifu_i0_valid <= io.ifu_i0_valid @[el2_dec.scala 373:35] - instbuff.io.ifu_i0_icaf <= io.ifu_i0_icaf @[el2_dec.scala 374:35] - instbuff.io.ifu_i0_icaf_type <= io.ifu_i0_icaf_type @[el2_dec.scala 375:35] - instbuff.io.ifu_i0_icaf_f1 <= io.ifu_i0_icaf_f1 @[el2_dec.scala 376:35] - instbuff.io.ifu_i0_dbecc <= io.ifu_i0_dbecc @[el2_dec.scala 377:35] - instbuff.io.ifu_i0_instr <= io.ifu_i0_instr @[el2_dec.scala 378:35] - instbuff.io.ifu_i0_pc <= io.ifu_i0_pc @[el2_dec.scala 379:35] - decode.io.dec_ib0_valid_d <= instbuff.io.dec_ib0_valid_d @[el2_dec.scala 381:38] - decode.io.dec_i0_icaf_type_d <= instbuff.io.dec_i0_icaf_type_d @[el2_dec.scala 382:38] - decode.io.dec_i0_instr_d <= instbuff.io.dec_i0_instr_d @[el2_dec.scala 383:38] - decode.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 384:38] - decode.io.dec_i0_pc4_d <= instbuff.io.dec_i0_pc4_d @[el2_dec.scala 385:38] - decode.io.dec_i0_brp.bits.ret <= instbuff.io.dec_i0_brp.bits.ret @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.way <= instbuff.io.dec_i0_brp.bits.way @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.prett <= instbuff.io.dec_i0_brp.bits.prett @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.bank <= instbuff.io.dec_i0_brp.bits.bank @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.br_start_error <= instbuff.io.dec_i0_brp.bits.br_start_error @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.br_error <= instbuff.io.dec_i0_brp.bits.br_error @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.hist <= instbuff.io.dec_i0_brp.bits.hist @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.bits.toffset <= instbuff.io.dec_i0_brp.bits.toffset @[el2_dec.scala 386:38] - decode.io.dec_i0_brp.valid <= instbuff.io.dec_i0_brp.valid @[el2_dec.scala 386:38] - decode.io.dec_i0_bp_index <= instbuff.io.dec_i0_bp_index @[el2_dec.scala 387:38] - decode.io.dec_i0_bp_fghr <= instbuff.io.dec_i0_bp_fghr @[el2_dec.scala 388:38] - decode.io.dec_i0_bp_btag <= instbuff.io.dec_i0_bp_btag @[el2_dec.scala 389:38] - decode.io.dec_i0_icaf_d <= instbuff.io.dec_i0_icaf_d @[el2_dec.scala 390:38] - decode.io.dec_i0_icaf_f1_d <= instbuff.io.dec_i0_icaf_f1_d @[el2_dec.scala 391:38] - decode.io.dec_i0_dbecc_d <= instbuff.io.dec_i0_dbecc_d @[el2_dec.scala 392:38] - io.dec_debug_wdata_rs1_d <= instbuff.io.dec_debug_wdata_rs1_d @[el2_dec.scala 393:38] - decode.io.dec_debug_fence_d <= instbuff.io.dec_debug_fence_d @[el2_dec.scala 394:38] - dec_trigger.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 400:30] - dec_trigger.io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[0].match_ <= tlu.io.trigger_pkt_any[0].match_ @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].match_ <= tlu.io.trigger_pkt_any[1].match_ @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].match_ <= tlu.io.trigger_pkt_any[2].match_ @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].match_ <= tlu.io.trigger_pkt_any[3].match_ @[el2_dec.scala 401:34] - dec_trigger.io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[el2_dec.scala 401:34] - decode.io.dec_tlu_flush_extint <= tlu.io.dec_tlu_flush_extint @[el2_dec.scala 410:48] - decode.io.dec_tlu_force_halt <= tlu.io.dec_tlu_force_halt @[el2_dec.scala 411:48] - decode.io.ifu_i0_cinst <= io.ifu_i0_cinst @[el2_dec.scala 412:48] - decode.io.lsu_nonblock_load_valid_m <= io.lsu_nonblock_load_valid_m @[el2_dec.scala 413:48] - decode.io.lsu_nonblock_load_tag_m <= io.lsu_nonblock_load_tag_m @[el2_dec.scala 414:48] - decode.io.lsu_nonblock_load_inv_r <= io.lsu_nonblock_load_inv_r @[el2_dec.scala 415:48] - decode.io.lsu_nonblock_load_inv_tag_r <= io.lsu_nonblock_load_inv_tag_r @[el2_dec.scala 416:48] - decode.io.lsu_nonblock_load_data_valid <= io.lsu_nonblock_load_data_valid @[el2_dec.scala 417:48] - decode.io.lsu_nonblock_load_data_error <= io.lsu_nonblock_load_data_error @[el2_dec.scala 418:48] - decode.io.lsu_nonblock_load_data_tag <= io.lsu_nonblock_load_data_tag @[el2_dec.scala 419:48] - decode.io.lsu_nonblock_load_data <= io.lsu_nonblock_load_data @[el2_dec.scala 420:48] - decode.io.dec_i0_trigger_match_d <= dec_trigger.io.dec_i0_trigger_match_d @[el2_dec.scala 421:48] - decode.io.dec_tlu_wr_pause_r <= tlu.io.dec_tlu_wr_pause_r @[el2_dec.scala 422:48] - decode.io.dec_tlu_pipelining_disable <= tlu.io.dec_tlu_pipelining_disable @[el2_dec.scala 423:48] - decode.io.lsu_trigger_match_m <= io.lsu_trigger_match_m @[el2_dec.scala 424:48] - decode.io.lsu_pmu_misaligned_m <= io.lsu_pmu_bus_misaligned @[el2_dec.scala 425:48] - decode.io.dec_tlu_debug_stall <= tlu.io.dec_tlu_debug_stall @[el2_dec.scala 426:48] - decode.io.dec_tlu_flush_leak_one_r <= tlu.io.dec_tlu_flush_leak_one_r @[el2_dec.scala 427:48] - decode.io.dec_debug_fence_d <= instbuff.io.dec_debug_fence_d @[el2_dec.scala 428:48] - decode.io.dbg_cmd_wrdata <= io.dbg_cmd_wrdata @[el2_dec.scala 429:48] - decode.io.dec_i0_icaf_d <= instbuff.io.dec_i0_icaf_d @[el2_dec.scala 430:48] - decode.io.dec_i0_icaf_f1_d <= instbuff.io.dec_i0_icaf_f1_d @[el2_dec.scala 431:48] - decode.io.dec_i0_icaf_type_d <= instbuff.io.dec_i0_icaf_type_d @[el2_dec.scala 432:48] - decode.io.dec_i0_dbecc_d <= instbuff.io.dec_i0_dbecc_d @[el2_dec.scala 433:48] - decode.io.dec_i0_brp.bits.ret <= instbuff.io.dec_i0_brp.bits.ret @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.way <= instbuff.io.dec_i0_brp.bits.way @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.prett <= instbuff.io.dec_i0_brp.bits.prett @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.bank <= instbuff.io.dec_i0_brp.bits.bank @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.br_start_error <= instbuff.io.dec_i0_brp.bits.br_start_error @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.br_error <= instbuff.io.dec_i0_brp.bits.br_error @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.hist <= instbuff.io.dec_i0_brp.bits.hist @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.bits.toffset <= instbuff.io.dec_i0_brp.bits.toffset @[el2_dec.scala 434:48] - decode.io.dec_i0_brp.valid <= instbuff.io.dec_i0_brp.valid @[el2_dec.scala 434:48] - decode.io.dec_i0_bp_index <= instbuff.io.dec_i0_bp_index @[el2_dec.scala 435:48] - decode.io.dec_i0_bp_fghr <= instbuff.io.dec_i0_bp_fghr @[el2_dec.scala 436:48] - decode.io.dec_i0_bp_btag <= instbuff.io.dec_i0_bp_btag @[el2_dec.scala 437:48] - decode.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 438:48] - decode.io.lsu_idle_any <= io.lsu_idle_any @[el2_dec.scala 439:48] - decode.io.lsu_load_stall_any <= io.lsu_load_stall_any @[el2_dec.scala 440:48] - decode.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec.scala 441:48] - decode.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec.scala 442:48] - decode.io.exu_div_wren <= io.exu_div_wren @[el2_dec.scala 443:48] - decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[el2_dec.scala 444:48] - decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[el2_dec.scala 445:48] - decode.io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[el2_dec.scala 446:48] - decode.io.dec_tlu_flush_lower_r <= tlu.io.dec_tlu_flush_lower_r @[el2_dec.scala 447:48] - decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[el2_dec.scala 448:48] - decode.io.dec_tlu_presync_d <= tlu.io.dec_tlu_presync_d @[el2_dec.scala 449:48] - decode.io.dec_tlu_postsync_d <= tlu.io.dec_tlu_postsync_d @[el2_dec.scala 450:48] - decode.io.dec_i0_pc4_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 451:48] - decode.io.dec_csr_rddata_d <= tlu.io.dec_csr_rddata_d @[el2_dec.scala 452:48] - decode.io.dec_csr_legal_d <= tlu.io.dec_csr_legal_d @[el2_dec.scala 453:48] - decode.io.exu_csr_rs1_x <= io.exu_csr_rs1_x @[el2_dec.scala 454:48] - decode.io.lsu_result_m <= io.lsu_result_m @[el2_dec.scala 455:48] - decode.io.lsu_result_corr_r <= io.lsu_result_corr_r @[el2_dec.scala 456:48] - decode.io.exu_flush_final <= io.exu_flush_final @[el2_dec.scala 457:48] - decode.io.exu_i0_pc_x <= io.exu_i0_pc_x @[el2_dec.scala 458:48] - decode.io.dec_i0_instr_d <= instbuff.io.dec_i0_instr_d @[el2_dec.scala 459:48] - decode.io.dec_ib0_valid_d <= instbuff.io.dec_ib0_valid_d @[el2_dec.scala 460:48] - decode.io.exu_i0_result_x <= io.exu_i0_result_x @[el2_dec.scala 461:48] - decode.io.free_clk <= io.free_clk @[el2_dec.scala 463:48] - decode.io.active_clk <= io.active_clk @[el2_dec.scala 464:48] - decode.io.clk_override <= tlu.io.dec_tlu_dec_clk_override @[el2_dec.scala 465:48] - decode.io.scan_mode <= io.scan_mode @[el2_dec.scala 467:48] - io.dec_extint_stall <= decode.io.dec_extint_stall @[el2_dec.scala 469:40] - dec_i0_inst_wb1 <= decode.io.dec_i0_inst_wb1 @[el2_dec.scala 470:40] - dec_i0_pc_wb1 <= decode.io.dec_i0_pc_wb1 @[el2_dec.scala 471:40] - io.dec_i0_rs1_en_d <= decode.io.dec_i0_rs1_en_d @[el2_dec.scala 472:40] - io.dec_i0_rs2_en_d <= decode.io.dec_i0_rs2_en_d @[el2_dec.scala 473:40] - gpr.io.raddr0 <= decode.io.dec_i0_rs1_d @[el2_dec.scala 474:40] - gpr.io.raddr1 <= decode.io.dec_i0_rs2_d @[el2_dec.scala 475:40] - io.dec_i0_immed_d <= decode.io.dec_i0_immed_d @[el2_dec.scala 476:40] - io.dec_i0_br_immed_d <= decode.io.dec_i0_br_immed_d @[el2_dec.scala 477:40] - io.i0_ap.csr_imm <= decode.io.i0_ap.csr_imm @[el2_dec.scala 478:40] - io.i0_ap.csr_write <= decode.io.i0_ap.csr_write @[el2_dec.scala 478:40] - io.i0_ap.predict_nt <= decode.io.i0_ap.predict_nt @[el2_dec.scala 478:40] - io.i0_ap.predict_t <= decode.io.i0_ap.predict_t @[el2_dec.scala 478:40] - io.i0_ap.jal <= decode.io.i0_ap.jal @[el2_dec.scala 478:40] - io.i0_ap.unsign <= decode.io.i0_ap.unsign @[el2_dec.scala 478:40] - io.i0_ap.slt <= decode.io.i0_ap.slt @[el2_dec.scala 478:40] - io.i0_ap.sub <= decode.io.i0_ap.sub @[el2_dec.scala 478:40] - io.i0_ap.add <= decode.io.i0_ap.add @[el2_dec.scala 478:40] - io.i0_ap.bge <= decode.io.i0_ap.bge @[el2_dec.scala 478:40] - io.i0_ap.blt <= decode.io.i0_ap.blt @[el2_dec.scala 478:40] - io.i0_ap.bne <= decode.io.i0_ap.bne @[el2_dec.scala 478:40] - io.i0_ap.beq <= decode.io.i0_ap.beq @[el2_dec.scala 478:40] - io.i0_ap.sra <= decode.io.i0_ap.sra @[el2_dec.scala 478:40] - io.i0_ap.srl <= decode.io.i0_ap.srl @[el2_dec.scala 478:40] - io.i0_ap.sll <= decode.io.i0_ap.sll @[el2_dec.scala 478:40] - io.i0_ap.lxor <= decode.io.i0_ap.lxor @[el2_dec.scala 478:40] - io.i0_ap.lor <= decode.io.i0_ap.lor @[el2_dec.scala 478:40] - io.i0_ap.land <= decode.io.i0_ap.land @[el2_dec.scala 478:40] - io.dec_i0_decode_d <= decode.io.dec_i0_decode_d @[el2_dec.scala 479:40] - io.dec_i0_alu_decode_d <= decode.io.dec_i0_alu_decode_d @[el2_dec.scala 480:40] - io.dec_i0_rs1_bypass_data_d <= decode.io.dec_i0_rs1_bypass_data_d @[el2_dec.scala 481:40] - io.dec_i0_rs2_bypass_data_d <= decode.io.dec_i0_rs2_bypass_data_d @[el2_dec.scala 482:40] - gpr.io.waddr0 <= decode.io.dec_i0_waddr_r @[el2_dec.scala 483:40] - gpr.io.wen0 <= decode.io.dec_i0_wen_r @[el2_dec.scala 484:40] - gpr.io.wd0 <= decode.io.dec_i0_wdata_r @[el2_dec.scala 485:40] - io.dec_i0_select_pc_d <= decode.io.dec_i0_select_pc_d @[el2_dec.scala 486:40] - io.dec_i0_rs1_bypass_en_d <= decode.io.dec_i0_rs1_bypass_en_d @[el2_dec.scala 487:40] - io.dec_i0_rs2_bypass_en_d <= decode.io.dec_i0_rs2_bypass_en_d @[el2_dec.scala 488:40] - io.lsu_p.bits.store_data_bypass_m <= decode.io.lsu_p.bits.store_data_bypass_m @[el2_dec.scala 489:40] - io.lsu_p.bits.load_ldst_bypass_d <= decode.io.lsu_p.bits.load_ldst_bypass_d @[el2_dec.scala 489:40] - io.lsu_p.bits.store_data_bypass_d <= decode.io.lsu_p.bits.store_data_bypass_d @[el2_dec.scala 489:40] - io.lsu_p.bits.dma <= decode.io.lsu_p.bits.dma @[el2_dec.scala 489:40] - io.lsu_p.bits.unsign <= decode.io.lsu_p.bits.unsign @[el2_dec.scala 489:40] - io.lsu_p.bits.store <= decode.io.lsu_p.bits.store @[el2_dec.scala 489:40] - io.lsu_p.bits.load <= decode.io.lsu_p.bits.load @[el2_dec.scala 489:40] - io.lsu_p.bits.dword <= decode.io.lsu_p.bits.dword @[el2_dec.scala 489:40] - io.lsu_p.bits.word <= decode.io.lsu_p.bits.word @[el2_dec.scala 489:40] - io.lsu_p.bits.half <= decode.io.lsu_p.bits.half @[el2_dec.scala 489:40] - io.lsu_p.bits.by <= decode.io.lsu_p.bits.by @[el2_dec.scala 489:40] - io.lsu_p.bits.fast_int <= decode.io.lsu_p.bits.fast_int @[el2_dec.scala 489:40] - io.lsu_p.valid <= decode.io.lsu_p.valid @[el2_dec.scala 489:40] - io.mul_p.bits.bfp <= decode.io.mul_p.bits.bfp @[el2_dec.scala 490:40] - io.mul_p.bits.crc32c_w <= decode.io.mul_p.bits.crc32c_w @[el2_dec.scala 490:40] - io.mul_p.bits.crc32c_h <= decode.io.mul_p.bits.crc32c_h @[el2_dec.scala 490:40] - io.mul_p.bits.crc32c_b <= decode.io.mul_p.bits.crc32c_b @[el2_dec.scala 490:40] - io.mul_p.bits.crc32_w <= decode.io.mul_p.bits.crc32_w @[el2_dec.scala 490:40] - io.mul_p.bits.crc32_h <= decode.io.mul_p.bits.crc32_h @[el2_dec.scala 490:40] - io.mul_p.bits.crc32_b <= decode.io.mul_p.bits.crc32_b @[el2_dec.scala 490:40] - io.mul_p.bits.unshfl <= decode.io.mul_p.bits.unshfl @[el2_dec.scala 490:40] - io.mul_p.bits.shfl <= decode.io.mul_p.bits.shfl @[el2_dec.scala 490:40] - io.mul_p.bits.grev <= decode.io.mul_p.bits.grev @[el2_dec.scala 490:40] - io.mul_p.bits.clmulr <= decode.io.mul_p.bits.clmulr @[el2_dec.scala 490:40] - io.mul_p.bits.clmulh <= decode.io.mul_p.bits.clmulh @[el2_dec.scala 490:40] - io.mul_p.bits.clmul <= decode.io.mul_p.bits.clmul @[el2_dec.scala 490:40] - io.mul_p.bits.bdep <= decode.io.mul_p.bits.bdep @[el2_dec.scala 490:40] - io.mul_p.bits.bext <= decode.io.mul_p.bits.bext @[el2_dec.scala 490:40] - io.mul_p.bits.low <= decode.io.mul_p.bits.low @[el2_dec.scala 490:40] - io.mul_p.bits.rs2_sign <= decode.io.mul_p.bits.rs2_sign @[el2_dec.scala 490:40] - io.mul_p.bits.rs1_sign <= decode.io.mul_p.bits.rs1_sign @[el2_dec.scala 490:40] - io.mul_p.valid <= decode.io.mul_p.valid @[el2_dec.scala 490:40] - io.div_p.bits.rem <= decode.io.div_p.bits.rem @[el2_dec.scala 491:40] - io.div_p.bits.unsign <= decode.io.div_p.bits.unsign @[el2_dec.scala 491:40] - io.div_p.valid <= decode.io.div_p.valid @[el2_dec.scala 491:40] - gpr.io.waddr2 <= decode.io.div_waddr_wb @[el2_dec.scala 492:40] - io.dec_div_cancel <= decode.io.dec_div_cancel @[el2_dec.scala 493:40] - io.dec_lsu_valid_raw_d <= decode.io.dec_lsu_valid_raw_d @[el2_dec.scala 494:40] - io.dec_lsu_offset_d <= decode.io.dec_lsu_offset_d @[el2_dec.scala 495:40] - io.dec_csr_ren_d <= decode.io.dec_csr_ren_d @[el2_dec.scala 496:40] - tlu.io.dec_csr_wen_unq_d <= decode.io.dec_csr_wen_unq_d @[el2_dec.scala 497:40] - tlu.io.dec_csr_any_unq_d <= decode.io.dec_csr_any_unq_d @[el2_dec.scala 498:40] - tlu.io.dec_csr_rdaddr_d <= decode.io.dec_csr_rdaddr_d @[el2_dec.scala 499:40] - tlu.io.dec_csr_wen_r <= decode.io.dec_csr_wen_r @[el2_dec.scala 500:40] - tlu.io.dec_csr_wraddr_r <= decode.io.dec_csr_wraddr_r @[el2_dec.scala 501:40] - tlu.io.dec_csr_wrdata_r <= decode.io.dec_csr_wrdata_r @[el2_dec.scala 502:40] - tlu.io.dec_csr_stall_int_ff <= decode.io.dec_csr_stall_int_ff @[el2_dec.scala 503:40] - tlu.io.dec_tlu_i0_valid_r <= decode.io.dec_tlu_i0_valid_r @[el2_dec.scala 504:40] - tlu.io.dec_tlu_packet_r.pmu_lsu_misaligned <= decode.io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.pmu_divide <= decode.io.dec_tlu_packet_r.pmu_divide @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.pmu_i0_br_unpred <= decode.io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.pmu_i0_itype <= decode.io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.i0trigger <= decode.io.dec_tlu_packet_r.i0trigger @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.fence_i <= decode.io.dec_tlu_packet_r.fence_i @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.icaf_type <= decode.io.dec_tlu_packet_r.icaf_type @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.icaf_f1 <= decode.io.dec_tlu_packet_r.icaf_f1 @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.icaf <= decode.io.dec_tlu_packet_r.icaf @[el2_dec.scala 505:40] - tlu.io.dec_tlu_packet_r.legal <= decode.io.dec_tlu_packet_r.legal @[el2_dec.scala 505:40] - tlu.io.dec_tlu_i0_pc_r <= decode.io.dec_tlu_i0_pc_r @[el2_dec.scala 506:40] - tlu.io.dec_illegal_inst <= decode.io.dec_illegal_inst @[el2_dec.scala 507:40] - io.pred_correct_npc_x <= decode.io.pred_correct_npc_x @[el2_dec.scala 508:40] - io.dec_i0_predict_p_d.bits.way <= decode.io.dec_i0_predict_p_d.bits.way @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.pja <= decode.io.dec_i0_predict_p_d.bits.pja @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.pret <= decode.io.dec_i0_predict_p_d.bits.pret @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.pcall <= decode.io.dec_i0_predict_p_d.bits.pcall @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.prett <= decode.io.dec_i0_predict_p_d.bits.prett @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.br_start_error <= decode.io.dec_i0_predict_p_d.bits.br_start_error @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.br_error <= decode.io.dec_i0_predict_p_d.bits.br_error @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.toffset <= decode.io.dec_i0_predict_p_d.bits.toffset @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.hist <= decode.io.dec_i0_predict_p_d.bits.hist @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.pc4 <= decode.io.dec_i0_predict_p_d.bits.pc4 @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.boffset <= decode.io.dec_i0_predict_p_d.bits.boffset @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.ataken <= decode.io.dec_i0_predict_p_d.bits.ataken @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.bits.misp <= decode.io.dec_i0_predict_p_d.bits.misp @[el2_dec.scala 509:40] - io.dec_i0_predict_p_d.valid <= decode.io.dec_i0_predict_p_d.valid @[el2_dec.scala 509:40] - io.i0_predict_fghr_d <= decode.io.i0_predict_fghr_d @[el2_dec.scala 510:40] - io.i0_predict_index_d <= decode.io.i0_predict_index_d @[el2_dec.scala 511:40] - io.i0_predict_btag_d <= decode.io.i0_predict_btag_d @[el2_dec.scala 512:40] - io.dec_data_en <= decode.io.dec_data_en @[el2_dec.scala 513:40] - io.dec_ctl_en <= decode.io.dec_ctl_en @[el2_dec.scala 514:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_instr_decoded @[el2_dec.scala 515:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_decode_stall @[el2_dec.scala 516:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_presync_stall @[el2_dec.scala 517:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_postsync_stall @[el2_dec.scala 518:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_nonblock_load_wen @[el2_dec.scala 519:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_nonblock_load_waddr @[el2_dec.scala 520:40] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pause_state @[el2_dec.scala 521:40] - io.dec_pause_state_cg <= decode.io.dec_pause_state_cg @[el2_dec.scala 522:40] - tlu.io.dec_div_active <= decode.io.dec_div_active @[el2_dec.scala 523:40] - gpr.io.raddr0 <= decode.io.dec_i0_rs1_d @[el2_dec.scala 530:23] - gpr.io.raddr1 <= decode.io.dec_i0_rs2_d @[el2_dec.scala 531:23] - gpr.io.wen0 <= decode.io.dec_i0_wen_r @[el2_dec.scala 532:23] - gpr.io.waddr0 <= decode.io.dec_i0_waddr_r @[el2_dec.scala 533:23] - gpr.io.wd0 <= decode.io.dec_i0_wdata_r @[el2_dec.scala 534:23] - gpr.io.wen1 <= decode.io.dec_nonblock_load_wen @[el2_dec.scala 535:23] - gpr.io.waddr1 <= decode.io.dec_nonblock_load_waddr @[el2_dec.scala 536:23] - gpr.io.wd1 <= io.lsu_nonblock_load_data @[el2_dec.scala 537:23] - gpr.io.wen2 <= io.exu_div_wren @[el2_dec.scala 538:23] - gpr.io.waddr2 <= decode.io.div_waddr_wb @[el2_dec.scala 539:23] - gpr.io.wd2 <= io.exu_div_result @[el2_dec.scala 540:23] - gpr.io.scan_mode <= io.scan_mode @[el2_dec.scala 543:23] - io.gpr_i0_rs1_d <= gpr.io.rd0 @[el2_dec.scala 545:19] - io.gpr_i0_rs2_d <= gpr.io.rd1 @[el2_dec.scala 546:19] - tlu.io.active_clk <= io.active_clk @[el2_dec.scala 555:45] - tlu.io.free_clk <= io.free_clk @[el2_dec.scala 556:45] - tlu.io.scan_mode <= io.scan_mode @[el2_dec.scala 558:45] - tlu.io.rst_vec <= io.rst_vec @[el2_dec.scala 559:45] - tlu.io.nmi_int <= io.nmi_int @[el2_dec.scala 560:45] - tlu.io.nmi_vec <= io.nmi_vec @[el2_dec.scala 561:45] - tlu.io.i_cpu_halt_req <= io.i_cpu_halt_req @[el2_dec.scala 562:45] - tlu.io.i_cpu_run_req <= io.i_cpu_run_req @[el2_dec.scala 563:45] - tlu.io.lsu_fastint_stall_any <= io.lsu_fastint_stall_any @[el2_dec.scala 564:45] - tlu.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[el2_dec.scala 565:45] - tlu.io.ifu_pmu_fetch_stall <= io.ifu_pmu_fetch_stall @[el2_dec.scala 566:45] - tlu.io.ifu_pmu_ic_miss <= io.ifu_pmu_ic_miss @[el2_dec.scala 567:45] - tlu.io.ifu_pmu_ic_hit <= io.ifu_pmu_ic_hit @[el2_dec.scala 568:45] - tlu.io.ifu_pmu_bus_error <= io.ifu_pmu_bus_error @[el2_dec.scala 569:45] - tlu.io.ifu_pmu_bus_busy <= io.ifu_pmu_bus_busy @[el2_dec.scala 570:45] - tlu.io.ifu_pmu_bus_trxn <= io.ifu_pmu_bus_trxn @[el2_dec.scala 571:45] - tlu.io.dec_pmu_instr_decoded <= decode.io.dec_pmu_instr_decoded @[el2_dec.scala 572:45] - tlu.io.dec_pmu_decode_stall <= decode.io.dec_pmu_decode_stall @[el2_dec.scala 573:45] - tlu.io.dec_pmu_presync_stall <= decode.io.dec_pmu_presync_stall @[el2_dec.scala 574:45] - tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_postsync_stall @[el2_dec.scala 575:45] - tlu.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec.scala 576:45] - tlu.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec.scala 577:45] - tlu.io.dma_iccm_stall_any <= io.dma_iccm_stall_any @[el2_dec.scala 578:45] - tlu.io.exu_pmu_i0_br_misp <= io.exu_pmu_i0_br_misp @[el2_dec.scala 579:45] - tlu.io.exu_pmu_i0_br_ataken <= io.exu_pmu_i0_br_ataken @[el2_dec.scala 580:45] - tlu.io.exu_pmu_i0_pc4 <= io.exu_pmu_i0_pc4 @[el2_dec.scala 581:45] - tlu.io.lsu_pmu_bus_trxn <= io.lsu_pmu_bus_trxn @[el2_dec.scala 582:45] - tlu.io.lsu_pmu_bus_misaligned <= io.lsu_pmu_bus_misaligned @[el2_dec.scala 583:45] - tlu.io.lsu_pmu_bus_error <= io.lsu_pmu_bus_error @[el2_dec.scala 584:45] - tlu.io.lsu_pmu_bus_busy <= io.lsu_pmu_bus_busy @[el2_dec.scala 585:45] - tlu.io.lsu_pmu_load_external_m <= io.lsu_pmu_load_external_m @[el2_dec.scala 586:45] - tlu.io.lsu_pmu_store_external_m <= io.lsu_pmu_store_external_m @[el2_dec.scala 587:45] - tlu.io.dma_pmu_dccm_read <= io.dma_pmu_dccm_read @[el2_dec.scala 588:45] - tlu.io.dma_pmu_dccm_write <= io.dma_pmu_dccm_write @[el2_dec.scala 589:45] - tlu.io.dma_pmu_any_read <= io.dma_pmu_any_read @[el2_dec.scala 590:45] - tlu.io.dma_pmu_any_write <= io.dma_pmu_any_write @[el2_dec.scala 591:45] - tlu.io.lsu_fir_addr <= io.lsu_fir_addr @[el2_dec.scala 592:45] - tlu.io.lsu_fir_error <= io.lsu_fir_error @[el2_dec.scala 593:45] - tlu.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[el2_dec.scala 594:45] - tlu.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[el2_dec.scala 595:45] - tlu.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[el2_dec.scala 595:45] - tlu.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[el2_dec.scala 595:45] - tlu.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[el2_dec.scala 595:45] - tlu.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[el2_dec.scala 595:45] - tlu.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[el2_dec.scala 595:45] - tlu.io.lsu_single_ecc_error_incr <= io.lsu_single_ecc_error_incr @[el2_dec.scala 596:45] - tlu.io.dec_pause_state <= decode.io.dec_pause_state @[el2_dec.scala 597:45] - tlu.io.lsu_imprecise_error_store_any <= io.lsu_imprecise_error_store_any @[el2_dec.scala 598:45] - tlu.io.lsu_imprecise_error_load_any <= io.lsu_imprecise_error_load_any @[el2_dec.scala 599:45] - tlu.io.lsu_imprecise_error_addr_any <= io.lsu_imprecise_error_addr_any @[el2_dec.scala 600:45] - tlu.io.dec_csr_wen_unq_d <= decode.io.dec_csr_wen_unq_d @[el2_dec.scala 601:45] - tlu.io.dec_csr_any_unq_d <= decode.io.dec_csr_any_unq_d @[el2_dec.scala 602:45] - tlu.io.dec_csr_rdaddr_d <= decode.io.dec_csr_rdaddr_d @[el2_dec.scala 603:45] - tlu.io.dec_csr_wen_r <= decode.io.dec_csr_wen_r @[el2_dec.scala 604:45] - tlu.io.dec_csr_wraddr_r <= decode.io.dec_csr_wraddr_r @[el2_dec.scala 605:45] - tlu.io.dec_csr_wrdata_r <= decode.io.dec_csr_wrdata_r @[el2_dec.scala 606:45] - tlu.io.dec_csr_stall_int_ff <= decode.io.dec_csr_stall_int_ff @[el2_dec.scala 607:45] - tlu.io.dec_tlu_i0_valid_r <= decode.io.dec_tlu_i0_valid_r @[el2_dec.scala 608:45] - tlu.io.exu_npc_r <= io.exu_npc_r @[el2_dec.scala 609:45] - tlu.io.dec_tlu_i0_pc_r <= decode.io.dec_tlu_i0_pc_r @[el2_dec.scala 610:45] - tlu.io.dec_tlu_packet_r.pmu_lsu_misaligned <= decode.io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.pmu_divide <= decode.io.dec_tlu_packet_r.pmu_divide @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.pmu_i0_br_unpred <= decode.io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.pmu_i0_itype <= decode.io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.i0trigger <= decode.io.dec_tlu_packet_r.i0trigger @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.fence_i <= decode.io.dec_tlu_packet_r.fence_i @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.icaf_type <= decode.io.dec_tlu_packet_r.icaf_type @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.icaf_f1 <= decode.io.dec_tlu_packet_r.icaf_f1 @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.icaf <= decode.io.dec_tlu_packet_r.icaf @[el2_dec.scala 611:45] - tlu.io.dec_tlu_packet_r.legal <= decode.io.dec_tlu_packet_r.legal @[el2_dec.scala 611:45] - tlu.io.dec_illegal_inst <= decode.io.dec_illegal_inst @[el2_dec.scala 612:45] - tlu.io.dec_i0_decode_d <= decode.io.dec_i0_decode_d @[el2_dec.scala 613:45] - tlu.io.exu_i0_br_hist_r <= io.exu_i0_br_hist_r @[el2_dec.scala 614:45] - tlu.io.exu_i0_br_error_r <= io.exu_i0_br_error_r @[el2_dec.scala 615:45] - tlu.io.exu_i0_br_start_error_r <= io.exu_i0_br_start_error_r @[el2_dec.scala 616:45] - tlu.io.exu_i0_br_valid_r <= io.exu_i0_br_valid_r @[el2_dec.scala 617:45] - tlu.io.exu_i0_br_mp_r <= io.exu_i0_br_mp_r @[el2_dec.scala 618:45] - tlu.io.exu_i0_br_middle_r <= io.exu_i0_br_middle_r @[el2_dec.scala 619:45] - tlu.io.exu_i0_br_way_r <= io.exu_i0_br_way_r @[el2_dec.scala 620:45] - tlu.io.dbg_halt_req <= io.dbg_halt_req @[el2_dec.scala 621:45] - tlu.io.dbg_resume_req <= io.dbg_resume_req @[el2_dec.scala 622:45] - tlu.io.ifu_miss_state_idle <= io.ifu_miss_state_idle @[el2_dec.scala 623:45] - tlu.io.lsu_idle_any <= io.lsu_idle_any @[el2_dec.scala 624:45] - tlu.io.dec_div_active <= decode.io.dec_div_active @[el2_dec.scala 625:45] - tlu.io.ifu_ic_error_start <= io.ifu_ic_error_start @[el2_dec.scala 626:45] - tlu.io.ifu_iccm_rd_ecc_single_err <= io.ifu_iccm_rd_ecc_single_err @[el2_dec.scala 627:45] - tlu.io.ifu_ic_debug_rd_data <= io.ifu_ic_debug_rd_data @[el2_dec.scala 628:45] - tlu.io.ifu_ic_debug_rd_data_valid <= io.ifu_ic_debug_rd_data_valid @[el2_dec.scala 629:45] - tlu.io.pic_claimid <= io.pic_claimid @[el2_dec.scala 630:45] - tlu.io.pic_pl <= io.pic_pl @[el2_dec.scala 631:45] - tlu.io.mhwakeup <= io.mhwakeup @[el2_dec.scala 632:45] - tlu.io.mexintpend <= io.mexintpend @[el2_dec.scala 633:45] - tlu.io.timer_int <= io.timer_int @[el2_dec.scala 634:45] - tlu.io.soft_int <= io.soft_int @[el2_dec.scala 635:45] - tlu.io.core_id <= io.core_id @[el2_dec.scala 636:45] - tlu.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[el2_dec.scala 637:45] - tlu.io.mpc_debug_run_req <= io.mpc_debug_run_req @[el2_dec.scala 638:45] - tlu.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec.scala 639:45] - io.dec_dbg_cmd_done <= tlu.io.dec_dbg_cmd_done @[el2_dec.scala 641:28] - io.dec_dbg_cmd_fail <= tlu.io.dec_dbg_cmd_fail @[el2_dec.scala 642:28] - io.dec_tlu_dbg_halted <= tlu.io.dec_tlu_dbg_halted @[el2_dec.scala 643:28] - io.dec_tlu_debug_mode <= tlu.io.dec_tlu_debug_mode @[el2_dec.scala 644:28] - io.dec_tlu_resume_ack <= tlu.io.dec_tlu_resume_ack @[el2_dec.scala 645:28] - decode.io.dec_tlu_debug_stall <= tlu.io.dec_tlu_debug_stall @[el2_dec.scala 646:36] - io.dec_tlu_flush_noredir_r <= tlu.io.dec_tlu_flush_noredir_r @[el2_dec.scala 647:34] - io.dec_tlu_mpc_halted_only <= tlu.io.dec_tlu_mpc_halted_only @[el2_dec.scala 648:34] - io.dec_tlu_flush_leak_one_r <= tlu.io.dec_tlu_flush_leak_one_r @[el2_dec.scala 649:34] - io.dec_tlu_flush_err_r <= tlu.io.dec_tlu_flush_err_r @[el2_dec.scala 650:34] - decode.io.dec_tlu_flush_extint <= tlu.io.dec_tlu_flush_extint @[el2_dec.scala 651:37] - io.dec_tlu_meihap <= tlu.io.dec_tlu_meihap @[el2_dec.scala 652:29] - io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[el2_dec.scala 653:29] - io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[el2_dec.scala 653:29] - io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[el2_dec.scala 653:29] - io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[el2_dec.scala 653:29] - io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[el2_dec.scala 653:29] - io.trigger_pkt_any[0].match_ <= tlu.io.trigger_pkt_any[0].match_ @[el2_dec.scala 653:29] - io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].match_ <= tlu.io.trigger_pkt_any[1].match_ @[el2_dec.scala 653:29] - io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].match_ <= tlu.io.trigger_pkt_any[2].match_ @[el2_dec.scala 653:29] - io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].match_ <= tlu.io.trigger_pkt_any[3].match_ @[el2_dec.scala 653:29] - io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[el2_dec.scala 653:29] - io.dec_tlu_ic_diag_pkt.icache_wr_valid <= tlu.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_dec.scala 654:29] - io.dec_tlu_ic_diag_pkt.icache_rd_valid <= tlu.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_dec.scala 654:29] - io.dec_tlu_ic_diag_pkt.icache_dicawics <= tlu.io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_dec.scala 654:29] - io.dec_tlu_ic_diag_pkt.icache_wrdata <= tlu.io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_dec.scala 654:29] - io.o_cpu_halt_status <= tlu.io.o_cpu_halt_status @[el2_dec.scala 655:29] - io.o_cpu_halt_ack <= tlu.io.o_cpu_halt_ack @[el2_dec.scala 656:29] - io.o_cpu_run_ack <= tlu.io.o_cpu_run_ack @[el2_dec.scala 657:29] - io.o_debug_mode_status <= tlu.io.o_debug_mode_status @[el2_dec.scala 658:29] - io.mpc_debug_halt_ack <= tlu.io.mpc_debug_halt_ack @[el2_dec.scala 659:29] - io.mpc_debug_run_ack <= tlu.io.mpc_debug_run_ack @[el2_dec.scala 660:29] - io.debug_brkpt_status <= tlu.io.debug_brkpt_status @[el2_dec.scala 661:29] - io.dec_tlu_meicurpl <= tlu.io.dec_tlu_meicurpl @[el2_dec.scala 662:29] - io.dec_tlu_meipt <= tlu.io.dec_tlu_meipt @[el2_dec.scala 663:29] - decode.io.dec_csr_rddata_d <= tlu.io.dec_csr_rddata_d @[el2_dec.scala 664:33] - decode.io.dec_csr_legal_d <= tlu.io.dec_csr_legal_d @[el2_dec.scala 665:33] - io.dec_tlu_br0_r_pkt.bits.middle <= tlu.io.dec_tlu_br0_r_pkt.bits.middle @[el2_dec.scala 666:42] - io.dec_tlu_br0_r_pkt.bits.way <= tlu.io.dec_tlu_br0_r_pkt.bits.way @[el2_dec.scala 666:42] - io.dec_tlu_br0_r_pkt.bits.br_start_error <= tlu.io.dec_tlu_br0_r_pkt.bits.br_start_error @[el2_dec.scala 666:42] - io.dec_tlu_br0_r_pkt.bits.br_error <= tlu.io.dec_tlu_br0_r_pkt.bits.br_error @[el2_dec.scala 666:42] - io.dec_tlu_br0_r_pkt.bits.hist <= tlu.io.dec_tlu_br0_r_pkt.bits.hist @[el2_dec.scala 666:42] - io.dec_tlu_br0_r_pkt.valid <= tlu.io.dec_tlu_br0_r_pkt.valid @[el2_dec.scala 666:42] - decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[el2_dec.scala 667:42] - decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[el2_dec.scala 668:42] - io.dec_tlu_i0_commit_cmt <= tlu.io.dec_tlu_i0_commit_cmt @[el2_dec.scala 669:34] - io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[el2_dec.scala 670:34] - io.dec_tlu_flush_lower_r <= tlu.io.dec_tlu_flush_lower_r @[el2_dec.scala 671:34] - io.dec_tlu_flush_path_r <= tlu.io.dec_tlu_flush_path_r @[el2_dec.scala 672:34] - io.dec_tlu_fence_i_r <= tlu.io.dec_tlu_fence_i_r @[el2_dec.scala 673:34] - decode.io.dec_tlu_wr_pause_r <= tlu.io.dec_tlu_wr_pause_r @[el2_dec.scala 674:35] - decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[el2_dec.scala 675:35] - decode.io.dec_tlu_presync_d <= tlu.io.dec_tlu_presync_d @[el2_dec.scala 676:35] - decode.io.dec_tlu_postsync_d <= tlu.io.dec_tlu_postsync_d @[el2_dec.scala 677:35] - io.dec_tlu_mrac_ff <= tlu.io.dec_tlu_mrac_ff @[el2_dec.scala 678:29] - io.dec_tlu_force_halt <= tlu.io.dec_tlu_force_halt @[el2_dec.scala 679:29] - io.dec_tlu_perfcnt0 <= tlu.io.dec_tlu_perfcnt0 @[el2_dec.scala 680:29] - io.dec_tlu_perfcnt1 <= tlu.io.dec_tlu_perfcnt1 @[el2_dec.scala 681:29] - io.dec_tlu_perfcnt2 <= tlu.io.dec_tlu_perfcnt2 @[el2_dec.scala 682:29] - io.dec_tlu_perfcnt3 <= tlu.io.dec_tlu_perfcnt3 @[el2_dec.scala 683:29] - dec_tlu_i0_exc_valid_wb1 <= tlu.io.dec_tlu_i0_exc_valid_wb1 @[el2_dec.scala 684:32] - dec_tlu_i0_valid_wb1 <= tlu.io.dec_tlu_i0_valid_wb1 @[el2_dec.scala 685:32] - dec_tlu_int_valid_wb1 <= tlu.io.dec_tlu_int_valid_wb1 @[el2_dec.scala 686:32] - dec_tlu_exc_cause_wb1 <= tlu.io.dec_tlu_exc_cause_wb1 @[el2_dec.scala 687:32] - dec_tlu_mtval_wb1 <= tlu.io.dec_tlu_mtval_wb1 @[el2_dec.scala 688:32] - io.dec_tlu_external_ldfwd_disable <= tlu.io.dec_tlu_external_ldfwd_disable @[el2_dec.scala 689:43] - io.dec_tlu_sideeffect_posted_disable <= tlu.io.dec_tlu_sideeffect_posted_disable @[el2_dec.scala 690:43] - io.dec_tlu_core_ecc_disable <= tlu.io.dec_tlu_core_ecc_disable @[el2_dec.scala 691:43] - io.dec_tlu_bpred_disable <= tlu.io.dec_tlu_bpred_disable @[el2_dec.scala 692:43] - io.dec_tlu_wb_coalescing_disable <= tlu.io.dec_tlu_wb_coalescing_disable @[el2_dec.scala 693:43] - io.dec_tlu_dma_qos_prty <= tlu.io.dec_tlu_dma_qos_prty @[el2_dec.scala 695:35] - io.dec_tlu_misc_clk_override <= tlu.io.dec_tlu_misc_clk_override @[el2_dec.scala 696:35] - io.dec_tlu_ifu_clk_override <= tlu.io.dec_tlu_ifu_clk_override @[el2_dec.scala 698:36] - io.dec_tlu_lsu_clk_override <= tlu.io.dec_tlu_lsu_clk_override @[el2_dec.scala 699:36] - io.dec_tlu_bus_clk_override <= tlu.io.dec_tlu_bus_clk_override @[el2_dec.scala 700:36] - io.dec_tlu_pic_clk_override <= tlu.io.dec_tlu_pic_clk_override @[el2_dec.scala 701:36] - io.dec_tlu_dccm_clk_override <= tlu.io.dec_tlu_dccm_clk_override @[el2_dec.scala 702:36] - io.dec_tlu_icm_clk_override <= tlu.io.dec_tlu_icm_clk_override @[el2_dec.scala 703:36] - io.rv_trace_pkt.rv_i_insn_ip <= decode.io.dec_i0_inst_wb1 @[el2_dec.scala 707:32] + io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 291:18] + instbuff.io.dbg_cmd_valid <= io.dbg_cmd_valid @[el2_dec.scala 297:45] + instbuff.io.dbg_cmd_write <= io.dbg_cmd_write @[el2_dec.scala 298:45] + instbuff.io.dbg_cmd_type <= io.dbg_cmd_type @[el2_dec.scala 299:45] + instbuff.io.dbg_cmd_addr <= io.dbg_cmd_addr @[el2_dec.scala 300:45] + instbuff.io.i0_brp.bits.ret <= io.i0_brp.bits.ret @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.way <= io.i0_brp.bits.way @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.prett <= io.i0_brp.bits.prett @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.bank <= io.i0_brp.bits.bank @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.br_start_error <= io.i0_brp.bits.br_start_error @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.br_error <= io.i0_brp.bits.br_error @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.hist <= io.i0_brp.bits.hist @[el2_dec.scala 301:55] + instbuff.io.i0_brp.bits.toffset <= io.i0_brp.bits.toffset @[el2_dec.scala 301:55] + instbuff.io.i0_brp.valid <= io.i0_brp.valid @[el2_dec.scala 301:55] + instbuff.io.ifu_i0_bp_index <= io.ifu_i0_bp_index @[el2_dec.scala 302:35] + instbuff.io.ifu_i0_bp_fghr <= io.ifu_i0_bp_fghr @[el2_dec.scala 303:35] + instbuff.io.ifu_i0_bp_btag <= io.ifu_i0_bp_btag @[el2_dec.scala 304:35] + instbuff.io.ifu_i0_pc4 <= io.ifu_i0_pc4 @[el2_dec.scala 305:35] + instbuff.io.ifu_i0_valid <= io.ifu_i0_valid @[el2_dec.scala 306:35] + instbuff.io.ifu_i0_icaf <= io.ifu_i0_icaf @[el2_dec.scala 307:35] + instbuff.io.ifu_i0_icaf_type <= io.ifu_i0_icaf_type @[el2_dec.scala 308:35] + instbuff.io.ifu_i0_icaf_f1 <= io.ifu_i0_icaf_f1 @[el2_dec.scala 309:35] + instbuff.io.ifu_i0_dbecc <= io.ifu_i0_dbecc @[el2_dec.scala 310:35] + instbuff.io.ifu_i0_instr <= io.ifu_i0_instr @[el2_dec.scala 311:35] + instbuff.io.ifu_i0_pc <= io.ifu_i0_pc @[el2_dec.scala 312:35] + io.dec_debug_wdata_rs1_d <= instbuff.io.dec_debug_wdata_rs1_d @[el2_dec.scala 314:38] + dec_trigger.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 320:30] + dec_trigger.io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[0].match_ <= tlu.io.trigger_pkt_any[0].match_ @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].match_ <= tlu.io.trigger_pkt_any[1].match_ @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].match_ <= tlu.io.trigger_pkt_any[2].match_ @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].match_ <= tlu.io.trigger_pkt_any[3].match_ @[el2_dec.scala 321:34] + dec_trigger.io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[el2_dec.scala 321:34] + decode.io.dec_tlu_flush_extint <= tlu.io.dec_tlu_flush_extint @[el2_dec.scala 330:48] + decode.io.dec_tlu_force_halt <= tlu.io.dec_tlu_force_halt @[el2_dec.scala 331:48] + decode.io.ifu_i0_cinst <= io.ifu_i0_cinst @[el2_dec.scala 332:48] + decode.io.lsu_nonblock_load_valid_m <= io.lsu_nonblock_load_valid_m @[el2_dec.scala 333:48] + decode.io.lsu_nonblock_load_tag_m <= io.lsu_nonblock_load_tag_m @[el2_dec.scala 334:48] + decode.io.lsu_nonblock_load_inv_r <= io.lsu_nonblock_load_inv_r @[el2_dec.scala 335:48] + decode.io.lsu_nonblock_load_inv_tag_r <= io.lsu_nonblock_load_inv_tag_r @[el2_dec.scala 336:48] + decode.io.lsu_nonblock_load_data_valid <= io.lsu_nonblock_load_data_valid @[el2_dec.scala 337:48] + decode.io.lsu_nonblock_load_data_error <= io.lsu_nonblock_load_data_error @[el2_dec.scala 338:48] + decode.io.lsu_nonblock_load_data_tag <= io.lsu_nonblock_load_data_tag @[el2_dec.scala 339:48] + decode.io.lsu_nonblock_load_data <= io.lsu_nonblock_load_data @[el2_dec.scala 340:48] + decode.io.dec_i0_trigger_match_d <= dec_trigger.io.dec_i0_trigger_match_d @[el2_dec.scala 341:48] + decode.io.dec_tlu_wr_pause_r <= tlu.io.dec_tlu_wr_pause_r @[el2_dec.scala 342:48] + decode.io.dec_tlu_pipelining_disable <= tlu.io.dec_tlu_pipelining_disable @[el2_dec.scala 343:48] + decode.io.lsu_trigger_match_m <= io.lsu_trigger_match_m @[el2_dec.scala 344:48] + decode.io.lsu_pmu_misaligned_m <= io.lsu_pmu_bus_misaligned @[el2_dec.scala 345:48] + decode.io.dec_tlu_debug_stall <= tlu.io.dec_tlu_debug_stall @[el2_dec.scala 346:48] + decode.io.dec_tlu_flush_leak_one_r <= tlu.io.dec_tlu_flush_leak_one_r @[el2_dec.scala 347:48] + decode.io.dec_debug_fence_d <= instbuff.io.dec_debug_fence_d @[el2_dec.scala 348:48] + decode.io.dbg_cmd_wrdata <= io.dbg_cmd_wrdata @[el2_dec.scala 349:48] + decode.io.dec_i0_icaf_d <= instbuff.io.dec_i0_icaf_d @[el2_dec.scala 350:48] + decode.io.dec_i0_icaf_f1_d <= instbuff.io.dec_i0_icaf_f1_d @[el2_dec.scala 351:48] + decode.io.dec_i0_icaf_type_d <= instbuff.io.dec_i0_icaf_type_d @[el2_dec.scala 352:48] + decode.io.dec_i0_dbecc_d <= instbuff.io.dec_i0_dbecc_d @[el2_dec.scala 353:48] + decode.io.dec_i0_brp.bits.ret <= instbuff.io.dec_i0_brp.bits.ret @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.way <= instbuff.io.dec_i0_brp.bits.way @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.prett <= instbuff.io.dec_i0_brp.bits.prett @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.bank <= instbuff.io.dec_i0_brp.bits.bank @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.br_start_error <= instbuff.io.dec_i0_brp.bits.br_start_error @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.br_error <= instbuff.io.dec_i0_brp.bits.br_error @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.hist <= instbuff.io.dec_i0_brp.bits.hist @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.bits.toffset <= instbuff.io.dec_i0_brp.bits.toffset @[el2_dec.scala 354:48] + decode.io.dec_i0_brp.valid <= instbuff.io.dec_i0_brp.valid @[el2_dec.scala 354:48] + decode.io.dec_i0_bp_index <= instbuff.io.dec_i0_bp_index @[el2_dec.scala 355:48] + decode.io.dec_i0_bp_fghr <= instbuff.io.dec_i0_bp_fghr @[el2_dec.scala 356:48] + decode.io.dec_i0_bp_btag <= instbuff.io.dec_i0_bp_btag @[el2_dec.scala 357:48] + decode.io.dec_i0_pc_d <= instbuff.io.dec_i0_pc_d @[el2_dec.scala 358:48] + decode.io.lsu_idle_any <= io.lsu_idle_any @[el2_dec.scala 359:48] + decode.io.lsu_load_stall_any <= io.lsu_load_stall_any @[el2_dec.scala 360:48] + decode.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec.scala 361:48] + decode.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec.scala 362:48] + decode.io.exu_div_wren <= io.exu_div_wren @[el2_dec.scala 363:48] + decode.io.dec_tlu_i0_kill_writeb_wb <= tlu.io.dec_tlu_i0_kill_writeb_wb @[el2_dec.scala 364:48] + decode.io.dec_tlu_flush_lower_wb <= tlu.io.dec_tlu_flush_lower_wb @[el2_dec.scala 365:48] + decode.io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[el2_dec.scala 366:48] + decode.io.dec_tlu_flush_lower_r <= tlu.io.dec_tlu_flush_lower_r @[el2_dec.scala 367:48] + decode.io.dec_tlu_flush_pause_r <= tlu.io.dec_tlu_flush_pause_r @[el2_dec.scala 368:48] + decode.io.dec_tlu_presync_d <= tlu.io.dec_tlu_presync_d @[el2_dec.scala 369:48] + decode.io.dec_tlu_postsync_d <= tlu.io.dec_tlu_postsync_d @[el2_dec.scala 370:48] + decode.io.dec_i0_pc4_d <= instbuff.io.dec_i0_pc4_d @[el2_dec.scala 371:48] + decode.io.dec_csr_rddata_d <= tlu.io.dec_csr_rddata_d @[el2_dec.scala 372:48] + decode.io.dec_csr_legal_d <= tlu.io.dec_csr_legal_d @[el2_dec.scala 373:48] + decode.io.exu_csr_rs1_x <= io.exu_csr_rs1_x @[el2_dec.scala 374:48] + decode.io.lsu_result_m <= io.lsu_result_m @[el2_dec.scala 375:48] + decode.io.lsu_result_corr_r <= io.lsu_result_corr_r @[el2_dec.scala 376:48] + decode.io.exu_flush_final <= io.exu_flush_final @[el2_dec.scala 377:48] + decode.io.exu_i0_pc_x <= io.exu_i0_pc_x @[el2_dec.scala 378:48] + decode.io.dec_i0_instr_d <= instbuff.io.dec_i0_instr_d @[el2_dec.scala 379:48] + decode.io.dec_ib0_valid_d <= instbuff.io.dec_ib0_valid_d @[el2_dec.scala 380:48] + decode.io.exu_i0_result_x <= io.exu_i0_result_x @[el2_dec.scala 381:48] + decode.io.free_clk <= io.free_clk @[el2_dec.scala 383:48] + decode.io.active_clk <= io.active_clk @[el2_dec.scala 384:48] + decode.io.clk_override <= tlu.io.dec_tlu_dec_clk_override @[el2_dec.scala 385:48] + decode.io.scan_mode <= io.scan_mode @[el2_dec.scala 387:48] + io.dec_extint_stall <= decode.io.dec_extint_stall @[el2_dec.scala 389:40] + dec_i0_inst_wb1 <= decode.io.dec_i0_inst_wb1 @[el2_dec.scala 390:40] + dec_i0_pc_wb1 <= decode.io.dec_i0_pc_wb1 @[el2_dec.scala 391:40] + io.dec_i0_rs1_en_d <= decode.io.dec_i0_rs1_en_d @[el2_dec.scala 392:40] + io.dec_i0_rs2_en_d <= decode.io.dec_i0_rs2_en_d @[el2_dec.scala 393:40] + io.dec_i0_immed_d <= decode.io.dec_i0_immed_d @[el2_dec.scala 394:40] + io.dec_i0_br_immed_d <= decode.io.dec_i0_br_immed_d @[el2_dec.scala 395:40] + io.i0_ap.csr_imm <= decode.io.i0_ap.csr_imm @[el2_dec.scala 396:40] + io.i0_ap.csr_write <= decode.io.i0_ap.csr_write @[el2_dec.scala 396:40] + io.i0_ap.predict_nt <= decode.io.i0_ap.predict_nt @[el2_dec.scala 396:40] + io.i0_ap.predict_t <= decode.io.i0_ap.predict_t @[el2_dec.scala 396:40] + io.i0_ap.jal <= decode.io.i0_ap.jal @[el2_dec.scala 396:40] + io.i0_ap.unsign <= decode.io.i0_ap.unsign @[el2_dec.scala 396:40] + io.i0_ap.slt <= decode.io.i0_ap.slt @[el2_dec.scala 396:40] + io.i0_ap.sub <= decode.io.i0_ap.sub @[el2_dec.scala 396:40] + io.i0_ap.add <= decode.io.i0_ap.add @[el2_dec.scala 396:40] + io.i0_ap.bge <= decode.io.i0_ap.bge @[el2_dec.scala 396:40] + io.i0_ap.blt <= decode.io.i0_ap.blt @[el2_dec.scala 396:40] + io.i0_ap.bne <= decode.io.i0_ap.bne @[el2_dec.scala 396:40] + io.i0_ap.beq <= decode.io.i0_ap.beq @[el2_dec.scala 396:40] + io.i0_ap.sra <= decode.io.i0_ap.sra @[el2_dec.scala 396:40] + io.i0_ap.srl <= decode.io.i0_ap.srl @[el2_dec.scala 396:40] + io.i0_ap.sll <= decode.io.i0_ap.sll @[el2_dec.scala 396:40] + io.i0_ap.lxor <= decode.io.i0_ap.lxor @[el2_dec.scala 396:40] + io.i0_ap.lor <= decode.io.i0_ap.lor @[el2_dec.scala 396:40] + io.i0_ap.land <= decode.io.i0_ap.land @[el2_dec.scala 396:40] + io.dec_i0_decode_d <= decode.io.dec_i0_decode_d @[el2_dec.scala 397:40] + io.dec_i0_alu_decode_d <= decode.io.dec_i0_alu_decode_d @[el2_dec.scala 398:40] + io.dec_i0_rs1_bypass_data_d <= decode.io.dec_i0_rs1_bypass_data_d @[el2_dec.scala 399:40] + io.dec_i0_rs2_bypass_data_d <= decode.io.dec_i0_rs2_bypass_data_d @[el2_dec.scala 400:40] + io.dec_i0_select_pc_d <= decode.io.dec_i0_select_pc_d @[el2_dec.scala 401:40] + io.dec_i0_rs1_bypass_en_d <= decode.io.dec_i0_rs1_bypass_en_d @[el2_dec.scala 402:40] + io.dec_i0_rs2_bypass_en_d <= decode.io.dec_i0_rs2_bypass_en_d @[el2_dec.scala 403:40] + io.lsu_p.bits.store_data_bypass_m <= decode.io.lsu_p.bits.store_data_bypass_m @[el2_dec.scala 404:40] + io.lsu_p.bits.load_ldst_bypass_d <= decode.io.lsu_p.bits.load_ldst_bypass_d @[el2_dec.scala 404:40] + io.lsu_p.bits.store_data_bypass_d <= decode.io.lsu_p.bits.store_data_bypass_d @[el2_dec.scala 404:40] + io.lsu_p.bits.dma <= decode.io.lsu_p.bits.dma @[el2_dec.scala 404:40] + io.lsu_p.bits.unsign <= decode.io.lsu_p.bits.unsign @[el2_dec.scala 404:40] + io.lsu_p.bits.store <= decode.io.lsu_p.bits.store @[el2_dec.scala 404:40] + io.lsu_p.bits.load <= decode.io.lsu_p.bits.load @[el2_dec.scala 404:40] + io.lsu_p.bits.dword <= decode.io.lsu_p.bits.dword @[el2_dec.scala 404:40] + io.lsu_p.bits.word <= decode.io.lsu_p.bits.word @[el2_dec.scala 404:40] + io.lsu_p.bits.half <= decode.io.lsu_p.bits.half @[el2_dec.scala 404:40] + io.lsu_p.bits.by <= decode.io.lsu_p.bits.by @[el2_dec.scala 404:40] + io.lsu_p.bits.fast_int <= decode.io.lsu_p.bits.fast_int @[el2_dec.scala 404:40] + io.lsu_p.valid <= decode.io.lsu_p.valid @[el2_dec.scala 404:40] + io.mul_p.bits.bfp <= decode.io.mul_p.bits.bfp @[el2_dec.scala 405:40] + io.mul_p.bits.crc32c_w <= decode.io.mul_p.bits.crc32c_w @[el2_dec.scala 405:40] + io.mul_p.bits.crc32c_h <= decode.io.mul_p.bits.crc32c_h @[el2_dec.scala 405:40] + io.mul_p.bits.crc32c_b <= decode.io.mul_p.bits.crc32c_b @[el2_dec.scala 405:40] + io.mul_p.bits.crc32_w <= decode.io.mul_p.bits.crc32_w @[el2_dec.scala 405:40] + io.mul_p.bits.crc32_h <= decode.io.mul_p.bits.crc32_h @[el2_dec.scala 405:40] + io.mul_p.bits.crc32_b <= decode.io.mul_p.bits.crc32_b @[el2_dec.scala 405:40] + io.mul_p.bits.unshfl <= decode.io.mul_p.bits.unshfl @[el2_dec.scala 405:40] + io.mul_p.bits.shfl <= decode.io.mul_p.bits.shfl @[el2_dec.scala 405:40] + io.mul_p.bits.grev <= decode.io.mul_p.bits.grev @[el2_dec.scala 405:40] + io.mul_p.bits.clmulr <= decode.io.mul_p.bits.clmulr @[el2_dec.scala 405:40] + io.mul_p.bits.clmulh <= decode.io.mul_p.bits.clmulh @[el2_dec.scala 405:40] + io.mul_p.bits.clmul <= decode.io.mul_p.bits.clmul @[el2_dec.scala 405:40] + io.mul_p.bits.bdep <= decode.io.mul_p.bits.bdep @[el2_dec.scala 405:40] + io.mul_p.bits.bext <= decode.io.mul_p.bits.bext @[el2_dec.scala 405:40] + io.mul_p.bits.low <= decode.io.mul_p.bits.low @[el2_dec.scala 405:40] + io.mul_p.bits.rs2_sign <= decode.io.mul_p.bits.rs2_sign @[el2_dec.scala 405:40] + io.mul_p.bits.rs1_sign <= decode.io.mul_p.bits.rs1_sign @[el2_dec.scala 405:40] + io.mul_p.valid <= decode.io.mul_p.valid @[el2_dec.scala 405:40] + io.div_p.bits.rem <= decode.io.div_p.bits.rem @[el2_dec.scala 406:40] + io.div_p.bits.unsign <= decode.io.div_p.bits.unsign @[el2_dec.scala 406:40] + io.div_p.valid <= decode.io.div_p.valid @[el2_dec.scala 406:40] + io.dec_div_cancel <= decode.io.dec_div_cancel @[el2_dec.scala 407:40] + io.dec_lsu_valid_raw_d <= decode.io.dec_lsu_valid_raw_d @[el2_dec.scala 408:40] + io.dec_lsu_offset_d <= decode.io.dec_lsu_offset_d @[el2_dec.scala 409:40] + io.dec_csr_ren_d <= decode.io.dec_csr_ren_d @[el2_dec.scala 410:40] + io.pred_correct_npc_x <= decode.io.pred_correct_npc_x @[el2_dec.scala 411:40] + io.dec_i0_predict_p_d.bits.way <= decode.io.dec_i0_predict_p_d.bits.way @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.pja <= decode.io.dec_i0_predict_p_d.bits.pja @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.pret <= decode.io.dec_i0_predict_p_d.bits.pret @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.pcall <= decode.io.dec_i0_predict_p_d.bits.pcall @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.prett <= decode.io.dec_i0_predict_p_d.bits.prett @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.br_start_error <= decode.io.dec_i0_predict_p_d.bits.br_start_error @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.br_error <= decode.io.dec_i0_predict_p_d.bits.br_error @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.toffset <= decode.io.dec_i0_predict_p_d.bits.toffset @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.hist <= decode.io.dec_i0_predict_p_d.bits.hist @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.pc4 <= decode.io.dec_i0_predict_p_d.bits.pc4 @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.boffset <= decode.io.dec_i0_predict_p_d.bits.boffset @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.ataken <= decode.io.dec_i0_predict_p_d.bits.ataken @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.bits.misp <= decode.io.dec_i0_predict_p_d.bits.misp @[el2_dec.scala 412:40] + io.dec_i0_predict_p_d.valid <= decode.io.dec_i0_predict_p_d.valid @[el2_dec.scala 412:40] + io.i0_predict_fghr_d <= decode.io.i0_predict_fghr_d @[el2_dec.scala 413:40] + io.i0_predict_index_d <= decode.io.i0_predict_index_d @[el2_dec.scala 414:40] + io.i0_predict_btag_d <= decode.io.i0_predict_btag_d @[el2_dec.scala 415:40] + io.dec_data_en <= decode.io.dec_data_en @[el2_dec.scala 416:40] + io.dec_ctl_en <= decode.io.dec_ctl_en @[el2_dec.scala 417:40] + io.dec_pause_state_cg <= decode.io.dec_pause_state_cg @[el2_dec.scala 418:40] + gpr.io.raddr0 <= decode.io.dec_i0_rs1_d @[el2_dec.scala 425:23] + gpr.io.raddr1 <= decode.io.dec_i0_rs2_d @[el2_dec.scala 426:23] + gpr.io.wen0 <= decode.io.dec_i0_wen_r @[el2_dec.scala 427:23] + gpr.io.waddr0 <= decode.io.dec_i0_waddr_r @[el2_dec.scala 428:23] + gpr.io.wd0 <= decode.io.dec_i0_wdata_r @[el2_dec.scala 429:23] + gpr.io.wen1 <= decode.io.dec_nonblock_load_wen @[el2_dec.scala 430:23] + gpr.io.waddr1 <= decode.io.dec_nonblock_load_waddr @[el2_dec.scala 431:23] + gpr.io.wd1 <= io.lsu_nonblock_load_data @[el2_dec.scala 432:23] + gpr.io.wen2 <= io.exu_div_wren @[el2_dec.scala 433:23] + gpr.io.waddr2 <= decode.io.div_waddr_wb @[el2_dec.scala 434:23] + gpr.io.wd2 <= io.exu_div_result @[el2_dec.scala 435:23] + gpr.io.scan_mode <= io.scan_mode @[el2_dec.scala 438:23] + io.gpr_i0_rs1_d <= gpr.io.rd0 @[el2_dec.scala 440:19] + io.gpr_i0_rs2_d <= gpr.io.rd1 @[el2_dec.scala 441:19] + tlu.io.active_clk <= io.active_clk @[el2_dec.scala 450:45] + tlu.io.free_clk <= io.free_clk @[el2_dec.scala 451:45] + tlu.io.scan_mode <= io.scan_mode @[el2_dec.scala 453:45] + tlu.io.rst_vec <= io.rst_vec @[el2_dec.scala 454:45] + tlu.io.nmi_int <= io.nmi_int @[el2_dec.scala 455:45] + tlu.io.nmi_vec <= io.nmi_vec @[el2_dec.scala 456:45] + tlu.io.i_cpu_halt_req <= io.i_cpu_halt_req @[el2_dec.scala 457:45] + tlu.io.i_cpu_run_req <= io.i_cpu_run_req @[el2_dec.scala 458:45] + tlu.io.lsu_fastint_stall_any <= io.lsu_fastint_stall_any @[el2_dec.scala 459:45] + tlu.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[el2_dec.scala 460:45] + tlu.io.ifu_pmu_fetch_stall <= io.ifu_pmu_fetch_stall @[el2_dec.scala 461:45] + tlu.io.ifu_pmu_ic_miss <= io.ifu_pmu_ic_miss @[el2_dec.scala 462:45] + tlu.io.ifu_pmu_ic_hit <= io.ifu_pmu_ic_hit @[el2_dec.scala 463:45] + tlu.io.ifu_pmu_bus_error <= io.ifu_pmu_bus_error @[el2_dec.scala 464:45] + tlu.io.ifu_pmu_bus_busy <= io.ifu_pmu_bus_busy @[el2_dec.scala 465:45] + tlu.io.ifu_pmu_bus_trxn <= io.ifu_pmu_bus_trxn @[el2_dec.scala 466:45] + tlu.io.dec_pmu_instr_decoded <= decode.io.dec_pmu_instr_decoded @[el2_dec.scala 467:45] + tlu.io.dec_pmu_decode_stall <= decode.io.dec_pmu_decode_stall @[el2_dec.scala 468:45] + tlu.io.dec_pmu_presync_stall <= decode.io.dec_pmu_presync_stall @[el2_dec.scala 469:45] + tlu.io.dec_pmu_postsync_stall <= decode.io.dec_pmu_postsync_stall @[el2_dec.scala 470:45] + tlu.io.lsu_store_stall_any <= io.lsu_store_stall_any @[el2_dec.scala 471:45] + tlu.io.dma_dccm_stall_any <= io.dma_dccm_stall_any @[el2_dec.scala 472:45] + tlu.io.dma_iccm_stall_any <= io.dma_iccm_stall_any @[el2_dec.scala 473:45] + tlu.io.exu_pmu_i0_br_misp <= io.exu_pmu_i0_br_misp @[el2_dec.scala 474:45] + tlu.io.exu_pmu_i0_br_ataken <= io.exu_pmu_i0_br_ataken @[el2_dec.scala 475:45] + tlu.io.exu_pmu_i0_pc4 <= io.exu_pmu_i0_pc4 @[el2_dec.scala 476:45] + tlu.io.lsu_pmu_bus_trxn <= io.lsu_pmu_bus_trxn @[el2_dec.scala 477:45] + tlu.io.lsu_pmu_bus_misaligned <= io.lsu_pmu_bus_misaligned @[el2_dec.scala 478:45] + tlu.io.lsu_pmu_bus_error <= io.lsu_pmu_bus_error @[el2_dec.scala 479:45] + tlu.io.lsu_pmu_bus_busy <= io.lsu_pmu_bus_busy @[el2_dec.scala 480:45] + tlu.io.lsu_pmu_load_external_m <= io.lsu_pmu_load_external_m @[el2_dec.scala 481:45] + tlu.io.lsu_pmu_store_external_m <= io.lsu_pmu_store_external_m @[el2_dec.scala 482:45] + tlu.io.dma_pmu_dccm_read <= io.dma_pmu_dccm_read @[el2_dec.scala 483:45] + tlu.io.dma_pmu_dccm_write <= io.dma_pmu_dccm_write @[el2_dec.scala 484:45] + tlu.io.dma_pmu_any_read <= io.dma_pmu_any_read @[el2_dec.scala 485:45] + tlu.io.dma_pmu_any_write <= io.dma_pmu_any_write @[el2_dec.scala 486:45] + tlu.io.lsu_fir_addr <= io.lsu_fir_addr @[el2_dec.scala 487:45] + tlu.io.lsu_fir_error <= io.lsu_fir_error @[el2_dec.scala 488:45] + tlu.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[el2_dec.scala 489:45] + tlu.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[el2_dec.scala 490:45] + tlu.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[el2_dec.scala 490:45] + tlu.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[el2_dec.scala 490:45] + tlu.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[el2_dec.scala 490:45] + tlu.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[el2_dec.scala 490:45] + tlu.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[el2_dec.scala 490:45] + tlu.io.lsu_single_ecc_error_incr <= io.lsu_single_ecc_error_incr @[el2_dec.scala 491:45] + tlu.io.dec_pause_state <= decode.io.dec_pause_state @[el2_dec.scala 492:45] + tlu.io.lsu_imprecise_error_store_any <= io.lsu_imprecise_error_store_any @[el2_dec.scala 493:45] + tlu.io.lsu_imprecise_error_load_any <= io.lsu_imprecise_error_load_any @[el2_dec.scala 494:45] + tlu.io.lsu_imprecise_error_addr_any <= io.lsu_imprecise_error_addr_any @[el2_dec.scala 495:45] + tlu.io.dec_csr_wen_unq_d <= decode.io.dec_csr_wen_unq_d @[el2_dec.scala 496:45] + tlu.io.dec_csr_any_unq_d <= decode.io.dec_csr_any_unq_d @[el2_dec.scala 497:45] + tlu.io.dec_csr_rdaddr_d <= decode.io.dec_csr_rdaddr_d @[el2_dec.scala 498:45] + tlu.io.dec_csr_wen_r <= decode.io.dec_csr_wen_r @[el2_dec.scala 499:45] + tlu.io.dec_csr_wraddr_r <= decode.io.dec_csr_wraddr_r @[el2_dec.scala 500:45] + tlu.io.dec_csr_wrdata_r <= decode.io.dec_csr_wrdata_r @[el2_dec.scala 501:45] + tlu.io.dec_csr_stall_int_ff <= decode.io.dec_csr_stall_int_ff @[el2_dec.scala 502:45] + tlu.io.dec_tlu_i0_valid_r <= decode.io.dec_tlu_i0_valid_r @[el2_dec.scala 503:45] + tlu.io.exu_npc_r <= io.exu_npc_r @[el2_dec.scala 504:45] + tlu.io.dec_tlu_i0_pc_r <= decode.io.dec_tlu_i0_pc_r @[el2_dec.scala 505:45] + tlu.io.dec_tlu_packet_r.pmu_lsu_misaligned <= decode.io.dec_tlu_packet_r.pmu_lsu_misaligned @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.pmu_divide <= decode.io.dec_tlu_packet_r.pmu_divide @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.pmu_i0_br_unpred <= decode.io.dec_tlu_packet_r.pmu_i0_br_unpred @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.pmu_i0_itype <= decode.io.dec_tlu_packet_r.pmu_i0_itype @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.i0trigger <= decode.io.dec_tlu_packet_r.i0trigger @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.fence_i <= decode.io.dec_tlu_packet_r.fence_i @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.icaf_type <= decode.io.dec_tlu_packet_r.icaf_type @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.icaf_f1 <= decode.io.dec_tlu_packet_r.icaf_f1 @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.icaf <= decode.io.dec_tlu_packet_r.icaf @[el2_dec.scala 506:45] + tlu.io.dec_tlu_packet_r.legal <= decode.io.dec_tlu_packet_r.legal @[el2_dec.scala 506:45] + tlu.io.dec_illegal_inst <= decode.io.dec_illegal_inst @[el2_dec.scala 507:45] + tlu.io.dec_i0_decode_d <= decode.io.dec_i0_decode_d @[el2_dec.scala 508:45] + tlu.io.exu_i0_br_hist_r <= io.exu_i0_br_hist_r @[el2_dec.scala 509:45] + tlu.io.exu_i0_br_error_r <= io.exu_i0_br_error_r @[el2_dec.scala 510:45] + tlu.io.exu_i0_br_start_error_r <= io.exu_i0_br_start_error_r @[el2_dec.scala 511:45] + tlu.io.exu_i0_br_valid_r <= io.exu_i0_br_valid_r @[el2_dec.scala 512:45] + tlu.io.exu_i0_br_mp_r <= io.exu_i0_br_mp_r @[el2_dec.scala 513:45] + tlu.io.exu_i0_br_middle_r <= io.exu_i0_br_middle_r @[el2_dec.scala 514:45] + tlu.io.exu_i0_br_way_r <= io.exu_i0_br_way_r @[el2_dec.scala 515:45] + tlu.io.dbg_halt_req <= io.dbg_halt_req @[el2_dec.scala 516:45] + tlu.io.dbg_resume_req <= io.dbg_resume_req @[el2_dec.scala 517:45] + tlu.io.ifu_miss_state_idle <= io.ifu_miss_state_idle @[el2_dec.scala 518:45] + tlu.io.lsu_idle_any <= io.lsu_idle_any @[el2_dec.scala 519:45] + tlu.io.dec_div_active <= decode.io.dec_div_active @[el2_dec.scala 520:45] + tlu.io.ifu_ic_error_start <= io.ifu_ic_error_start @[el2_dec.scala 521:45] + tlu.io.ifu_iccm_rd_ecc_single_err <= io.ifu_iccm_rd_ecc_single_err @[el2_dec.scala 522:45] + tlu.io.ifu_ic_debug_rd_data <= io.ifu_ic_debug_rd_data @[el2_dec.scala 523:45] + tlu.io.ifu_ic_debug_rd_data_valid <= io.ifu_ic_debug_rd_data_valid @[el2_dec.scala 524:45] + tlu.io.pic_claimid <= io.pic_claimid @[el2_dec.scala 525:45] + tlu.io.pic_pl <= io.pic_pl @[el2_dec.scala 526:45] + tlu.io.mhwakeup <= io.mhwakeup @[el2_dec.scala 527:45] + tlu.io.mexintpend <= io.mexintpend @[el2_dec.scala 528:45] + tlu.io.timer_int <= io.timer_int @[el2_dec.scala 529:45] + tlu.io.soft_int <= io.soft_int @[el2_dec.scala 530:45] + tlu.io.core_id <= io.core_id @[el2_dec.scala 531:45] + tlu.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[el2_dec.scala 532:45] + tlu.io.mpc_debug_run_req <= io.mpc_debug_run_req @[el2_dec.scala 533:45] + tlu.io.mpc_reset_run_req <= io.mpc_reset_run_req @[el2_dec.scala 534:45] + io.dec_dbg_cmd_done <= tlu.io.dec_dbg_cmd_done @[el2_dec.scala 536:28] + io.dec_dbg_cmd_fail <= tlu.io.dec_dbg_cmd_fail @[el2_dec.scala 537:28] + io.dec_tlu_dbg_halted <= tlu.io.dec_tlu_dbg_halted @[el2_dec.scala 538:28] + io.dec_tlu_debug_mode <= tlu.io.dec_tlu_debug_mode @[el2_dec.scala 539:28] + io.dec_tlu_resume_ack <= tlu.io.dec_tlu_resume_ack @[el2_dec.scala 540:28] + io.dec_tlu_flush_noredir_r <= tlu.io.dec_tlu_flush_noredir_r @[el2_dec.scala 541:34] + io.dec_tlu_mpc_halted_only <= tlu.io.dec_tlu_mpc_halted_only @[el2_dec.scala 542:34] + io.dec_tlu_flush_leak_one_r <= tlu.io.dec_tlu_flush_leak_one_r @[el2_dec.scala 543:34] + io.dec_tlu_flush_err_r <= tlu.io.dec_tlu_flush_err_r @[el2_dec.scala 544:34] + io.dec_tlu_meihap <= tlu.io.dec_tlu_meihap @[el2_dec.scala 545:29] + io.trigger_pkt_any[0].tdata2 <= tlu.io.trigger_pkt_any[0].tdata2 @[el2_dec.scala 546:29] + io.trigger_pkt_any[0].m <= tlu.io.trigger_pkt_any[0].m @[el2_dec.scala 546:29] + io.trigger_pkt_any[0].execute <= tlu.io.trigger_pkt_any[0].execute @[el2_dec.scala 546:29] + io.trigger_pkt_any[0].load <= tlu.io.trigger_pkt_any[0].load @[el2_dec.scala 546:29] + io.trigger_pkt_any[0].store <= tlu.io.trigger_pkt_any[0].store @[el2_dec.scala 546:29] + io.trigger_pkt_any[0].match_ <= tlu.io.trigger_pkt_any[0].match_ @[el2_dec.scala 546:29] + io.trigger_pkt_any[0].select <= tlu.io.trigger_pkt_any[0].select @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].tdata2 <= tlu.io.trigger_pkt_any[1].tdata2 @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].m <= tlu.io.trigger_pkt_any[1].m @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].execute <= tlu.io.trigger_pkt_any[1].execute @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].load <= tlu.io.trigger_pkt_any[1].load @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].store <= tlu.io.trigger_pkt_any[1].store @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].match_ <= tlu.io.trigger_pkt_any[1].match_ @[el2_dec.scala 546:29] + io.trigger_pkt_any[1].select <= tlu.io.trigger_pkt_any[1].select @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].tdata2 <= tlu.io.trigger_pkt_any[2].tdata2 @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].m <= tlu.io.trigger_pkt_any[2].m @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].execute <= tlu.io.trigger_pkt_any[2].execute @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].load <= tlu.io.trigger_pkt_any[2].load @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].store <= tlu.io.trigger_pkt_any[2].store @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].match_ <= tlu.io.trigger_pkt_any[2].match_ @[el2_dec.scala 546:29] + io.trigger_pkt_any[2].select <= tlu.io.trigger_pkt_any[2].select @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].tdata2 <= tlu.io.trigger_pkt_any[3].tdata2 @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].m <= tlu.io.trigger_pkt_any[3].m @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].execute <= tlu.io.trigger_pkt_any[3].execute @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].load <= tlu.io.trigger_pkt_any[3].load @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].store <= tlu.io.trigger_pkt_any[3].store @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].match_ <= tlu.io.trigger_pkt_any[3].match_ @[el2_dec.scala 546:29] + io.trigger_pkt_any[3].select <= tlu.io.trigger_pkt_any[3].select @[el2_dec.scala 546:29] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= tlu.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_dec.scala 547:29] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= tlu.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_dec.scala 547:29] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= tlu.io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_dec.scala 547:29] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= tlu.io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_dec.scala 547:29] + io.o_cpu_halt_status <= tlu.io.o_cpu_halt_status @[el2_dec.scala 548:29] + io.o_cpu_halt_ack <= tlu.io.o_cpu_halt_ack @[el2_dec.scala 549:29] + io.o_cpu_run_ack <= tlu.io.o_cpu_run_ack @[el2_dec.scala 550:29] + io.o_debug_mode_status <= tlu.io.o_debug_mode_status @[el2_dec.scala 551:29] + io.mpc_debug_halt_ack <= tlu.io.mpc_debug_halt_ack @[el2_dec.scala 552:29] + io.mpc_debug_run_ack <= tlu.io.mpc_debug_run_ack @[el2_dec.scala 553:29] + io.debug_brkpt_status <= tlu.io.debug_brkpt_status @[el2_dec.scala 554:29] + io.dec_tlu_meicurpl <= tlu.io.dec_tlu_meicurpl @[el2_dec.scala 555:29] + io.dec_tlu_meipt <= tlu.io.dec_tlu_meipt @[el2_dec.scala 556:29] + io.dec_tlu_br0_r_pkt.bits.middle <= tlu.io.dec_tlu_br0_r_pkt.bits.middle @[el2_dec.scala 557:42] + io.dec_tlu_br0_r_pkt.bits.way <= tlu.io.dec_tlu_br0_r_pkt.bits.way @[el2_dec.scala 557:42] + io.dec_tlu_br0_r_pkt.bits.br_start_error <= tlu.io.dec_tlu_br0_r_pkt.bits.br_start_error @[el2_dec.scala 557:42] + io.dec_tlu_br0_r_pkt.bits.br_error <= tlu.io.dec_tlu_br0_r_pkt.bits.br_error @[el2_dec.scala 557:42] + io.dec_tlu_br0_r_pkt.bits.hist <= tlu.io.dec_tlu_br0_r_pkt.bits.hist @[el2_dec.scala 557:42] + io.dec_tlu_br0_r_pkt.valid <= tlu.io.dec_tlu_br0_r_pkt.valid @[el2_dec.scala 557:42] + io.dec_tlu_i0_commit_cmt <= tlu.io.dec_tlu_i0_commit_cmt @[el2_dec.scala 558:34] + io.dec_tlu_i0_kill_writeb_r <= tlu.io.dec_tlu_i0_kill_writeb_r @[el2_dec.scala 559:34] + io.dec_tlu_flush_lower_r <= tlu.io.dec_tlu_flush_lower_r @[el2_dec.scala 560:34] + io.dec_tlu_flush_path_r <= tlu.io.dec_tlu_flush_path_r @[el2_dec.scala 561:34] + io.dec_tlu_fence_i_r <= tlu.io.dec_tlu_fence_i_r @[el2_dec.scala 562:34] + io.dec_tlu_mrac_ff <= tlu.io.dec_tlu_mrac_ff @[el2_dec.scala 563:29] + io.dec_tlu_force_halt <= tlu.io.dec_tlu_force_halt @[el2_dec.scala 564:29] + io.dec_tlu_perfcnt0 <= tlu.io.dec_tlu_perfcnt0 @[el2_dec.scala 565:29] + io.dec_tlu_perfcnt1 <= tlu.io.dec_tlu_perfcnt1 @[el2_dec.scala 566:29] + io.dec_tlu_perfcnt2 <= tlu.io.dec_tlu_perfcnt2 @[el2_dec.scala 567:29] + io.dec_tlu_perfcnt3 <= tlu.io.dec_tlu_perfcnt3 @[el2_dec.scala 568:29] + dec_tlu_i0_exc_valid_wb1 <= tlu.io.dec_tlu_i0_exc_valid_wb1 @[el2_dec.scala 569:32] + dec_tlu_i0_valid_wb1 <= tlu.io.dec_tlu_i0_valid_wb1 @[el2_dec.scala 570:32] + dec_tlu_int_valid_wb1 <= tlu.io.dec_tlu_int_valid_wb1 @[el2_dec.scala 571:32] + dec_tlu_exc_cause_wb1 <= tlu.io.dec_tlu_exc_cause_wb1 @[el2_dec.scala 572:32] + dec_tlu_mtval_wb1 <= tlu.io.dec_tlu_mtval_wb1 @[el2_dec.scala 573:32] + io.dec_tlu_external_ldfwd_disable <= tlu.io.dec_tlu_external_ldfwd_disable @[el2_dec.scala 574:43] + io.dec_tlu_sideeffect_posted_disable <= tlu.io.dec_tlu_sideeffect_posted_disable @[el2_dec.scala 575:43] + io.dec_tlu_core_ecc_disable <= tlu.io.dec_tlu_core_ecc_disable @[el2_dec.scala 576:43] + io.dec_tlu_bpred_disable <= tlu.io.dec_tlu_bpred_disable @[el2_dec.scala 577:43] + io.dec_tlu_wb_coalescing_disable <= tlu.io.dec_tlu_wb_coalescing_disable @[el2_dec.scala 578:43] + io.dec_tlu_dma_qos_prty <= tlu.io.dec_tlu_dma_qos_prty @[el2_dec.scala 579:35] + io.dec_tlu_misc_clk_override <= tlu.io.dec_tlu_misc_clk_override @[el2_dec.scala 580:35] + io.dec_tlu_ifu_clk_override <= tlu.io.dec_tlu_ifu_clk_override @[el2_dec.scala 581:36] + io.dec_tlu_lsu_clk_override <= tlu.io.dec_tlu_lsu_clk_override @[el2_dec.scala 582:36] + io.dec_tlu_bus_clk_override <= tlu.io.dec_tlu_bus_clk_override @[el2_dec.scala 583:36] + io.dec_tlu_pic_clk_override <= tlu.io.dec_tlu_pic_clk_override @[el2_dec.scala 584:36] + io.dec_tlu_dccm_clk_override <= tlu.io.dec_tlu_dccm_clk_override @[el2_dec.scala 585:36] + io.dec_tlu_icm_clk_override <= tlu.io.dec_tlu_icm_clk_override @[el2_dec.scala 586:36] + io.rv_trace_pkt.rv_i_insn_ip <= decode.io.dec_i0_inst_wb1 @[el2_dec.scala 590:32] node _T = cat(decode.io.dec_i0_pc_wb1, UInt<1>("h00")) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_address_ip <= _T @[el2_dec.scala 708:35] - node _T_1 = or(tlu.io.dec_tlu_i0_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[el2_dec.scala 709:98] + io.rv_trace_pkt.rv_i_address_ip <= _T @[el2_dec.scala 591:35] + node _T_1 = or(tlu.io.dec_tlu_i0_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[el2_dec.scala 592:98] node _T_2 = cat(tlu.io.dec_tlu_int_valid_wb1, _T_1) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_valid_ip <= _T_2 @[el2_dec.scala 709:33] + io.rv_trace_pkt.rv_i_valid_ip <= _T_2 @[el2_dec.scala 592:33] node _T_3 = cat(tlu.io.dec_tlu_int_valid_wb1, tlu.io.dec_tlu_i0_exc_valid_wb1) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_exception_ip <= _T_3 @[el2_dec.scala 710:37] - node _T_4 = bits(tlu.io.dec_tlu_exc_cause_wb1, 4, 0) @[el2_dec.scala 711:65] - io.rv_trace_pkt.rv_i_ecause_ip <= _T_4 @[el2_dec.scala 711:34] + io.rv_trace_pkt.rv_i_exception_ip <= _T_3 @[el2_dec.scala 593:37] + node _T_4 = bits(tlu.io.dec_tlu_exc_cause_wb1, 4, 0) @[el2_dec.scala 594:65] + io.rv_trace_pkt.rv_i_ecause_ip <= _T_4 @[el2_dec.scala 594:34] node _T_5 = cat(tlu.io.dec_tlu_int_valid_wb1, UInt<1>("h00")) @[Cat.scala 29:58] - io.rv_trace_pkt.rv_i_interrupt_ip <= _T_5 @[el2_dec.scala 712:37] - io.rv_trace_pkt.rv_i_tval_ip <= tlu.io.dec_tlu_mtval_wb1 @[el2_dec.scala 713:32] - io.dec_dbg_rddata <= decode.io.dec_i0_wdata_r @[el2_dec.scala 717:21] + io.rv_trace_pkt.rv_i_interrupt_ip <= _T_5 @[el2_dec.scala 595:37] + io.rv_trace_pkt.rv_i_tval_ip <= tlu.io.dec_tlu_mtval_wb1 @[el2_dec.scala 596:32] + io.dec_dbg_rddata <= decode.io.dec_i0_wdata_r @[el2_dec.scala 600:21] diff --git a/el2_dec.v b/el2_dec.v index 54e2f22e..5f66682d 100644 --- a/el2_dec.v +++ b/el2_dec.v @@ -14,6 +14,7 @@ module el2_dec_ib_ctl( input [7:0] io_ifu_i0_bp_index, input [7:0] io_ifu_i0_bp_fghr, input [4:0] io_ifu_i0_bp_btag, + input io_ifu_i0_pc4, input io_ifu_i0_valid, input io_ifu_i0_icaf, input [1:0] io_ifu_i0_icaf_type, @@ -25,6 +26,7 @@ module el2_dec_ib_ctl( output [1:0] io_dec_i0_icaf_type_d, output [31:0] io_dec_i0_instr_d, output [30:0] io_dec_i0_pc_d, + output io_dec_i0_pc4_d, output io_dec_i0_brp_valid, output [11:0] io_dec_i0_brp_bits_toffset, output [1:0] io_dec_i0_brp_bits_hist, @@ -71,6 +73,7 @@ module el2_dec_ib_ctl( assign io_dec_i0_icaf_type_d = io_ifu_i0_icaf_type; // @[el2_dec_ib_ctl.scala 48:31] assign io_dec_i0_instr_d = debug_valid ? ib0_debug_in : io_ifu_i0_instr; // @[el2_dec_ib_ctl.scala 92:22] assign io_dec_i0_pc_d = io_ifu_i0_pc; // @[el2_dec_ib_ctl.scala 46:31] + assign io_dec_i0_pc4_d = io_ifu_i0_pc4; // @[el2_dec_ib_ctl.scala 47:31] assign io_dec_i0_brp_valid = io_i0_brp_valid; // @[el2_dec_ib_ctl.scala 49:31] assign io_dec_i0_brp_bits_toffset = io_i0_brp_bits_toffset; // @[el2_dec_ib_ctl.scala 49:31] assign io_dec_i0_brp_bits_hist = io_i0_brp_bits_hist; // @[el2_dec_ib_ctl.scala 49:31] @@ -1076,65 +1079,61 @@ module el2_dec_decode_ctl( reg [31:0] _RAND_89; reg [31:0] _RAND_90; `endif // RANDOMIZE_REG_INIT - wire data_gated_cgc_io_l1clk; // @[el2_dec_decode_ctl.scala 222:29] - wire data_gated_cgc_io_clk; // @[el2_dec_decode_ctl.scala 222:29] - wire data_gated_cgc_io_en; // @[el2_dec_decode_ctl.scala 222:29] - wire data_gated_cgc_io_scan_mode; // @[el2_dec_decode_ctl.scala 222:29] - wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 396:22] - wire rvclkhdr_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 508:23] + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 483:22] + wire rvclkhdr_io_clk; // @[el2_lib.scala 483:22] + wire rvclkhdr_io_en; // @[el2_lib.scala 483:22] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 483:22] + wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 392:22] wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 508:23] wire rvclkhdr_1_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_1_io_en; // @[el2_lib.scala 508:23] @@ -1147,10 +1146,10 @@ module el2_dec_decode_ctl( wire rvclkhdr_3_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_3_io_en; // @[el2_lib.scala 508:23] wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 508:23] - wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 518:23] - wire rvclkhdr_4_io_clk; // @[el2_lib.scala 518:23] - wire rvclkhdr_4_io_en; // @[el2_lib.scala 518:23] - wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 518:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 508:23] wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 518:23] wire rvclkhdr_5_io_clk; // @[el2_lib.scala 518:23] wire rvclkhdr_5_io_en; // @[el2_lib.scala 518:23] @@ -1167,10 +1166,10 @@ module el2_dec_decode_ctl( wire rvclkhdr_8_io_clk; // @[el2_lib.scala 518:23] wire rvclkhdr_8_io_en; // @[el2_lib.scala 518:23] wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 518:23] - wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_9_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_9_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 508:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 518:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 518:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 518:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 518:23] wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 508:23] wire rvclkhdr_10_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_10_io_en; // @[el2_lib.scala 508:23] @@ -1207,555 +1206,559 @@ module el2_dec_decode_ctl( wire rvclkhdr_18_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_18_io_en; // @[el2_lib.scala 508:23] wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 508:23] - reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 503:29] + wire rvclkhdr_19_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_19_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_19_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_19_io_scan_mode; // @[el2_lib.scala 508:23] + reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 499:55] wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 211:51] - reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 504:29] + reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 500:55] wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 212:32] wire _T_3 = _T_1 | _T_2; // @[el2_dec_decode_ctl.scala 211:73] wire _T_4 = io_dec_tlu_flush_extint ^ io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 213:32] wire _T_5 = _T_3 | _T_4; // @[el2_dec_decode_ctl.scala 212:56] - reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 404:56] - wire _T_279 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 403:73] - wire _T_280 = leak1_i1_stall & _T_279; // @[el2_dec_decode_ctl.scala 403:71] - wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_280; // @[el2_dec_decode_ctl.scala 403:53] + reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 400:56] + wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 399:73] + wire _T_281 = leak1_i1_stall & _T_280; // @[el2_dec_decode_ctl.scala 399:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[el2_dec_decode_ctl.scala 399:53] wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[el2_dec_decode_ctl.scala 214:32] wire _T_7 = _T_5 | _T_6; // @[el2_dec_decode_ctl.scala 213:56] - wire _T_283 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 406:45] - reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 407:56] - wire _T_285 = leak1_i0_stall & _T_279; // @[el2_dec_decode_ctl.scala 406:81] - wire leak1_i0_stall_in = _T_283 | _T_285; // @[el2_dec_decode_ctl.scala 406:63] + wire _T_284 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 402:45] + reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 403:56] + wire _T_286 = leak1_i0_stall & _T_280; // @[el2_dec_decode_ctl.scala 402:81] + wire leak1_i0_stall_in = _T_284 | _T_286; // @[el2_dec_decode_ctl.scala 402:63] wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[el2_dec_decode_ctl.scala 215:32] wire _T_9 = _T_7 | _T_8; // @[el2_dec_decode_ctl.scala 214:56] - reg pause_stall; // @[el2_dec_decode_ctl.scala 501:50] - wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 500:44] - wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 499:49] - wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 499:47] + reg pause_stall; // @[el2_dec_decode_ctl.scala 497:50] + wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 496:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 495:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 495:47] reg [31:0] write_csr_data; // @[el2_lib.scala 514:16] - wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 499:109] - wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 499:91] - wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 499:76] - wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 500:61] - wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 500:59] + wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 495:109] + wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 495:91] + wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 495:76] + wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 496:61] + wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 496:59] wire _T_10 = pause_state_in ^ pause_stall; // @[el2_dec_decode_ctl.scala 216:32] wire _T_11 = _T_9 | _T_10; // @[el2_dec_decode_ctl.scala 215:56] - wire _T_17 = ~leak1_i1_stall; // @[el2_dec_decode_ctl.scala 230:62] - wire i0_brp_valid = io_dec_i0_brp_valid & _T_17; // @[el2_dec_decode_ctl.scala 230:60] - wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire [20:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21],1'h0}; // @[Cat.scala 29:58] - wire _T_298 = i0_pcall_imm[20:13] == 8'hff; // @[el2_dec_decode_ctl.scala 412:79] - wire _T_300 = i0_pcall_imm[20:13] == 8'h0; // @[el2_dec_decode_ctl.scala 412:112] - wire i0_pcall_12b_offset = i0_pcall_imm[12] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 412:33] - wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 413:47] - wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 626:16] - wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 413:76] - wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 413:98] - wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 413:89] - wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 413:65] - wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 415:38] - wire _T_19 = i0_dp_raw_condbr | i0_pcall_raw; // @[el2_dec_decode_ctl.scala 241:75] - wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 414:67] - wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 414:65] - wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 417:38] - wire _T_20 = _T_19 | i0_pja_raw; // @[el2_dec_decode_ctl.scala 241:90] - wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 421:37] - wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 421:65] - wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 421:55] - wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 624:16] - wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 421:89] - wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 421:111] - wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 421:101] - wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 421:79] - wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 422:32] - wire _T_21 = _T_20 | i0_pret_raw; // @[el2_dec_decode_ctl.scala 241:103] - wire _T_22 = ~_T_21; // @[el2_dec_decode_ctl.scala 241:56] - wire i0_notbr_error = i0_brp_valid & _T_22; // @[el2_dec_decode_ctl.scala 241:54] - wire _T_30 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[el2_dec_decode_ctl.scala 246:62] - wire _T_24 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[el2_dec_decode_ctl.scala 244:47] - wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 419:41] + wire _T_18 = ~leak1_i1_stall; // @[el2_dec_decode_ctl.scala 226:62] + wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[el2_dec_decode_ctl.scala 226:60] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire [19:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21]}; // @[Cat.scala 29:58] + wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[el2_dec_decode_ctl.scala 408:79] + wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[el2_dec_decode_ctl.scala 408:112] + wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 408:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 409:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 622:16] + wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 409:76] + wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 409:98] + wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 409:89] + wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 409:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 411:38] + wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[el2_dec_decode_ctl.scala 237:75] + wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 410:67] + wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 410:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 413:38] + wire _T_21 = _T_20 | i0_pja_raw; // @[el2_dec_decode_ctl.scala 237:90] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 417:37] + wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 417:65] + wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 417:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 620:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 417:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 417:111] + wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 417:101] + wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 417:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 418:32] + wire _T_22 = _T_21 | i0_pret_raw; // @[el2_dec_decode_ctl.scala 237:103] + wire _T_23 = ~_T_22; // @[el2_dec_decode_ctl.scala 237:56] + wire i0_notbr_error = i0_brp_valid & _T_23; // @[el2_dec_decode_ctl.scala 237:54] + wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[el2_dec_decode_ctl.scala 242:62] + wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[el2_dec_decode_ctl.scala 240:47] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 415:41] wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] - wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 419:26] - wire _T_25 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[el2_dec_decode_ctl.scala 244:106] - wire _T_26 = _T_24 & _T_25; // @[el2_dec_decode_ctl.scala 244:76] - wire _T_27 = ~i0_pret_raw; // @[el2_dec_decode_ctl.scala 244:126] - wire i0_br_toffset_error = _T_26 & _T_27; // @[el2_dec_decode_ctl.scala 244:124] - wire _T_31 = _T_30 | i0_br_toffset_error; // @[el2_dec_decode_ctl.scala 246:79] - wire _T_28 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[el2_dec_decode_ctl.scala 245:47] - wire i0_ret_error = _T_28 & _T_27; // @[el2_dec_decode_ctl.scala 245:72] - wire i0_br_error = _T_31 | i0_ret_error; // @[el2_dec_decode_ctl.scala 246:101] - wire _T_38 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[el2_dec_decode_ctl.scala 251:47] - wire i0_br_error_all = _T_38 & _T_17; // @[el2_dec_decode_ctl.scala 251:84] - wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[el2_dec_decode_ctl.scala 260:36] - wire _T_40 = i0_br_error_all | i0_icaf_d; // @[el2_dec_decode_ctl.scala 264:25] - wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_postsync = _T_40 | i0_dp_raw_postsync; // @[el2_dec_decode_ctl.scala 264:50] - wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 530:36] - wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 522:48] - wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 530:60] - wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_write = _T_40 ? 1'h0 : i0_dp_raw_csr_write; // @[el2_dec_decode_ctl.scala 264:50] - wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 461:42] - wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 461:40] - wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_read = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 264:50] - wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 466:41] - wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 466:39] - wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 530:112] - wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 530:99] - wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 530:76] - wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_legal = _T_40 | i0_dp_raw_legal; // @[el2_dec_decode_ctl.scala 264:50] - wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 532:34] - wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 534:40] - wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 534:51] - wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 534:37] - wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 574:56] - wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 574:54] - wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 574:39] - reg postsync_stall; // @[el2_dec_decode_ctl.scala 572:53] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[el2_dec_decode_ctl.scala 415:26] + wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[el2_dec_decode_ctl.scala 240:106] + wire _T_27 = _T_25 & _T_26; // @[el2_dec_decode_ctl.scala 240:76] + wire _T_28 = ~i0_pret_raw; // @[el2_dec_decode_ctl.scala 240:126] + wire i0_br_toffset_error = _T_27 & _T_28; // @[el2_dec_decode_ctl.scala 240:124] + wire _T_32 = _T_31 | i0_br_toffset_error; // @[el2_dec_decode_ctl.scala 242:79] + wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[el2_dec_decode_ctl.scala 241:47] + wire i0_ret_error = _T_29 & _T_28; // @[el2_dec_decode_ctl.scala 241:72] + wire i0_br_error = _T_32 | i0_ret_error; // @[el2_dec_decode_ctl.scala 242:101] + wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[el2_dec_decode_ctl.scala 247:47] + wire i0_br_error_all = _T_39 & _T_18; // @[el2_dec_decode_ctl.scala 247:84] + wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[el2_dec_decode_ctl.scala 256:36] + wire _T_41 = i0_br_error_all | i0_icaf_d; // @[el2_dec_decode_ctl.scala 260:25] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[el2_dec_decode_ctl.scala 260:50] + wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 526:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 518:48] + wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 526:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[el2_dec_decode_ctl.scala 260:50] + wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 457:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 457:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 260:50] + wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 462:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 462:39] + wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 526:112] + wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 526:99] + wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 526:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[el2_dec_decode_ctl.scala 260:50] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 528:34] + wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 530:40] + wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 530:51] + wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 530:37] + wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 570:56] + wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 570:54] + wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 570:39] + reg postsync_stall; // @[el2_dec_decode_ctl.scala 568:53] reg x_d_valid; // @[el2_lib.scala 524:16] - wire _T_507 = postsync_stall & x_d_valid; // @[el2_dec_decode_ctl.scala 574:88] - wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 574:69] + wire _T_507 = postsync_stall & x_d_valid; // @[el2_dec_decode_ctl.scala 570:88] + wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 570:69] wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:32] wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:56] - reg flush_final_r; // @[el2_dec_decode_ctl.scala 620:52] + reg flush_final_r; // @[el2_dec_decode_ctl.scala 616:52] wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[el2_dec_decode_ctl.scala 218:32] wire _T_15 = _T_13 | _T_14; // @[el2_dec_decode_ctl.scala 217:56] - wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 538:47] - reg illegal_lockout; // @[el2_dec_decode_ctl.scala 542:54] - wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 541:40] - wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 541:61] - wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 541:59] + wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 534:47] + reg illegal_lockout; // @[el2_dec_decode_ctl.scala 538:54] + wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 537:40] + wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 537:61] + wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 537:59] wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[el2_dec_decode_ctl.scala 219:32] - wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 648:46] - wire _T_32 = i0_br_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 247:72] - wire _T_35 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 248:94] - wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_pm_alu = _T_40 ? 1'h0 : i0_dp_raw_pm_alu; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_fence_i = _T_40 ? 1'h0 : i0_dp_raw_fence_i; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_fence = _T_40 ? 1'h0 : i0_dp_raw_fence; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_div = _T_40 ? 1'h0 : i0_dp_raw_div; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_mul = _T_40 ? 1'h0 : i0_dp_raw_mul; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_mret = _T_40 ? 1'h0 : i0_dp_raw_mret; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_ecall = _T_40 ? 1'h0 : i0_dp_raw_ecall; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_ebreak = _T_40 ? 1'h0 : i0_dp_raw_ebreak; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_presync = _T_40 ? 1'h0 : i0_dp_raw_presync; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_set = _T_40 ? 1'h0 : i0_dp_raw_csr_set; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_clr = _T_40 ? 1'h0 : i0_dp_raw_csr_clr; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_word = _T_40 ? 1'h0 : i0_dp_raw_word; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_half = _T_40 ? 1'h0 : i0_dp_raw_half; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_by = _T_40 ? 1'h0 : i0_dp_raw_by; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_jal = _T_40 ? 1'h0 : i0_dp_raw_jal; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_condbr = _T_40 ? 1'h0 : i0_dp_raw_condbr; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_lsu = _T_40 ? 1'h0 : i0_dp_raw_lsu; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_store = _T_40 ? 1'h0 : i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_load = _T_40 ? 1'h0 : i0_dp_raw_load; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_imm20 = _T_40 ? 1'h0 : i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_shimm5 = _T_40 ? 1'h0 : i0_dp_raw_shimm5; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_rd = _T_40 ? 1'h0 : i0_dp_raw_rd; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_imm12 = _T_40 ? 1'h0 : i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_rs2 = _T_40 | i0_dp_raw_rs2; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_rs1 = _T_40 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_alu = _T_40 | i0_dp_raw_alu; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 416:38] - wire _T_43 = i0_dp_condbr | i0_pcall; // @[el2_dec_decode_ctl.scala 278:38] - wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 418:38] - wire _T_44 = _T_43 | i0_pja; // @[el2_dec_decode_ctl.scala 278:49] - wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 423:32] - wire i0_predict_br = _T_44 | i0_pret; // @[el2_dec_decode_ctl.scala 278:58] - wire _T_46 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[el2_dec_decode_ctl.scala 280:55] - wire _T_47 = ~_T_46; // @[el2_dec_decode_ctl.scala 280:26] - wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 282:20] - wire cam_data_reset = io_lsu_nonblock_load_data_valid | io_lsu_nonblock_load_data_error; // @[el2_dec_decode_ctl.scala 315:63] - reg [2:0] cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire [2:0] _GEN_123 = {{1'd0}, io_lsu_nonblock_load_data_tag}; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_93 = _GEN_123 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_94 = cam_data_reset & _T_93; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_0 = _T_94 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_50 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 307:78] - reg [2:0] cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_119 = _GEN_123 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_120 = cam_data_reset & _T_119; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_1 = _T_120 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_53 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 307:78] - wire _T_56 = cam_0_valid & _T_53; // @[el2_dec_decode_ctl.scala 307:126] - wire [1:0] _T_58 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 307:158] - reg [2:0] cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_145 = _GEN_123 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_146 = cam_data_reset & _T_145; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_2 = _T_146 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_59 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 307:78] - wire _T_62 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 307:126] - wire _T_65 = _T_62 & _T_59; // @[el2_dec_decode_ctl.scala 307:126] - wire [2:0] _T_67 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 307:158] - reg [2:0] cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_171 = _GEN_123 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_172 = cam_data_reset & _T_171; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_68 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 307:78] - wire _T_74 = _T_62 & cam_2_valid; // @[el2_dec_decode_ctl.scala 307:126] - wire _T_77 = _T_74 & _T_68; // @[el2_dec_decode_ctl.scala 307:126] - wire [3:0] _T_79 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 307:158] - wire _T_80 = _T_50 & io_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] - wire [1:0] _T_81 = _T_56 ? _T_58 : 2'h0; // @[Mux.scala 27:72] - wire [2:0] _T_82 = _T_65 ? _T_67 : 3'h0; // @[Mux.scala 27:72] - wire [3:0] _T_83 = _T_77 ? _T_79 : 4'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_127 = {{1'd0}, _T_80}; // @[Mux.scala 27:72] - wire [1:0] _T_84 = _GEN_127 | _T_81; // @[Mux.scala 27:72] - wire [2:0] _GEN_128 = {{1'd0}, _T_84}; // @[Mux.scala 27:72] - wire [2:0] _T_85 = _GEN_128 | _T_82; // @[Mux.scala 27:72] - wire [3:0] _GEN_129 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] - wire [3:0] cam_wen = _GEN_129 | _T_83; // @[Mux.scala 27:72] + wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 644:46] + wire _T_33 = i0_br_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 243:72] + wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 244:94] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 412:38] + wire _T_44 = i0_dp_condbr | i0_pcall; // @[el2_dec_decode_ctl.scala 274:38] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 414:38] + wire _T_45 = _T_44 | i0_pja; // @[el2_dec_decode_ctl.scala 274:49] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 419:32] + wire i0_predict_br = _T_45 | i0_pret; // @[el2_dec_decode_ctl.scala 274:58] + wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[el2_dec_decode_ctl.scala 276:55] + wire _T_48 = ~_T_47; // @[el2_dec_decode_ctl.scala 276:26] + wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 278:20] + wire cam_data_reset = io_lsu_nonblock_load_data_valid | io_lsu_nonblock_load_data_error; // @[el2_dec_decode_ctl.scala 311:63] + reg [2:0] cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire [2:0] _GEN_123 = {{1'd0}, io_lsu_nonblock_load_data_tag}; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_95 = cam_data_reset & _T_94; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_51 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 303:78] + reg [2:0] cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_121 = cam_data_reset & _T_120; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_54 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 303:78] + wire _T_57 = cam_0_valid & _T_54; // @[el2_dec_decode_ctl.scala 303:126] + wire [1:0] _T_59 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 303:158] + reg [2:0] cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_147 = cam_data_reset & _T_146; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_60 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 303:78] + wire _T_63 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 303:126] + wire _T_66 = _T_63 & _T_60; // @[el2_dec_decode_ctl.scala 303:126] + wire [2:0] _T_68 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 303:158] + reg [2:0] cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_173 = cam_data_reset & _T_172; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_69 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 303:78] + wire _T_75 = _T_63 & cam_2_valid; // @[el2_dec_decode_ctl.scala 303:126] + wire _T_78 = _T_75 & _T_69; // @[el2_dec_decode_ctl.scala 303:126] + wire [3:0] _T_80 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 303:158] + wire _T_81 = _T_51 & io_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] + wire [1:0] _T_82 = _T_57 ? _T_59 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_83 = _T_66 ? _T_68 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_84 = _T_78 ? _T_80 : 4'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_127 = {{1'd0}, _T_81}; // @[Mux.scala 27:72] + wire [1:0] _T_85 = _GEN_127 | _T_82; // @[Mux.scala 27:72] + wire [2:0] _GEN_128 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] + wire [2:0] _T_86 = _GEN_128 | _T_83; // @[Mux.scala 27:72] + wire [3:0] _GEN_129 = {{1'd0}, _T_86}; // @[Mux.scala 27:72] + wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] reg x_d_bits_i0load; // @[el2_lib.scala 524:16] reg [4:0] x_d_bits_i0rd; // @[el2_lib.scala 524:16] - wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 318:31] - reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 656:72] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 314:31] + reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 652:72] wire [3:0] i0_pipe_en = {io_dec_i0_decode_d,_T_701}; // @[Cat.scala 29:58] - wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 659:49] - wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 659:53] + wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 655:49] + wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 655:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] reg r_d_bits_i0load; // @[el2_lib.scala 524:16] - wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 323:56] - wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_90 = _GEN_130 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_91 = io_lsu_nonblock_load_inv_r & _T_90; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_0 = _T_91 & cam_0_valid; // @[el2_dec_decode_ctl.scala 325:87] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 319:56] + wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_92 = io_lsu_nonblock_load_inv_r & _T_91; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[el2_dec_decode_ctl.scala 321:87] reg r_d_bits_i0v; // @[el2_lib.scala 524:16] - wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 691:51] - wire r_d_in_bits_i0v = r_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 691:49] - wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 699:47] - wire i0_wen_r = r_d_in_bits_i0v & _T_754; // @[el2_dec_decode_ctl.scala 699:45] + wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 687:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 687:49] + wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 695:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_754; // @[el2_dec_decode_ctl.scala 695:45] reg [4:0] r_d_bits_i0rd; // @[el2_lib.scala 524:16] - reg [4:0] cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_102 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_103 = i0_wen_r & _T_102; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_105 = _T_103 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_106 = cam_inv_reset_val_0 | _T_105; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_52 = _T_106 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_55 = _T_106 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_109 = nonblock_load_valid_m_delay & _T_90; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_111 = _T_109 & cam_0_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_0 = _T_93 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_116 = _GEN_130 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_117 = io_lsu_nonblock_load_inv_r & _T_116; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_1 = _T_117 & cam_1_valid; // @[el2_dec_decode_ctl.scala 325:87] - reg [4:0] cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_128 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_129 = i0_wen_r & _T_128; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_131 = _T_129 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_132 = cam_inv_reset_val_1 | _T_131; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_63 = _T_132 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_66 = _T_132 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_135 = nonblock_load_valid_m_delay & _T_116; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_137 = _T_135 & cam_1_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_1 = _T_119 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_142 = _GEN_130 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_143 = io_lsu_nonblock_load_inv_r & _T_142; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_2 = _T_143 & cam_2_valid; // @[el2_dec_decode_ctl.scala 325:87] - reg [4:0] cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_154 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_155 = i0_wen_r & _T_154; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_157 = _T_155 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_158 = cam_inv_reset_val_2 | _T_157; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_74 = _T_158 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_77 = _T_158 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_161 = nonblock_load_valid_m_delay & _T_142; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_163 = _T_161 & cam_2_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_2 = _T_145 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_168 = _GEN_130 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_169 = io_lsu_nonblock_load_inv_r & _T_168; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_3 = _T_169 & cam_3_valid; // @[el2_dec_decode_ctl.scala 325:87] - reg [4:0] cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_180 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_181 = i0_wen_r & _T_180; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_183 = _T_181 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_184 = cam_inv_reset_val_3 | _T_183; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_85 = _T_184 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_88 = _T_184 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_187 = nonblock_load_valid_m_delay & _T_168; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_189 = _T_187 & cam_3_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_3 = _T_171 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_194 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 357:49] - wire nonblock_load_cancel = _T_194 & i0_wen_r; // @[el2_dec_decode_ctl.scala 357:81] - wire _T_195 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 358:95] - wire _T_196 = _T_195 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 358:95] - wire _T_197 = _T_196 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 358:95] - wire _T_199 = io_lsu_nonblock_load_data_valid & _T_197; // @[el2_dec_decode_ctl.scala 358:64] - wire _T_200 = ~nonblock_load_cancel; // @[el2_dec_decode_ctl.scala 358:109] - wire _T_202 = nonblock_load_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:54] - wire _T_203 = _T_202 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 359:66] - wire _T_204 = _T_203 & io_dec_i0_rs1_en_d; // @[el2_dec_decode_ctl.scala 359:97] - wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 625:16] - wire _T_205 = nonblock_load_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:137] - wire _T_206 = _T_205 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 359:149] - wire _T_207 = _T_206 & io_dec_i0_rs2_en_d; // @[el2_dec_decode_ctl.scala 359:180] - wire i0_nonblock_boundary_stall = _T_204 | _T_207; // @[el2_dec_decode_ctl.scala 359:118] - wire [4:0] _T_209 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_210 = _T_209 & cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_211 = io_dec_i0_rs1_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_212 = cam_raw_0_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_213 = _T_211 & _T_212; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_214 = io_dec_i0_rs2_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_215 = cam_raw_0_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_216 = _T_214 & _T_215; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_218 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_219 = _T_218 & cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_220 = io_dec_i0_rs1_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_221 = cam_raw_1_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_222 = _T_220 & _T_221; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_223 = io_dec_i0_rs2_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_224 = cam_raw_1_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_225 = _T_223 & _T_224; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_227 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_228 = _T_227 & cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_229 = io_dec_i0_rs1_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_230 = cam_raw_2_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_231 = _T_229 & _T_230; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_232 = io_dec_i0_rs2_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_233 = cam_raw_2_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_234 = _T_232 & _T_233; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_236 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_237 = _T_236 & cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_238 = io_dec_i0_rs1_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_239 = cam_raw_3_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_240 = _T_238 & _T_239; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_241 = io_dec_i0_rs2_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_242 = cam_raw_3_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_243 = _T_241 & _T_242; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_244 = _T_210 | _T_219; // @[el2_dec_decode_ctl.scala 364:69] - wire [4:0] _T_245 = _T_244 | _T_228; // @[el2_dec_decode_ctl.scala 364:69] - wire _T_246 = _T_213 | _T_222; // @[el2_dec_decode_ctl.scala 364:102] - wire _T_247 = _T_246 | _T_231; // @[el2_dec_decode_ctl.scala 364:102] - wire ld_stall_1 = _T_247 | _T_240; // @[el2_dec_decode_ctl.scala 364:102] - wire _T_248 = _T_216 | _T_225; // @[el2_dec_decode_ctl.scala 364:134] - wire _T_249 = _T_248 | _T_234; // @[el2_dec_decode_ctl.scala 364:134] - wire ld_stall_2 = _T_249 | _T_243; // @[el2_dec_decode_ctl.scala 364:134] - wire _T_250 = ld_stall_1 | ld_stall_2; // @[el2_dec_decode_ctl.scala 366:38] - wire i0_nonblock_load_stall = _T_250 | i0_nonblock_boundary_stall; // @[el2_dec_decode_ctl.scala 366:51] - wire _T_252 = ~i0_predict_br; // @[el2_dec_decode_ctl.scala 375:34] - wire [3:0] _T_254 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 459:36] - wire _T_255 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 387:16] - wire _T_257 = ~csr_read; // @[el2_dec_decode_ctl.scala 388:6] - wire _T_258 = _T_257 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 388:16] - wire _T_260 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 389:18] - wire _T_261 = csr_read & _T_260; // @[el2_dec_decode_ctl.scala 389:16] - wire [3:0] _T_263 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] - wire [3:0] _T_264 = i0_dp_load ? 4'h2 : _T_263; // @[Mux.scala 98:16] - wire [3:0] _T_265 = i0_dp_store ? 4'h3 : _T_264; // @[Mux.scala 98:16] - wire [3:0] _T_266 = i0_dp_pm_alu ? 4'h4 : _T_265; // @[Mux.scala 98:16] - wire [3:0] _T_267 = _T_261 ? 4'h5 : _T_266; // @[Mux.scala 98:16] - wire [3:0] _T_268 = _T_258 ? 4'h6 : _T_267; // @[Mux.scala 98:16] - wire [3:0] _T_269 = _T_255 ? 4'h7 : _T_268; // @[Mux.scala 98:16] - wire [3:0] _T_270 = i0_dp_ebreak ? 4'h8 : _T_269; // @[Mux.scala 98:16] - wire [3:0] _T_271 = i0_dp_ecall ? 4'h9 : _T_270; // @[Mux.scala 98:16] - wire [3:0] _T_272 = i0_dp_fence ? 4'ha : _T_271; // @[Mux.scala 98:16] - wire [3:0] _T_273 = i0_dp_fence_i ? 4'hb : _T_272; // @[Mux.scala 98:16] - wire [3:0] _T_274 = i0_dp_mret ? 4'hc : _T_273; // @[Mux.scala 98:16] - wire [3:0] _T_275 = i0_dp_condbr ? 4'hd : _T_274; // @[Mux.scala 98:16] - wire [3:0] _T_276 = i0_dp_jal ? 4'he : _T_275; // @[Mux.scala 98:16] - reg lsu_idle; // @[el2_dec_decode_ctl.scala 400:45] - wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 424:35] - wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 424:32] - wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 424:52] - wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 424:50] - wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 424:67] - reg _T_339; // @[el2_dec_decode_ctl.scala 436:58] - wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 578:40] - wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 792:43] + reg [4:0] cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_104 = i0_wen_r & _T_103; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_112 = _T_110 & cam_0_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_118 = io_lsu_nonblock_load_inv_r & _T_117; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[el2_dec_decode_ctl.scala 321:87] + reg [4:0] cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_130 = i0_wen_r & _T_129; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_138 = _T_136 & cam_1_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_144 = io_lsu_nonblock_load_inv_r & _T_143; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[el2_dec_decode_ctl.scala 321:87] + reg [4:0] cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_156 = i0_wen_r & _T_155; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_164 = _T_162 & cam_2_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_170 = io_lsu_nonblock_load_inv_r & _T_169; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[el2_dec_decode_ctl.scala 321:87] + reg [4:0] cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_182 = i0_wen_r & _T_181; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_190 = _T_188 & cam_3_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 353:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[el2_dec_decode_ctl.scala 353:81] + wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 354:95] + wire _T_197 = _T_196 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 354:95] + wire _T_198 = _T_197 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 354:95] + wire _T_200 = io_lsu_nonblock_load_data_valid & _T_198; // @[el2_dec_decode_ctl.scala 354:64] + wire _T_201 = ~nonblock_load_cancel; // @[el2_dec_decode_ctl.scala 354:109] + wire _T_203 = nonblock_load_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 355:54] + wire _T_204 = _T_203 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 355:66] + wire _T_205 = _T_204 & io_dec_i0_rs1_en_d; // @[el2_dec_decode_ctl.scala 355:97] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 621:16] + wire _T_206 = nonblock_load_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 355:137] + wire _T_207 = _T_206 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 355:149] + wire _T_208 = _T_207 & io_dec_i0_rs2_en_d; // @[el2_dec_decode_ctl.scala 355:180] + wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[el2_dec_decode_ctl.scala 355:118] + wire [4:0] _T_210 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_212 = io_dec_i0_rs1_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_214 = _T_212 & _T_213; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_215 = io_dec_i0_rs2_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_217 = _T_215 & _T_216; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_219 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_221 = io_dec_i0_rs1_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_223 = _T_221 & _T_222; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_224 = io_dec_i0_rs2_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_226 = _T_224 & _T_225; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_228 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_230 = io_dec_i0_rs1_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_232 = _T_230 & _T_231; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_233 = io_dec_i0_rs2_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_235 = _T_233 & _T_234; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_237 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_239 = io_dec_i0_rs1_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_241 = _T_239 & _T_240; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_242 = io_dec_i0_rs2_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_244 = _T_242 & _T_243; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_245 = _T_211 | _T_220; // @[el2_dec_decode_ctl.scala 360:69] + wire [4:0] _T_246 = _T_245 | _T_229; // @[el2_dec_decode_ctl.scala 360:69] + wire _T_247 = _T_214 | _T_223; // @[el2_dec_decode_ctl.scala 360:102] + wire _T_248 = _T_247 | _T_232; // @[el2_dec_decode_ctl.scala 360:102] + wire ld_stall_1 = _T_248 | _T_241; // @[el2_dec_decode_ctl.scala 360:102] + wire _T_249 = _T_217 | _T_226; // @[el2_dec_decode_ctl.scala 360:134] + wire _T_250 = _T_249 | _T_235; // @[el2_dec_decode_ctl.scala 360:134] + wire ld_stall_2 = _T_250 | _T_244; // @[el2_dec_decode_ctl.scala 360:134] + wire _T_251 = ld_stall_1 | ld_stall_2; // @[el2_dec_decode_ctl.scala 362:38] + wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[el2_dec_decode_ctl.scala 362:51] + wire _T_253 = ~i0_predict_br; // @[el2_dec_decode_ctl.scala 371:34] + wire [3:0] _T_255 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 455:36] + wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 383:16] + wire _T_258 = ~csr_read; // @[el2_dec_decode_ctl.scala 384:6] + wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 384:16] + wire _T_261 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 385:18] + wire _T_262 = csr_read & _T_261; // @[el2_dec_decode_ctl.scala 385:16] + wire [3:0] _T_264 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] + wire [3:0] _T_265 = i0_dp_load ? 4'h2 : _T_264; // @[Mux.scala 98:16] + wire [3:0] _T_266 = i0_dp_store ? 4'h3 : _T_265; // @[Mux.scala 98:16] + wire [3:0] _T_267 = i0_dp_pm_alu ? 4'h4 : _T_266; // @[Mux.scala 98:16] + wire [3:0] _T_268 = _T_262 ? 4'h5 : _T_267; // @[Mux.scala 98:16] + wire [3:0] _T_269 = _T_259 ? 4'h6 : _T_268; // @[Mux.scala 98:16] + wire [3:0] _T_270 = _T_256 ? 4'h7 : _T_269; // @[Mux.scala 98:16] + wire [3:0] _T_271 = i0_dp_ebreak ? 4'h8 : _T_270; // @[Mux.scala 98:16] + wire [3:0] _T_272 = i0_dp_ecall ? 4'h9 : _T_271; // @[Mux.scala 98:16] + wire [3:0] _T_273 = i0_dp_fence ? 4'ha : _T_272; // @[Mux.scala 98:16] + wire [3:0] _T_274 = i0_dp_fence_i ? 4'hb : _T_273; // @[Mux.scala 98:16] + wire [3:0] _T_275 = i0_dp_mret ? 4'hc : _T_274; // @[Mux.scala 98:16] + wire [3:0] _T_276 = i0_dp_condbr ? 4'hd : _T_275; // @[Mux.scala 98:16] + wire [3:0] _T_277 = i0_dp_jal ? 4'he : _T_276; // @[Mux.scala 98:16] + reg lsu_idle; // @[el2_dec_decode_ctl.scala 396:45] + wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 420:35] + wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 420:32] + wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 420:52] + wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 420:50] + wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 420:67] + reg _T_339; // @[el2_dec_decode_ctl.scala 432:58] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 574:40] + wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 788:43] reg x_d_bits_i0v; // @[el2_lib.scala 524:16] - wire _T_876 = io_dec_i0_rs1_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 772:48] - wire _T_877 = x_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 772:80] - wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 772:63] - wire _T_878 = io_dec_i0_rs1_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 773:48] - wire _T_879 = r_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 773:80] - wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 773:63] - wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 779:63] - wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 779:24] - wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 792:58] + wire _T_876 = io_dec_i0_rs1_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 768:48] + wire _T_877 = x_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 768:80] + wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 768:63] + wire _T_878 = io_dec_i0_rs1_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 769:48] + wire _T_879 = r_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 769:80] + wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 769:63] + wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 775:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 775:24] + wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 788:58] reg i0_x_c_load; // @[Reg.scala 15:16] reg i0_r_c_load; // @[Reg.scala 15:16] - wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 778:61] - wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 778:24] - wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 792:78] - wire _T_880 = io_dec_i0_rs2_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 775:48] - wire _T_881 = x_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 775:80] - wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 775:63] - wire _T_882 = io_dec_i0_rs2_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 776:48] - wire _T_883 = r_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 776:80] - wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 776:63] - wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 781:63] - wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 781:24] - wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 793:43] - wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 780:61] - wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 780:24] - wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 793:63] - wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 467:42] + wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 774:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 774:24] + wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 788:78] + wire _T_880 = io_dec_i0_rs2_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 771:48] + wire _T_881 = x_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 771:80] + wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 771:63] + wire _T_882 = io_dec_i0_rs2_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 772:48] + wire _T_883 = r_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 772:80] + wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 772:63] + wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 777:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 777:24] + wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 789:43] + wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 776:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 776:24] + wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 789:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 463:42] reg r_d_bits_csrwen; // @[el2_lib.scala 524:16] reg r_d_valid; // @[el2_lib.scala 524:16] - wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[el2_dec_decode_ctl.scala 475:39] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[el2_dec_decode_ctl.scala 471:39] reg [11:0] r_d_bits_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 478:50] - wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 478:85] - wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 478:64] - wire _T_358 = _T_357 & r_d_bits_csrwen; // @[el2_dec_decode_ctl.scala 478:100] - wire _T_359 = _T_358 & r_d_valid; // @[el2_dec_decode_ctl.scala 478:118] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 478:132] - reg csr_read_x; // @[el2_dec_decode_ctl.scala 480:52] - reg csr_clr_x; // @[el2_dec_decode_ctl.scala 481:51] - reg csr_set_x; // @[el2_dec_decode_ctl.scala 482:51] - reg csr_write_x; // @[el2_dec_decode_ctl.scala 483:53] - reg csr_imm_x; // @[el2_dec_decode_ctl.scala 484:51] - wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 661:50] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 474:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 474:85] + wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 474:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[el2_dec_decode_ctl.scala 474:100] + wire _T_359 = _T_358 & r_d_valid; // @[el2_dec_decode_ctl.scala 474:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 474:132] + reg csr_read_x; // @[el2_dec_decode_ctl.scala 476:52] + reg csr_clr_x; // @[el2_dec_decode_ctl.scala 477:51] + reg csr_set_x; // @[el2_dec_decode_ctl.scala 478:51] + reg csr_write_x; // @[el2_dec_decode_ctl.scala 479:53] + reg csr_imm_x; // @[el2_dec_decode_ctl.scala 480:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 657:50] reg [4:0] csrimm_x; // @[el2_lib.scala 514:16] reg [31:0] csr_rddata_x; // @[el2_lib.scala 514:16] wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] - wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 492:5] + wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 488:5] wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_398 = _T_396 ? io_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] - wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 495:38] - wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 495:35] - wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 496:35] + wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 491:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 491:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 492:35] wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] - wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 506:44] - wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 506:64] - wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 506:61] - wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 509:59] - wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 511:34] - wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 511:46] - wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 511:61] - wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 511:75] + wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 502:44] + wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 502:64] + wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 502:61] + wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 505:59] + wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 507:34] + wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 507:46] + wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 507:61] + wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 507:75] reg r_d_bits_csrwonly; // @[el2_lib.scala 524:16] - wire _T_764 = r_d_bits_i0v & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 714:42] + wire _T_764 = r_d_bits_i0v & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 710:42] reg [31:0] i0_result_r_raw; // @[el2_lib.scala 514:16] - wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 714:27] + wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 710:27] reg x_d_bits_csrwonly; // @[el2_lib.scala 524:16] - wire _T_432 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[el2_dec_decode_ctl.scala 520:43] + wire _T_432 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:43] reg wbd_bits_csrwonly; // @[el2_lib.scala 524:16] - wire prior_csr_write = _T_432 | wbd_bits_csrwonly; // @[el2_dec_decode_ctl.scala 520:63] - wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 523:48] - wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 524:40] - wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 527:34] - wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 527:57] - wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 527:73] - wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 527:91] + wire prior_csr_write = _T_432 | wbd_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:63] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 519:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 520:40] + wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 523:34] + wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 523:57] + wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 523:73] + wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 523:91] wire [31:0] _T_462 = {16'h0,io_ifu_i0_cinst}; // @[Cat.scala 29:58] - wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 539:44] + wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 535:44] reg [31:0] _T_465; // @[el2_lib.scala 514:16] - wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 543:42] - wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 545:40] - wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 545:59] - wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 545:81] - wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 545:95] - wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 546:20] - wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 546:45] - wire prior_inflight = x_d_valid | r_d_valid; // @[el2_dec_decode_ctl.scala 568:41] - wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[el2_dec_decode_ctl.scala 569:31] - wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 571:37] - wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 546:62] - wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 547:19] - wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 547:36] - wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 547:34] - wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 546:79] - wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 547:47] - wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 742:49] - wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 742:88] - wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 742:69] - wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 743:25] - wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 743:64] - wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 743:45] - wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 742:102] - wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 548:21] - wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 548:45] - wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 550:65] - wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 550:39] - wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 551:63] - wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 551:38] - wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 552:38] - wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 552:57] - wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 556:46] - wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 556:44] - wire _T_490 = _T_488 & _T_279; // @[el2_dec_decode_ctl.scala 556:61] - wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 557:46] - wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 557:44] - wire _T_496 = _T_494 & _T_279; // @[el2_dec_decode_ctl.scala 557:61] - wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 557:89] - wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 558:46] - wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 562:51] - wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 590:44] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 539:42] + wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 541:40] + wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 541:59] + wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 541:81] + wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 541:95] + wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 542:20] + wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 542:45] + wire prior_inflight = x_d_valid | r_d_valid; // @[el2_dec_decode_ctl.scala 564:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[el2_dec_decode_ctl.scala 565:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 567:37] + wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 542:62] + wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 543:19] + wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 543:36] + wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 543:34] + wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 542:79] + wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 543:47] + wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 738:49] + wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 738:88] + wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 738:69] + wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 739:25] + wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 739:64] + wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 739:45] + wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 738:102] + wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 544:21] + wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 544:45] + wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 546:65] + wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 546:39] + wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 547:63] + wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 547:38] + wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 548:38] + wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 548:57] + wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 552:46] + wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 552:44] + wire _T_490 = _T_488 & _T_280; // @[el2_dec_decode_ctl.scala 552:61] + wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 553:46] + wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 553:44] + wire _T_496 = _T_494 & _T_280; // @[el2_dec_decode_ctl.scala 553:61] + wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 553:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 554:46] + wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 558:51] + wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 586:44] wire [3:0] _T_522 = {io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d}; // @[Cat.scala 29:58] - wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 658:49] - wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 658:53] + wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 654:49] + wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 654:53] reg x_t_legal; // @[el2_lib.scala 524:16] reg x_t_icaf; // @[el2_lib.scala 524:16] reg x_t_icaf_f1; // @[el2_lib.scala 524:16] @@ -1765,7 +1768,7 @@ module el2_dec_decode_ctl( reg [3:0] x_t_pmu_i0_itype; // @[el2_lib.scala 524:16] reg x_t_pmu_i0_br_unpred; // @[el2_lib.scala 524:16] wire [3:0] _T_530 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] - wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 603:39] + wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 599:39] reg r_t_legal; // @[el2_lib.scala 524:16] reg r_t_icaf; // @[el2_lib.scala 524:16] reg r_t_icaf_f1; // @[el2_lib.scala 524:16] @@ -1774,22 +1777,22 @@ module el2_dec_decode_ctl( reg [3:0] r_t_i0trigger; // @[el2_lib.scala 524:16] reg [3:0] r_t_pmu_i0_itype; // @[el2_lib.scala 524:16] reg r_t_pmu_i0_br_unpred; // @[el2_lib.scala 524:16] - reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 606:36] - reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 607:37] + reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 602:36] + reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 603:37] reg r_d_bits_i0store; // @[el2_lib.scala 524:16] - wire _T_536 = r_d_bits_i0load | r_d_bits_i0store; // @[el2_dec_decode_ctl.scala 611:61] + wire _T_536 = r_d_bits_i0load | r_d_bits_i0store; // @[el2_dec_decode_ctl.scala 607:61] wire [3:0] _T_540 = {_T_536,_T_536,_T_536,_T_536}; // @[Cat.scala 29:58] - wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 611:82] - wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 611:105] + wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 607:82] + wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 607:105] reg r_d_bits_i0div; // @[el2_lib.scala 524:16] - wire _T_545 = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 617:58] - wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 628:49] - wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 629:49] - wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 630:48] - wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 630:37] - wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 634:38] - wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 635:27] - wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 635:38] + wire _T_545 = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 613:58] + wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 624:49] + wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 625:49] + wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 626:48] + wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 626:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 630:38] + wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 631:27] + wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 631:38] wire [31:0] _T_563 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] wire [9:0] _T_577 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] wire [18:0] _T_586 = {_T_577,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] @@ -1804,55 +1807,55 @@ module el2_dec_decode_ctl( wire [31:0] _T_652 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] wire [31:0] _T_687 = i0_uiimm20 ? _T_652 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_691 = _T_690 | _T_687; // @[Mux.scala 27:72] - wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 646:26] + wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 642:26] wire [31:0] _T_683 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] wire [31:0] _T_688 = _T_653 ? _T_683 : 32'h0; // @[Mux.scala 27:72] wire [31:0] i0_immed_d = _T_691 | _T_688; // @[Mux.scala 27:72] wire [31:0] _T_564 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] - wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 650:44] - wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 651:44] - wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 652:44] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 646:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 647:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 648:44] reg i0_x_c_mul; // @[Reg.scala 15:16] reg i0_x_c_alu; // @[Reg.scala 15:16] reg i0_r_c_mul; // @[Reg.scala 15:16] reg i0_r_c_alu; // @[Reg.scala 15:16] - wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 660:49] - wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 662:50] + wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 656:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 658:50] reg x_d_bits_i0store; // @[el2_lib.scala 524:16] reg x_d_bits_i0div; // @[el2_lib.scala 524:16] reg x_d_bits_csrwen; // @[el2_lib.scala 524:16] reg [11:0] x_d_bits_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_733 = x_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 684:47] - wire _T_737 = x_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 685:33] - wire _T_756 = ~r_d_bits_i0div; // @[el2_dec_decode_ctl.scala 700:49] - wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 700:47] - wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 700:70] - wire _T_761 = x_d_bits_i0v & x_d_bits_i0load; // @[el2_dec_decode_ctl.scala 709:47] - wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 715:52] + wire _T_733 = x_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 680:47] + wire _T_737 = x_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 681:33] + wire _T_756 = ~r_d_bits_i0div; // @[el2_dec_decode_ctl.scala 696:49] + wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 696:47] + wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 696:70] + wire _T_761 = x_d_bits_i0v & x_d_bits_i0load; // @[el2_dec_decode_ctl.scala 705:47] + wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 711:52] wire [11:0] _T_781 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] reg [11:0] last_br_immed_x; // @[el2_lib.scala 514:16] - wire _T_799 = x_d_bits_i0div & x_d_valid; // @[el2_dec_decode_ctl.scala 723:45] - wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 723:58] - wire _T_802 = x_d_bits_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 725:77] - wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 725:60] - wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 726:33] - wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 725:94] - wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 727:33] - wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 727:60] - wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 726:62] - wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 731:51] - wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 732:26] - wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 732:24] - wire _T_813 = r_d_bits_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 732:56] - wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 732:39] - wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 732:77] - wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 731:65] - wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 735:55] - wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 737:62] - wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 737:60] - wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 737:81] - wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 737:79] - reg _T_821; // @[el2_dec_decode_ctl.scala 739:54] + wire _T_799 = x_d_bits_i0div & x_d_valid; // @[el2_dec_decode_ctl.scala 719:45] + wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 719:58] + wire _T_802 = x_d_bits_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 721:77] + wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 721:60] + wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 722:33] + wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 721:94] + wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 723:33] + wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 723:60] + wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 722:62] + wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 727:51] + wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 728:26] + wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 728:24] + wire _T_813 = r_d_bits_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 728:56] + wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 728:39] + wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 728:77] + wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 727:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 731:55] + wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 733:62] + wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 733:60] + wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 733:81] + wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 733:79] + reg _T_821; // @[el2_dec_decode_ctl.scala 735:54] reg [4:0] _T_830; // @[Reg.scala 27:20] reg [31:0] i0_inst_x; // @[el2_lib.scala 514:16] reg [31:0] i0_inst_r; // @[el2_lib.scala 514:16] @@ -1877,75 +1880,75 @@ module el2_dec_decode_ctl( wire [18:0] _T_870 = _T_867 | _T_868; // @[Mux.scala 27:72] wire [18:0] _T_871 = _T_870 | _T_869; // @[Mux.scala 27:72] wire [31:0] temp_pred_correct_npc_x = {_T_871,_T_846[11:0],1'h0}; // @[Cat.scala 29:58] - wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 778:61] - wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 778:61] - wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 778:24] - wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 778:24] - wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 780:61] - wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 780:61] - wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 780:24] - wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 780:24] - wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 798:62] - wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 798:119] - wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 798:89] - wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 800:62] - wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 800:119] - wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 800:89] - wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 803:66] - wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 803:45] - wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 803:108] - wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 803:196] - wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 803:153] + wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 774:61] + wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 774:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 774:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 774:24] + wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 776:61] + wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 776:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 776:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 776:24] + wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 794:62] + wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 794:119] + wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 794:89] + wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 796:62] + wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 796:119] + wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 796:89] + wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 799:66] + wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 799:45] + wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 799:108] + wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 799:196] + wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 799:153] wire [2:0] i0_rs1bypass = {_T_915,_T_917,_T_921}; // @[Cat.scala 29:58] - wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 805:67] - wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 805:45] - wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 805:109] - wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 805:196] - wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 805:153] + wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 801:67] + wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 801:45] + wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 801:109] + wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 801:196] + wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 801:153] wire [2:0] i0_rs2bypass = {_T_926,_T_928,_T_932}; // @[Cat.scala 29:58] - wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 807:75] - wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 807:96] - wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 807:113] - wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 807:93] - wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 808:75] - wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 808:96] - wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 808:113] - wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 808:93] - wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 813:6] - wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 813:25] - wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 813:23] - wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 813:42] + wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 803:75] + wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 803:96] + wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 803:113] + wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 803:93] + wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 804:75] + wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 804:96] + wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 804:113] + wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 804:93] + wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 810:6] + wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 810:25] + wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 810:23] + wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 810:42] wire [31:0] _T_964 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_965 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_966 = _T_962 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_967 = _T_964 | _T_965; // @[Mux.scala 27:72] - wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 818:6] - wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 818:25] - wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 818:23] - wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 818:42] + wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 815:6] + wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 815:25] + wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 815:23] + wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 815:42] wire [31:0] _T_981 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_982 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_983 = _T_979 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_984 = _T_981 | _T_982; // @[Mux.scala 27:72] - wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 820:68] - wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 820:50] - wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 820:89] - wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 820:87] - wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 820:112] - wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 822:6] - wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 822:27] - wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 822:39] - wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 823:39] + wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 817:68] + wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 817:50] + wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 817:89] + wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 817:87] + wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 817:112] + wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 819:6] + wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 819:27] + wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 819:39] + wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 820:39] wire [11:0] _T_1005 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] wire [11:0] _T_1006 = _T_996 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] wire [11:0] _T_1007 = _T_1001 ? _T_1005 : 12'h0; // @[Mux.scala 27:72] - rvclkhdr data_gated_cgc ( // @[el2_dec_decode_ctl.scala 222:29] - .io_l1clk(data_gated_cgc_io_l1clk), - .io_clk(data_gated_cgc_io_clk), - .io_en(data_gated_cgc_io_en), - .io_scan_mode(data_gated_cgc_io_scan_mode) + rvclkhdr rvclkhdr ( // @[el2_lib.scala 483:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) ); - el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 396:22] + el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 392:22] .io_ins(i0_dec_io_ins), .io_out_alu(i0_dec_io_out_alu), .io_out_rs1(i0_dec_io_out_rs1), @@ -1998,12 +2001,6 @@ module el2_dec_decode_ctl( .io_out_pm_alu(i0_dec_io_out_pm_alu), .io_out_legal(i0_dec_io_out_legal) ); - rvclkhdr rvclkhdr ( // @[el2_lib.scala 508:23] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_1_io_l1clk), .io_clk(rvclkhdr_1_io_clk), @@ -2022,7 +2019,7 @@ module el2_dec_decode_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 518:23] + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en), @@ -2052,7 +2049,7 @@ module el2_dec_decode_ctl( .io_en(rvclkhdr_8_io_en), .io_scan_mode(rvclkhdr_8_io_scan_mode) ); - rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 508:23] + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 518:23] .io_l1clk(rvclkhdr_9_io_l1clk), .io_clk(rvclkhdr_9_io_clk), .io_en(rvclkhdr_9_io_en), @@ -2112,131 +2109,134 @@ module el2_dec_decode_ctl( .io_en(rvclkhdr_18_io_en), .io_scan_mode(rvclkhdr_18_io_scan_mode) ); - assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 436:23] - assign io_dec_i0_inst_wb1 = _T_837; // @[el2_dec_decode_ctl.scala 757:22] - assign io_dec_i0_pc_wb1 = _T_840; // @[el2_dec_decode_ctl.scala 760:20] - assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 628:24] - assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 629:24] - assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 631:19] - assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 632:19] - assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 637:21] - assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 715:24] - assign io_i0_ap_land = _T_40 ? 1'h0 : i0_dp_raw_land; // @[el2_dec_decode_ctl.scala 289:20] - assign io_i0_ap_lor = _T_40 | i0_dp_raw_lor; // @[el2_dec_decode_ctl.scala 290:20] - assign io_i0_ap_lxor = _T_40 ? 1'h0 : i0_dp_raw_lxor; // @[el2_dec_decode_ctl.scala 291:20] - assign io_i0_ap_sll = _T_40 ? 1'h0 : i0_dp_raw_sll; // @[el2_dec_decode_ctl.scala 292:20] - assign io_i0_ap_srl = _T_40 ? 1'h0 : i0_dp_raw_srl; // @[el2_dec_decode_ctl.scala 293:20] - assign io_i0_ap_sra = _T_40 ? 1'h0 : i0_dp_raw_sra; // @[el2_dec_decode_ctl.scala 294:20] - assign io_i0_ap_beq = _T_40 ? 1'h0 : i0_dp_raw_beq; // @[el2_dec_decode_ctl.scala 297:20] - assign io_i0_ap_bne = _T_40 ? 1'h0 : i0_dp_raw_bne; // @[el2_dec_decode_ctl.scala 298:20] - assign io_i0_ap_blt = _T_40 ? 1'h0 : i0_dp_raw_blt; // @[el2_dec_decode_ctl.scala 299:20] - assign io_i0_ap_bge = _T_40 ? 1'h0 : i0_dp_raw_bge; // @[el2_dec_decode_ctl.scala 300:20] - assign io_i0_ap_add = _T_40 ? 1'h0 : i0_dp_raw_add; // @[el2_dec_decode_ctl.scala 287:20] - assign io_i0_ap_sub = _T_40 ? 1'h0 : i0_dp_raw_sub; // @[el2_dec_decode_ctl.scala 288:20] - assign io_i0_ap_slt = _T_40 ? 1'h0 : i0_dp_raw_slt; // @[el2_dec_decode_ctl.scala 295:20] - assign io_i0_ap_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 296:20] - assign io_i0_ap_jal = _T_336 & _T_337; // @[el2_dec_decode_ctl.scala 303:22] - assign io_i0_ap_predict_t = _T_46 & i0_predict_br; // @[el2_dec_decode_ctl.scala 285:26] - assign io_i0_ap_predict_nt = _T_47 & i0_predict_br; // @[el2_dec_decode_ctl.scala 284:26] - assign io_i0_ap_csr_write = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 301:22] - assign io_i0_ap_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 302:22] - assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 556:22 el2_dec_decode_ctl.scala 622:22] - assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 576:26] - assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 810:31] - assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 815:31] - assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[el2_dec_decode_ctl.scala 698:27] - assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 700:32] - assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 701:26] - assign io_dec_i0_select_pc_d = _T_40 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 275:25] - assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 807:34] - assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 808:34] - assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 443:24 el2_dec_decode_ctl.scala 445:35] - assign io_lsu_p_bits_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 442:29] - assign io_lsu_p_bits_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 448:40] - assign io_lsu_p_bits_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 449:40] - assign io_lsu_p_bits_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 441:29 el2_dec_decode_ctl.scala 450:40] - assign io_lsu_p_bits_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 440:29 el2_dec_decode_ctl.scala 446:40] - assign io_lsu_p_bits_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 447:40] - assign io_lsu_p_bits_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 454:40] - assign io_lsu_p_bits_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 452:40] - assign io_lsu_p_bits_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 451:40] - assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 431:21] - assign io_mul_p_bits_rs1_sign = _T_40 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 432:26] - assign io_mul_p_bits_rs2_sign = _T_40 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 433:26] - assign io_mul_p_bits_low = _T_40 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 434:26] - assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 427:21] - assign io_div_p_bits_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 428:26] - assign io_div_p_bits_rem = _T_40 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 429:26] - assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 745:19] - assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 734:29] - assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 820:26] - assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 821:23] - assign io_dec_csr_ren_d = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 458:21] - assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 467:24] - assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 533:24] - assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 470:24] - assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 475:20] - assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[el2_dec_decode_ctl.scala 471:23] - assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 518:24] - assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 478:27] - assign io_dec_tlu_i0_valid_r = r_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 582:29] - assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 616:39 el2_dec_decode_ctl.scala 617:39] - assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 763:27] - assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 540:23] - assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 768:25] - assign io_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 240:38] - assign io_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 238:43] - assign io_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[el2_dec_decode_ctl.scala 239:43] - assign io_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 252:49] - assign io_dec_i0_predict_p_d_bits_br_error = _T_32 & _T_17; // @[el2_dec_decode_ctl.scala 247:56] - assign io_dec_i0_predict_p_d_bits_br_start_error = _T_35 & _T_17; // @[el2_dec_decode_ctl.scala 248:56] - assign io_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[el2_dec_decode_ctl.scala 237:43] - assign io_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 234:43] - assign io_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 236:43] - assign io_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 235:43] - assign io_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[el2_dec_decode_ctl.scala 254:56] - assign io_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[el2_dec_decode_ctl.scala 253:32] - assign io_i0_predict_index_d = io_dec_i0_bp_index; // @[el2_dec_decode_ctl.scala 249:32] - assign io_i0_predict_btag_d = io_dec_i0_bp_btag; // @[el2_dec_decode_ctl.scala 250:32] - assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 666:27] - assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 667:27] - assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 561:28] - assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 562:27] - assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 564:29] - assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 563:29] - assign io_dec_nonblock_load_wen = _T_199 & _T_200; // @[el2_dec_decode_ctl.scala 358:28] - assign io_dec_nonblock_load_waddr = _T_245 | _T_237; // @[el2_dec_decode_ctl.scala 355:29 el2_dec_decode_ctl.scala 365:29] - assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 502:22] - assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 506:25] - assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 739:21] - assign data_gated_cgc_io_clk = clock; // @[el2_dec_decode_ctl.scala 225:31] - assign data_gated_cgc_io_en = _T_15 | _T_16; // @[el2_dec_decode_ctl.scala 223:31] - assign data_gated_cgc_io_scan_mode = io_scan_mode; // @[el2_dec_decode_ctl.scala 224:31] - assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 397:16] - assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + rvclkhdr rvclkhdr_19 ( // @[el2_lib.scala 508:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 432:23] + assign io_dec_i0_inst_wb1 = _T_837; // @[el2_dec_decode_ctl.scala 753:22] + assign io_dec_i0_pc_wb1 = _T_840; // @[el2_dec_decode_ctl.scala 756:20] + assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 624:24] + assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 625:24] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 627:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 628:19] + assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 633:21] + assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 711:24] + assign io_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[el2_dec_decode_ctl.scala 285:20] + assign io_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[el2_dec_decode_ctl.scala 286:20] + assign io_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[el2_dec_decode_ctl.scala 287:20] + assign io_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[el2_dec_decode_ctl.scala 288:20] + assign io_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[el2_dec_decode_ctl.scala 289:20] + assign io_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[el2_dec_decode_ctl.scala 290:20] + assign io_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[el2_dec_decode_ctl.scala 293:20] + assign io_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[el2_dec_decode_ctl.scala 294:20] + assign io_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[el2_dec_decode_ctl.scala 295:20] + assign io_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[el2_dec_decode_ctl.scala 296:20] + assign io_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[el2_dec_decode_ctl.scala 283:20] + assign io_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[el2_dec_decode_ctl.scala 284:20] + assign io_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[el2_dec_decode_ctl.scala 291:20] + assign io_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 292:20] + assign io_i0_ap_jal = _T_336 & _T_337; // @[el2_dec_decode_ctl.scala 299:22] + assign io_i0_ap_predict_t = _T_47 & i0_predict_br; // @[el2_dec_decode_ctl.scala 281:26] + assign io_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[el2_dec_decode_ctl.scala 280:26] + assign io_i0_ap_csr_write = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 297:22] + assign io_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 298:22] + assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 552:22 el2_dec_decode_ctl.scala 618:22] + assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 572:26] + assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 807:31] + assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 812:31] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[el2_dec_decode_ctl.scala 694:27] + assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 696:32] + assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 697:26] + assign io_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 271:25] + assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 803:34] + assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 804:34] + assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 439:24 el2_dec_decode_ctl.scala 441:35] + assign io_lsu_p_bits_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 438:29] + assign io_lsu_p_bits_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 444:40] + assign io_lsu_p_bits_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 445:40] + assign io_lsu_p_bits_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 437:29 el2_dec_decode_ctl.scala 446:40] + assign io_lsu_p_bits_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 436:29 el2_dec_decode_ctl.scala 442:40] + assign io_lsu_p_bits_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 443:40] + assign io_lsu_p_bits_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 450:40] + assign io_lsu_p_bits_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 448:40] + assign io_lsu_p_bits_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 447:40] + assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 427:21] + assign io_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 428:26] + assign io_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 429:26] + assign io_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 430:26] + assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 423:21] + assign io_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 424:26] + assign io_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 425:26] + assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 741:19] + assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 730:29] + assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 817:26] + assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 818:23] + assign io_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 454:21] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 463:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 529:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 466:24] + assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 471:20] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[el2_dec_decode_ctl.scala 467:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 514:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 474:27] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 578:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 612:39 el2_dec_decode_ctl.scala 613:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 759:27] + assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 536:23] + assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 764:25] + assign io_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 236:38] + assign io_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 234:43] + assign io_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[el2_dec_decode_ctl.scala 235:43] + assign io_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[el2_dec_decode_ctl.scala 248:49] + assign io_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[el2_dec_decode_ctl.scala 243:56] + assign io_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[el2_dec_decode_ctl.scala 244:56] + assign io_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[el2_dec_decode_ctl.scala 233:43] + assign io_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 230:43] + assign io_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 232:43] + assign io_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 231:43] + assign io_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[el2_dec_decode_ctl.scala 250:56] + assign io_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[el2_dec_decode_ctl.scala 249:32] + assign io_i0_predict_index_d = io_dec_i0_bp_index; // @[el2_dec_decode_ctl.scala 245:32] + assign io_i0_predict_btag_d = io_dec_i0_bp_btag; // @[el2_dec_decode_ctl.scala 246:32] + assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 662:27] + assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 663:27] + assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 557:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 558:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 560:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 559:29] + assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[el2_dec_decode_ctl.scala 354:28] + assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[el2_dec_decode_ctl.scala 351:29 el2_dec_decode_ctl.scala 361:29] + assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 498:22] + assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 502:25] + assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 735:21] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 484:17] + assign rvclkhdr_io_en = _T_15 | _T_16; // @[el2_lib.scala 485:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 486:23] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 393:16] assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 510:18] assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_2_io_en = _T_428 | pause_stall; // @[el2_lib.scala 511:17] + assign rvclkhdr_2_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_3_io_en = shift_illegal & _T_464; // @[el2_lib.scala 511:17] + assign rvclkhdr_3_io_en = _T_428 | pause_stall; // @[el2_lib.scala 511:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] - assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 520:18] - assign rvclkhdr_4_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 510:18] + assign rvclkhdr_4_io_en = shift_illegal & _T_464; // @[el2_lib.scala 511:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 520:18] assign rvclkhdr_5_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] @@ -2244,41 +2244,44 @@ module el2_dec_decode_ctl( assign rvclkhdr_6_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 520:18] - assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[el2_lib.scala 521:17] + assign rvclkhdr_7_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 520:18] - assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[el2_lib.scala 521:17] + assign rvclkhdr_8_io_en = _T_707 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] - assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_9_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] - assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 520:18] + assign rvclkhdr_9_io_en = _T_710 | io_clk_override; // @[el2_lib.scala 521:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_10_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_10_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_11_io_en = i0_legal_decode_d & i0_dp_div; // @[el2_lib.scala 511:17] + assign rvclkhdr_11_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_12_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_12_io_en = i0_legal_decode_d & i0_dp_div; // @[el2_lib.scala 511:17] assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_13_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_13_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_14_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_14_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_15_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_15_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_16_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_16_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_17_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_17_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_18_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_18_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign rvclkhdr_19_io_clk = clock; // @[el2_lib.scala 510:18] + assign rvclkhdr_19_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -2778,42 +2781,42 @@ end // initial i0_r_c_alu <= i0_x_c_alu; end end - always @(posedge clock or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin tlu_wr_pause_r1 <= 1'h0; end else begin tlu_wr_pause_r1 <= io_dec_tlu_wr_pause_r; end end - always @(posedge clock or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin tlu_wr_pause_r2 <= 1'h0; end else begin tlu_wr_pause_r2 <= tlu_wr_pause_r1; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin leak1_i1_stall <= 1'h0; end else begin - leak1_i1_stall <= io_dec_tlu_flush_leak_one_r | _T_280; + leak1_i1_stall <= io_dec_tlu_flush_leak_one_r | _T_281; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin leak1_i0_stall <= 1'h0; end else begin - leak1_i0_stall <= _T_283 | _T_285; + leak1_i0_stall <= _T_284 | _T_286; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin pause_stall <= 1'h0; end else begin pause_stall <= _T_412 & _T_413; end end - always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin if (reset) begin write_csr_data <= 32'h0; end else if (pause_stall) begin @@ -2824,28 +2827,28 @@ end // initial write_csr_data <= write_csr_data_x; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin postsync_stall <= 1'h0; end else begin postsync_stall <= _T_506 | _T_507; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_valid <= 1'h0; end else begin x_d_valid <= io_dec_i0_decode_d; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin flush_final_r <= 1'h0; end else begin flush_final_r <= io_exu_flush_final; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin illegal_lockout <= 1'h0; end else begin @@ -2857,7 +2860,7 @@ end // initial cam_raw_0_bits_tag <= 3'h0; end else if (cam_wen[0]) begin cam_raw_0_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_106) begin + end else if (_T_107) begin cam_raw_0_bits_tag <= 3'h0; end end @@ -2875,7 +2878,7 @@ end // initial cam_raw_1_bits_tag <= 3'h0; end else if (cam_wen[1]) begin cam_raw_1_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_132) begin + end else if (_T_133) begin cam_raw_1_bits_tag <= 3'h0; end end @@ -2893,7 +2896,7 @@ end // initial cam_raw_2_bits_tag <= 3'h0; end else if (cam_wen[2]) begin cam_raw_2_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_158) begin + end else if (_T_159) begin cam_raw_2_bits_tag <= 3'h0; end end @@ -2911,7 +2914,7 @@ end // initial cam_raw_3_bits_tag <= 3'h0; end else if (cam_wen[3]) begin cam_raw_3_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_184) begin + end else if (_T_185) begin cam_raw_3_bits_tag <= 3'h0; end end @@ -2924,14 +2927,14 @@ end // initial cam_raw_3_valid <= _GEN_89; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0load <= 1'h0; end else begin x_d_bits_i0load <= i0_dp_load & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0rd <= 5'h0; end else begin @@ -2952,21 +2955,21 @@ end // initial nonblock_load_valid_m_delay <= io_lsu_nonblock_load_valid_m; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0load <= 1'h0; end else begin r_d_bits_i0load <= x_d_bits_i0load; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0v <= 1'h0; end else begin - r_d_bits_i0v <= _T_733 & _T_279; + r_d_bits_i0v <= _T_733 & _T_280; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0rd <= 5'h0; end else begin @@ -2982,7 +2985,7 @@ end // initial end else begin cam_raw_0_bits_rd <= 5'h0; end - end else if (_T_106) begin + end else if (_T_107) begin cam_raw_0_bits_rd <= 5'h0; end end @@ -2990,7 +2993,7 @@ end // initial if (reset) begin cam_raw_0_bits_wb <= 1'h0; end else begin - cam_raw_0_bits_wb <= _T_111 | _GEN_57; + cam_raw_0_bits_wb <= _T_112 | _GEN_57; end end always @(posedge io_free_clk or posedge reset) begin @@ -3002,7 +3005,7 @@ end // initial end else begin cam_raw_1_bits_rd <= 5'h0; end - end else if (_T_132) begin + end else if (_T_133) begin cam_raw_1_bits_rd <= 5'h0; end end @@ -3010,7 +3013,7 @@ end // initial if (reset) begin cam_raw_1_bits_wb <= 1'h0; end else begin - cam_raw_1_bits_wb <= _T_137 | _GEN_68; + cam_raw_1_bits_wb <= _T_138 | _GEN_68; end end always @(posedge io_free_clk or posedge reset) begin @@ -3022,7 +3025,7 @@ end // initial end else begin cam_raw_2_bits_rd <= 5'h0; end - end else if (_T_158) begin + end else if (_T_159) begin cam_raw_2_bits_rd <= 5'h0; end end @@ -3030,7 +3033,7 @@ end // initial if (reset) begin cam_raw_2_bits_wb <= 1'h0; end else begin - cam_raw_2_bits_wb <= _T_163 | _GEN_79; + cam_raw_2_bits_wb <= _T_164 | _GEN_79; end end always @(posedge io_free_clk or posedge reset) begin @@ -3042,7 +3045,7 @@ end // initial end else begin cam_raw_3_bits_rd <= 5'h0; end - end else if (_T_184) begin + end else if (_T_185) begin cam_raw_3_bits_rd <= 5'h0; end end @@ -3050,7 +3053,7 @@ end // initial if (reset) begin cam_raw_3_bits_wb <= 1'h0; end else begin - cam_raw_3_bits_wb <= _T_189 | _GEN_90; + cam_raw_3_bits_wb <= _T_190 | _GEN_90; end end always @(posedge io_active_clk or posedge reset) begin @@ -3060,35 +3063,35 @@ end // initial lsu_idle <= io_lsu_idle_any; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin _T_339 <= 1'h0; end else begin _T_339 <= io_dec_tlu_flush_extint; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0v <= 1'h0; end else begin x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwen <= 1'h0; end else begin r_d_bits_csrwen <= x_d_bits_csrwen; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_valid <= 1'h0; end else begin - r_d_valid <= _T_737 & _T_279; + r_d_valid <= _T_737 & _T_280; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwaddr <= 12'h0; end else begin @@ -3126,34 +3129,34 @@ end // initial always @(posedge io_active_clk or posedge reset) begin if (reset) begin csr_imm_x <= 1'h0; - end else if (_T_40) begin + end else if (_T_41) begin csr_imm_x <= 1'h0; end else begin csr_imm_x <= i0_dp_raw_csr_imm; end end - always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin if (reset) begin csrimm_x <= 5'h0; end else begin csrimm_x <= io_dec_i0_instr_d[19:15]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin if (reset) begin csr_rddata_x <= 32'h0; end else begin csr_rddata_x <= io_dec_csr_rddata_d; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwonly <= 1'h0; end else begin r_d_bits_csrwonly <= x_d_bits_csrwonly; end end - always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin i0_result_r_raw <= 32'h0; end else if (_T_761) begin @@ -3162,21 +3165,21 @@ end // initial i0_result_r_raw <= io_exu_i0_result_x; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_csrwonly <= 1'h0; end else begin x_d_bits_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; end end - always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin wbd_bits_csrwonly <= 1'h0; end else begin wbd_bits_csrwonly <= r_d_bits_csrwonly; end end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin if (reset) begin _T_465 <= 32'h0; end else if (io_dec_i0_pc4_d) begin @@ -3185,112 +3188,112 @@ end // initial _T_465 <= _T_462; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_legal <= 1'h0; end else begin x_t_legal <= io_dec_i0_decode_d & i0_legal; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_icaf <= 1'h0; end else begin x_t_icaf <= i0_icaf_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_icaf_f1 <= 1'h0; end else begin x_t_icaf_f1 <= io_dec_i0_icaf_f1_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_icaf_type <= 2'h0; end else begin x_t_icaf_type <= io_dec_i0_icaf_type_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_fence_i <= 1'h0; end else begin x_t_fence_i <= _T_517 & i0_legal_decode_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_i0trigger <= 4'h0; end else begin x_t_i0trigger <= io_dec_i0_trigger_match_d & _T_522; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_pmu_i0_itype <= 4'h0; end else begin - x_t_pmu_i0_itype <= _T_254 & _T_276; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - x_t_pmu_i0_br_unpred <= 1'h0; - end else begin - x_t_pmu_i0_br_unpred <= i0_dp_jal & _T_252; + x_t_pmu_i0_itype <= _T_255 & _T_277; end end always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_pmu_i0_br_unpred <= 1'h0; + end else begin + x_t_pmu_i0_br_unpred <= i0_dp_jal & _T_253; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_legal <= 1'h0; end else begin r_t_legal <= x_t_legal; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_icaf <= 1'h0; end else begin r_t_icaf <= x_t_icaf; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_icaf_f1 <= 1'h0; end else begin r_t_icaf_f1 <= x_t_icaf_f1; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_icaf_type <= 2'h0; end else begin r_t_icaf_type <= x_t_icaf_type; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_fence_i <= 1'h0; end else begin r_t_fence_i <= x_t_fence_i; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_i0trigger <= 4'h0; end else begin r_t_i0trigger <= x_t_i0trigger & _T_531; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_pmu_i0_itype <= 4'h0; end else begin r_t_pmu_i0_itype <= x_t_pmu_i0_itype; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_pmu_i0_br_unpred <= 1'h0; end else begin @@ -3311,55 +3314,55 @@ end // initial lsu_pmu_misaligned_r <= io_lsu_pmu_misaligned_m; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0store <= 1'h0; end else begin r_d_bits_i0store <= x_d_bits_i0store; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0div <= 1'h0; end else begin r_d_bits_i0div <= x_d_bits_i0div; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0store <= 1'h0; end else begin x_d_bits_i0store <= i0_dp_store & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0div <= 1'h0; end else begin x_d_bits_i0div <= i0_dp_div & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_csrwen <= 1'h0; end else begin x_d_bits_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_csrwaddr <= 12'h0; end else begin x_d_bits_csrwaddr <= io_dec_i0_instr_d[31:20]; end end - always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin last_br_immed_x <= 12'h0; end else if (io_i0_ap_predict_nt) begin last_br_immed_x <= _T_781; end else if (_T_314) begin - last_br_immed_x <= i0_pcall_imm[12:1]; + last_br_immed_x <= i0_pcall_imm[11:0]; end else begin last_br_immed_x <= _T_323; end @@ -3378,7 +3381,7 @@ end // initial _T_830 <= i0r_rd; end end - always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin if (reset) begin i0_inst_x <= 32'h0; end else if (io_dec_i0_pc4_d) begin @@ -3387,42 +3390,42 @@ end // initial i0_inst_x <= _T_462; end end - always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin if (reset) begin i0_inst_r <= 32'h0; end else begin i0_inst_r <= i0_inst_x; end end - always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin if (reset) begin i0_inst_wb <= 32'h0; end else begin i0_inst_wb <= i0_inst_r; end end - always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin if (reset) begin _T_837 <= 32'h0; end else begin _T_837 <= i0_inst_wb; end end - always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin if (reset) begin i0_pc_wb <= 31'h0; end else begin i0_pc_wb <= io_dec_tlu_i0_pc_r; end end - always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin if (reset) begin _T_840 <= 31'h0; end else begin _T_840 <= i0_pc_wb; end end - always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin if (reset) begin dec_i0_pc_r <= 31'h0; end else begin @@ -12703,16 +12706,16 @@ module el2_dec( output io_dec_extint_stall, output io_dec_i0_decode_d, output io_dec_pause_state_cg, - input [31:0] io_rst_vec, + input [30:0] io_rst_vec, input io_nmi_int, - input [31:0] io_nmi_vec, + input [30:0] io_nmi_vec, input io_i_cpu_halt_req, input io_i_cpu_run_req, output io_o_cpu_halt_status, output io_o_cpu_halt_ack, output io_o_cpu_run_ack, output io_o_debug_mode_status, - input [31:0] io_core_id, + input [27:0] io_core_id, input io_mpc_debug_halt_req, input io_mpc_debug_run_req, input io_mpc_reset_run_req, @@ -12741,7 +12744,7 @@ module el2_dec( input io_dma_pmu_dccm_write, input io_dma_pmu_any_read, input io_dma_pmu_any_write, - input [31:0] io_lsu_fir_addr, + input [30:0] io_lsu_fir_addr, input [1:0] io_lsu_fir_error, input io_ifu_pmu_instr_aligned, input io_ifu_pmu_fetch_stall, @@ -12772,7 +12775,7 @@ module el2_dec( input [30:0] io_i0_brp_bits_prett, input io_i0_brp_bits_way, input io_i0_brp_bits_ret, - input [8:0] io_ifu_i0_bp_index, + input [7:0] io_ifu_i0_bp_index, input [7:0] io_ifu_i0_bp_fghr, input [4:0] io_ifu_i0_bp_btag, input io_lsu_error_pkt_r_valid, @@ -12796,13 +12799,13 @@ module el2_dec( input io_dma_iccm_stall_any, input io_iccm_dma_sb_error, input io_exu_flush_final, - input [31:0] io_exu_npc_r, + input [30:0] io_exu_npc_r, input [31:0] io_exu_i0_result_x, input io_ifu_i0_valid, input [31:0] io_ifu_i0_instr, - input [31:0] io_ifu_i0_pc, + input [30:0] io_ifu_i0_pc, input io_ifu_i0_pc4, - input [31:0] io_exu_i0_pc_x, + input [30:0] io_exu_i0_pc_x, input io_mexintpend, input io_timer_int, input io_soft_int, @@ -12811,7 +12814,7 @@ module el2_dec( input io_mhwakeup, output [3:0] io_dec_tlu_meicurpl, output [3:0] io_dec_tlu_meipt, - input [69:0] io_ifu_ic_debug_rd_data, + input [70:0] io_ifu_ic_debug_rd_data, input io_ifu_ic_debug_rd_data_valid, output [70:0] io_dec_tlu_ic_diag_pkt_icache_wrdata, output [16:0] io_dec_tlu_ic_diag_pkt_icache_dicawics, @@ -12827,7 +12830,7 @@ module el2_dec( output io_dec_tlu_mpc_halted_only, output io_dec_tlu_flush_leak_one_r, output io_dec_tlu_flush_err_r, - output [31:0] io_dec_tlu_meihap, + output [29:0] io_dec_tlu_meihap, output io_dec_debug_wdata_rs1_d, output [31:0] io_dec_dbg_rddata, output io_dec_dbg_cmd_done, @@ -12873,7 +12876,7 @@ module el2_dec( output [31:0] io_gpr_i0_rs1_d, output [31:0] io_gpr_i0_rs2_d, output [31:0] io_dec_i0_immed_d, - output [12:0] io_dec_i0_br_immed_d, + output [11:0] io_dec_i0_br_immed_d, output io_i0_ap_land, output io_i0_ap_lor, output io_i0_ap_lxor, @@ -12895,7 +12898,7 @@ module el2_dec( output io_i0_ap_csr_imm, output io_dec_i0_alu_decode_d, output io_dec_i0_select_pc_d, - output [31:0] io_dec_i0_pc_d, + output [30:0] io_dec_i0_pc_d, output [1:0] io_dec_i0_rs1_bypass_en_d, output [1:0] io_dec_i0_rs2_bypass_en_d, output [31:0] io_dec_i0_rs1_bypass_data_d, @@ -12939,10 +12942,10 @@ module el2_dec( output [11:0] io_dec_lsu_offset_d, output io_dec_csr_ren_d, output io_dec_tlu_flush_lower_r, - output [31:0] io_dec_tlu_flush_path_r, + output [30:0] io_dec_tlu_flush_path_r, output io_dec_tlu_i0_kill_writeb_r, output io_dec_tlu_fence_i_r, - output [31:0] io_pred_correct_npc_x, + output [30:0] io_pred_correct_npc_x, output io_dec_tlu_br0_r_pkt_valid, output [1:0] io_dec_tlu_br0_r_pkt_bits_hist, output io_dec_tlu_br0_r_pkt_bits_br_error, @@ -12968,7 +12971,7 @@ module el2_dec( output io_dec_i0_predict_p_d_bits_pja, output io_dec_i0_predict_p_d_bits_way, output [7:0] io_i0_predict_fghr_d, - output [8:0] io_i0_predict_index_d, + output [7:0] io_i0_predict_index_d, output [4:0] io_i0_predict_btag_d, output io_dec_lsu_valid_raw_d, output [31:0] io_dec_tlu_mrac_ff, @@ -12998,456 +13001,458 @@ module el2_dec( output io_dec_tlu_i0_commit_cmt, input io_scan_mode ); - wire instbuff_io_dbg_cmd_valid; // @[el2_dec.scala 353:24] - wire instbuff_io_dbg_cmd_write; // @[el2_dec.scala 353:24] - wire [1:0] instbuff_io_dbg_cmd_type; // @[el2_dec.scala 353:24] - wire [31:0] instbuff_io_dbg_cmd_addr; // @[el2_dec.scala 353:24] - wire instbuff_io_i0_brp_valid; // @[el2_dec.scala 353:24] - wire [11:0] instbuff_io_i0_brp_bits_toffset; // @[el2_dec.scala 353:24] - wire [1:0] instbuff_io_i0_brp_bits_hist; // @[el2_dec.scala 353:24] - wire instbuff_io_i0_brp_bits_br_error; // @[el2_dec.scala 353:24] - wire instbuff_io_i0_brp_bits_br_start_error; // @[el2_dec.scala 353:24] - wire [30:0] instbuff_io_i0_brp_bits_prett; // @[el2_dec.scala 353:24] - wire instbuff_io_i0_brp_bits_way; // @[el2_dec.scala 353:24] - wire instbuff_io_i0_brp_bits_ret; // @[el2_dec.scala 353:24] - wire [7:0] instbuff_io_ifu_i0_bp_index; // @[el2_dec.scala 353:24] - wire [7:0] instbuff_io_ifu_i0_bp_fghr; // @[el2_dec.scala 353:24] - wire [4:0] instbuff_io_ifu_i0_bp_btag; // @[el2_dec.scala 353:24] - wire instbuff_io_ifu_i0_valid; // @[el2_dec.scala 353:24] - wire instbuff_io_ifu_i0_icaf; // @[el2_dec.scala 353:24] - wire [1:0] instbuff_io_ifu_i0_icaf_type; // @[el2_dec.scala 353:24] - wire instbuff_io_ifu_i0_icaf_f1; // @[el2_dec.scala 353:24] - wire instbuff_io_ifu_i0_dbecc; // @[el2_dec.scala 353:24] - wire [31:0] instbuff_io_ifu_i0_instr; // @[el2_dec.scala 353:24] - wire [30:0] instbuff_io_ifu_i0_pc; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_ib0_valid_d; // @[el2_dec.scala 353:24] - wire [1:0] instbuff_io_dec_i0_icaf_type_d; // @[el2_dec.scala 353:24] - wire [31:0] instbuff_io_dec_i0_instr_d; // @[el2_dec.scala 353:24] - wire [30:0] instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_brp_valid; // @[el2_dec.scala 353:24] - wire [11:0] instbuff_io_dec_i0_brp_bits_toffset; // @[el2_dec.scala 353:24] - wire [1:0] instbuff_io_dec_i0_brp_bits_hist; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_brp_bits_br_error; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_brp_bits_br_start_error; // @[el2_dec.scala 353:24] - wire [30:0] instbuff_io_dec_i0_brp_bits_prett; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_brp_bits_way; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_brp_bits_ret; // @[el2_dec.scala 353:24] - wire [7:0] instbuff_io_dec_i0_bp_index; // @[el2_dec.scala 353:24] - wire [7:0] instbuff_io_dec_i0_bp_fghr; // @[el2_dec.scala 353:24] - wire [4:0] instbuff_io_dec_i0_bp_btag; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_icaf_d; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_i0_dbecc_d; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_debug_wdata_rs1_d; // @[el2_dec.scala 353:24] - wire instbuff_io_dec_debug_fence_d; // @[el2_dec.scala 353:24] - wire decode_clock; // @[el2_dec.scala 354:22] - wire decode_reset; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_flush_extint; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_force_halt; // @[el2_dec.scala 354:22] - wire decode_io_dec_extint_stall; // @[el2_dec.scala 354:22] - wire [15:0] decode_io_ifu_i0_cinst; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_i0_inst_wb1; // @[el2_dec.scala 354:22] - wire [30:0] decode_io_dec_i0_pc_wb1; // @[el2_dec.scala 354:22] - wire decode_io_lsu_nonblock_load_valid_m; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_lsu_nonblock_load_tag_m; // @[el2_dec.scala 354:22] - wire decode_io_lsu_nonblock_load_inv_r; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_lsu_nonblock_load_inv_tag_r; // @[el2_dec.scala 354:22] - wire decode_io_lsu_nonblock_load_data_valid; // @[el2_dec.scala 354:22] - wire decode_io_lsu_nonblock_load_data_error; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_lsu_nonblock_load_data_tag; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_lsu_nonblock_load_data; // @[el2_dec.scala 354:22] - wire [3:0] decode_io_dec_i0_trigger_match_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 354:22] - wire [3:0] decode_io_lsu_trigger_match_m; // @[el2_dec.scala 354:22] - wire decode_io_lsu_pmu_misaligned_m; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_debug_stall; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_debug_fence_d; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dbg_cmd_wrdata; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_icaf_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_i0_icaf_type_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_dbecc_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_brp_valid; // @[el2_dec.scala 354:22] - wire [11:0] decode_io_dec_i0_brp_bits_toffset; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_i0_brp_bits_hist; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_brp_bits_br_error; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_brp_bits_br_start_error; // @[el2_dec.scala 354:22] - wire [30:0] decode_io_dec_i0_brp_bits_prett; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_brp_bits_way; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_brp_bits_ret; // @[el2_dec.scala 354:22] - wire [7:0] decode_io_dec_i0_bp_index; // @[el2_dec.scala 354:22] - wire [7:0] decode_io_dec_i0_bp_fghr; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_dec_i0_bp_btag; // @[el2_dec.scala 354:22] - wire decode_io_lsu_idle_any; // @[el2_dec.scala 354:22] - wire decode_io_lsu_load_stall_any; // @[el2_dec.scala 354:22] - wire decode_io_lsu_store_stall_any; // @[el2_dec.scala 354:22] - wire decode_io_dma_dccm_stall_any; // @[el2_dec.scala 354:22] - wire decode_io_exu_div_wren; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_presync_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_postsync_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_pc4_d; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_csr_rddata_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_csr_legal_d; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_exu_csr_rs1_x; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_lsu_result_m; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_lsu_result_corr_r; // @[el2_dec.scala 354:22] - wire decode_io_exu_flush_final; // @[el2_dec.scala 354:22] - wire [30:0] decode_io_exu_i0_pc_x; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_i0_instr_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_ib0_valid_d; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_exu_i0_result_x; // @[el2_dec.scala 354:22] - wire decode_io_free_clk; // @[el2_dec.scala 354:22] - wire decode_io_active_clk; // @[el2_dec.scala 354:22] - wire decode_io_clk_override; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_rs1_en_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_rs2_en_d; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_dec_i0_rs1_d; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_dec_i0_rs2_d; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_i0_immed_d; // @[el2_dec.scala 354:22] - wire [11:0] decode_io_dec_i0_br_immed_d; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_land; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_lor; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_lxor; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_sll; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_srl; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_sra; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_beq; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_bne; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_blt; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_bge; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_add; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_sub; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_slt; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_unsign; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_jal; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_predict_t; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_predict_nt; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_csr_write; // @[el2_dec.scala 354:22] - wire decode_io_i0_ap_csr_imm; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_decode_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_alu_decode_d; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_i0_rs1_bypass_data_d; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_i0_rs2_bypass_data_d; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_dec_i0_waddr_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_wen_r; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_i0_wdata_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_select_pc_d; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_i0_rs1_bypass_en_d; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_i0_rs2_bypass_en_d; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_valid; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_fast_int; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_by; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_half; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_word; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_load; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_store; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_unsign; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_store_data_bypass_d; // @[el2_dec.scala 354:22] - wire decode_io_lsu_p_bits_load_ldst_bypass_d; // @[el2_dec.scala 354:22] - wire decode_io_mul_p_valid; // @[el2_dec.scala 354:22] - wire decode_io_mul_p_bits_rs1_sign; // @[el2_dec.scala 354:22] - wire decode_io_mul_p_bits_rs2_sign; // @[el2_dec.scala 354:22] - wire decode_io_mul_p_bits_low; // @[el2_dec.scala 354:22] - wire decode_io_div_p_valid; // @[el2_dec.scala 354:22] - wire decode_io_div_p_bits_unsign; // @[el2_dec.scala 354:22] - wire decode_io_div_p_bits_rem; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_div_waddr_wb; // @[el2_dec.scala 354:22] - wire decode_io_dec_div_cancel; // @[el2_dec.scala 354:22] - wire decode_io_dec_lsu_valid_raw_d; // @[el2_dec.scala 354:22] - wire [11:0] decode_io_dec_lsu_offset_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_csr_ren_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_csr_wen_unq_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_csr_any_unq_d; // @[el2_dec.scala 354:22] - wire [11:0] decode_io_dec_csr_rdaddr_d; // @[el2_dec.scala 354:22] - wire decode_io_dec_csr_wen_r; // @[el2_dec.scala 354:22] - wire [11:0] decode_io_dec_csr_wraddr_r; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_csr_wrdata_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_csr_stall_int_ff; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 354:22] - wire [3:0] decode_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 354:22] - wire [3:0] decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 354:22] - wire decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 354:22] - wire [30:0] decode_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 354:22] - wire [31:0] decode_io_dec_illegal_inst; // @[el2_dec.scala 354:22] - wire [30:0] decode_io_pred_correct_npc_x; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_valid; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_pc4; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_i0_predict_p_d_bits_hist; // @[el2_dec.scala 354:22] - wire [11:0] decode_io_dec_i0_predict_p_d_bits_toffset; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_br_error; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_br_start_error; // @[el2_dec.scala 354:22] - wire [30:0] decode_io_dec_i0_predict_p_d_bits_prett; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_pcall; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_pret; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_pja; // @[el2_dec.scala 354:22] - wire decode_io_dec_i0_predict_p_d_bits_way; // @[el2_dec.scala 354:22] - wire [7:0] decode_io_i0_predict_fghr_d; // @[el2_dec.scala 354:22] - wire [7:0] decode_io_i0_predict_index_d; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_i0_predict_btag_d; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_data_en; // @[el2_dec.scala 354:22] - wire [1:0] decode_io_dec_ctl_en; // @[el2_dec.scala 354:22] - wire decode_io_dec_pmu_instr_decoded; // @[el2_dec.scala 354:22] - wire decode_io_dec_pmu_decode_stall; // @[el2_dec.scala 354:22] - wire decode_io_dec_pmu_presync_stall; // @[el2_dec.scala 354:22] - wire decode_io_dec_pmu_postsync_stall; // @[el2_dec.scala 354:22] - wire decode_io_dec_nonblock_load_wen; // @[el2_dec.scala 354:22] - wire [4:0] decode_io_dec_nonblock_load_waddr; // @[el2_dec.scala 354:22] - wire decode_io_dec_pause_state; // @[el2_dec.scala 354:22] - wire decode_io_dec_pause_state_cg; // @[el2_dec.scala 354:22] - wire decode_io_dec_div_active; // @[el2_dec.scala 354:22] - wire decode_io_scan_mode; // @[el2_dec.scala 354:22] - wire gpr_clock; // @[el2_dec.scala 355:19] - wire gpr_reset; // @[el2_dec.scala 355:19] - wire [4:0] gpr_io_raddr0; // @[el2_dec.scala 355:19] - wire [4:0] gpr_io_raddr1; // @[el2_dec.scala 355:19] - wire gpr_io_wen0; // @[el2_dec.scala 355:19] - wire [4:0] gpr_io_waddr0; // @[el2_dec.scala 355:19] - wire [31:0] gpr_io_wd0; // @[el2_dec.scala 355:19] - wire gpr_io_wen1; // @[el2_dec.scala 355:19] - wire [4:0] gpr_io_waddr1; // @[el2_dec.scala 355:19] - wire [31:0] gpr_io_wd1; // @[el2_dec.scala 355:19] - wire gpr_io_wen2; // @[el2_dec.scala 355:19] - wire [4:0] gpr_io_waddr2; // @[el2_dec.scala 355:19] - wire [31:0] gpr_io_wd2; // @[el2_dec.scala 355:19] - wire [31:0] gpr_io_rd0; // @[el2_dec.scala 355:19] - wire [31:0] gpr_io_rd1; // @[el2_dec.scala 355:19] - wire gpr_io_scan_mode; // @[el2_dec.scala 355:19] - wire tlu_clock; // @[el2_dec.scala 356:19] - wire tlu_reset; // @[el2_dec.scala 356:19] - wire tlu_io_active_clk; // @[el2_dec.scala 356:19] - wire tlu_io_free_clk; // @[el2_dec.scala 356:19] - wire tlu_io_scan_mode; // @[el2_dec.scala 356:19] - wire [30:0] tlu_io_rst_vec; // @[el2_dec.scala 356:19] - wire tlu_io_nmi_int; // @[el2_dec.scala 356:19] - wire [30:0] tlu_io_nmi_vec; // @[el2_dec.scala 356:19] - wire tlu_io_i_cpu_halt_req; // @[el2_dec.scala 356:19] - wire tlu_io_i_cpu_run_req; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_fastint_stall_any; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_instr_aligned; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_fetch_stall; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_ic_miss; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_ic_hit; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_bus_error; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_bus_busy; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_pmu_bus_trxn; // @[el2_dec.scala 356:19] - wire tlu_io_dec_pmu_instr_decoded; // @[el2_dec.scala 356:19] - wire tlu_io_dec_pmu_decode_stall; // @[el2_dec.scala 356:19] - wire tlu_io_dec_pmu_presync_stall; // @[el2_dec.scala 356:19] - wire tlu_io_dec_pmu_postsync_stall; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_store_stall_any; // @[el2_dec.scala 356:19] - wire tlu_io_dma_dccm_stall_any; // @[el2_dec.scala 356:19] - wire tlu_io_dma_iccm_stall_any; // @[el2_dec.scala 356:19] - wire tlu_io_exu_pmu_i0_br_misp; // @[el2_dec.scala 356:19] - wire tlu_io_exu_pmu_i0_br_ataken; // @[el2_dec.scala 356:19] - wire tlu_io_exu_pmu_i0_pc4; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_pmu_bus_trxn; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_pmu_bus_error; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_pmu_bus_busy; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_pmu_load_external_m; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_pmu_store_external_m; // @[el2_dec.scala 356:19] - wire tlu_io_dma_pmu_dccm_read; // @[el2_dec.scala 356:19] - wire tlu_io_dma_pmu_dccm_write; // @[el2_dec.scala 356:19] - wire tlu_io_dma_pmu_any_read; // @[el2_dec.scala 356:19] - wire tlu_io_dma_pmu_any_write; // @[el2_dec.scala 356:19] - wire [30:0] tlu_io_lsu_fir_addr; // @[el2_dec.scala 356:19] - wire [1:0] tlu_io_lsu_fir_error; // @[el2_dec.scala 356:19] - wire tlu_io_iccm_dma_sb_error; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_error_pkt_r_valid; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_error_pkt_r_bits_inst_type; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_error_pkt_r_bits_exc_type; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_error_pkt_r_bits_mscause; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_error_pkt_r_bits_addr; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_single_ecc_error_incr; // @[el2_dec.scala 356:19] - wire tlu_io_dec_pause_state; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_imprecise_error_store_any; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_imprecise_error_load_any; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_lsu_imprecise_error_addr_any; // @[el2_dec.scala 356:19] - wire tlu_io_dec_csr_wen_unq_d; // @[el2_dec.scala 356:19] - wire tlu_io_dec_csr_any_unq_d; // @[el2_dec.scala 356:19] - wire [11:0] tlu_io_dec_csr_rdaddr_d; // @[el2_dec.scala 356:19] - wire tlu_io_dec_csr_wen_r; // @[el2_dec.scala 356:19] - wire [11:0] tlu_io_dec_csr_wraddr_r; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_dec_csr_wrdata_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_csr_stall_int_ff; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 356:19] - wire [30:0] tlu_io_exu_npc_r; // @[el2_dec.scala 356:19] - wire [30:0] tlu_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 356:19] - wire [1:0] tlu_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 356:19] - wire [3:0] tlu_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 356:19] - wire [3:0] tlu_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_dec_illegal_inst; // @[el2_dec.scala 356:19] - wire tlu_io_dec_i0_decode_d; // @[el2_dec.scala 356:19] - wire [1:0] tlu_io_exu_i0_br_hist_r; // @[el2_dec.scala 356:19] - wire tlu_io_exu_i0_br_error_r; // @[el2_dec.scala 356:19] - wire tlu_io_exu_i0_br_start_error_r; // @[el2_dec.scala 356:19] - wire tlu_io_exu_i0_br_valid_r; // @[el2_dec.scala 356:19] - wire tlu_io_exu_i0_br_mp_r; // @[el2_dec.scala 356:19] - wire tlu_io_exu_i0_br_middle_r; // @[el2_dec.scala 356:19] - wire tlu_io_exu_i0_br_way_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_dbg_cmd_done; // @[el2_dec.scala 356:19] - wire tlu_io_dec_dbg_cmd_fail; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_dbg_halted; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_debug_mode; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_resume_ack; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_debug_stall; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_noredir_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_mpc_halted_only; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_err_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_extint; // @[el2_dec.scala 356:19] - wire [29:0] tlu_io_dec_tlu_meihap; // @[el2_dec.scala 356:19] - wire tlu_io_dbg_halt_req; // @[el2_dec.scala 356:19] - wire tlu_io_dbg_resume_req; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_miss_state_idle; // @[el2_dec.scala 356:19] - wire tlu_io_lsu_idle_any; // @[el2_dec.scala 356:19] - wire tlu_io_dec_div_active; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_0_store; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_0_load; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_1_store; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_1_load; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_2_store; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_2_load; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_3_store; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_3_load; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 356:19] - wire tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_ic_error_start; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_iccm_rd_ecc_single_err; // @[el2_dec.scala 356:19] - wire [70:0] tlu_io_ifu_ic_debug_rd_data; // @[el2_dec.scala 356:19] - wire tlu_io_ifu_ic_debug_rd_data_valid; // @[el2_dec.scala 356:19] - wire [70:0] tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec.scala 356:19] - wire [16:0] tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec.scala 356:19] - wire [7:0] tlu_io_pic_claimid; // @[el2_dec.scala 356:19] - wire [3:0] tlu_io_pic_pl; // @[el2_dec.scala 356:19] - wire tlu_io_mhwakeup; // @[el2_dec.scala 356:19] - wire tlu_io_mexintpend; // @[el2_dec.scala 356:19] - wire tlu_io_timer_int; // @[el2_dec.scala 356:19] - wire tlu_io_soft_int; // @[el2_dec.scala 356:19] - wire tlu_io_o_cpu_halt_status; // @[el2_dec.scala 356:19] - wire tlu_io_o_cpu_halt_ack; // @[el2_dec.scala 356:19] - wire tlu_io_o_cpu_run_ack; // @[el2_dec.scala 356:19] - wire tlu_io_o_debug_mode_status; // @[el2_dec.scala 356:19] - wire [27:0] tlu_io_core_id; // @[el2_dec.scala 356:19] - wire tlu_io_mpc_debug_halt_req; // @[el2_dec.scala 356:19] - wire tlu_io_mpc_debug_run_req; // @[el2_dec.scala 356:19] - wire tlu_io_mpc_reset_run_req; // @[el2_dec.scala 356:19] - wire tlu_io_mpc_debug_halt_ack; // @[el2_dec.scala 356:19] - wire tlu_io_mpc_debug_run_ack; // @[el2_dec.scala 356:19] - wire tlu_io_debug_brkpt_status; // @[el2_dec.scala 356:19] - wire [3:0] tlu_io_dec_tlu_meicurpl; // @[el2_dec.scala 356:19] - wire [3:0] tlu_io_dec_tlu_meipt; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_dec_csr_rddata_d; // @[el2_dec.scala 356:19] - wire tlu_io_dec_csr_legal_d; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_br0_r_pkt_valid; // @[el2_dec.scala 356:19] - wire [1:0] tlu_io_dec_tlu_br0_r_pkt_bits_hist; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_br0_r_pkt_bits_br_error; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_br0_r_pkt_bits_br_start_error; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_br0_r_pkt_bits_way; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_br0_r_pkt_bits_middle; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_i0_commit_cmt; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 356:19] - wire [30:0] tlu_io_dec_tlu_flush_path_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_fence_i_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_presync_d; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_postsync_d; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_dec_tlu_mrac_ff; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_perfcnt0; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_perfcnt1; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_perfcnt2; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_perfcnt3; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_i0_valid_wb1; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_int_valid_wb1; // @[el2_dec.scala 356:19] - wire [4:0] tlu_io_dec_tlu_exc_cause_wb1; // @[el2_dec.scala 356:19] - wire [31:0] tlu_io_dec_tlu_mtval_wb1; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_external_ldfwd_disable; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_core_ecc_disable; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_bpred_disable; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_wb_coalescing_disable; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 356:19] - wire [2:0] tlu_io_dec_tlu_dma_qos_prty; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_misc_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_dec_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_ifu_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_lsu_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_bus_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_pic_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_dccm_clk_override; // @[el2_dec.scala 356:19] - wire tlu_io_dec_tlu_icm_clk_override; // @[el2_dec.scala 356:19] - wire dec_trigger_io_trigger_pkt_any_0_select; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_0_m; // @[el2_dec.scala 357:27] - wire [31:0] dec_trigger_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_1_select; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_1_m; // @[el2_dec.scala 357:27] - wire [31:0] dec_trigger_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_2_select; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_2_m; // @[el2_dec.scala 357:27] - wire [31:0] dec_trigger_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_3_select; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 357:27] - wire dec_trigger_io_trigger_pkt_any_3_m; // @[el2_dec.scala 357:27] - wire [31:0] dec_trigger_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 357:27] - wire [30:0] dec_trigger_io_dec_i0_pc_d; // @[el2_dec.scala 357:27] - wire [3:0] dec_trigger_io_dec_i0_trigger_match_d; // @[el2_dec.scala 357:27] - wire _T_1 = tlu_io_dec_tlu_i0_valid_wb1 | tlu_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec.scala 709:98] - el2_dec_ib_ctl instbuff ( // @[el2_dec.scala 353:24] + wire instbuff_io_dbg_cmd_valid; // @[el2_dec.scala 285:24] + wire instbuff_io_dbg_cmd_write; // @[el2_dec.scala 285:24] + wire [1:0] instbuff_io_dbg_cmd_type; // @[el2_dec.scala 285:24] + wire [31:0] instbuff_io_dbg_cmd_addr; // @[el2_dec.scala 285:24] + wire instbuff_io_i0_brp_valid; // @[el2_dec.scala 285:24] + wire [11:0] instbuff_io_i0_brp_bits_toffset; // @[el2_dec.scala 285:24] + wire [1:0] instbuff_io_i0_brp_bits_hist; // @[el2_dec.scala 285:24] + wire instbuff_io_i0_brp_bits_br_error; // @[el2_dec.scala 285:24] + wire instbuff_io_i0_brp_bits_br_start_error; // @[el2_dec.scala 285:24] + wire [30:0] instbuff_io_i0_brp_bits_prett; // @[el2_dec.scala 285:24] + wire instbuff_io_i0_brp_bits_way; // @[el2_dec.scala 285:24] + wire instbuff_io_i0_brp_bits_ret; // @[el2_dec.scala 285:24] + wire [7:0] instbuff_io_ifu_i0_bp_index; // @[el2_dec.scala 285:24] + wire [7:0] instbuff_io_ifu_i0_bp_fghr; // @[el2_dec.scala 285:24] + wire [4:0] instbuff_io_ifu_i0_bp_btag; // @[el2_dec.scala 285:24] + wire instbuff_io_ifu_i0_pc4; // @[el2_dec.scala 285:24] + wire instbuff_io_ifu_i0_valid; // @[el2_dec.scala 285:24] + wire instbuff_io_ifu_i0_icaf; // @[el2_dec.scala 285:24] + wire [1:0] instbuff_io_ifu_i0_icaf_type; // @[el2_dec.scala 285:24] + wire instbuff_io_ifu_i0_icaf_f1; // @[el2_dec.scala 285:24] + wire instbuff_io_ifu_i0_dbecc; // @[el2_dec.scala 285:24] + wire [31:0] instbuff_io_ifu_i0_instr; // @[el2_dec.scala 285:24] + wire [30:0] instbuff_io_ifu_i0_pc; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_ib0_valid_d; // @[el2_dec.scala 285:24] + wire [1:0] instbuff_io_dec_i0_icaf_type_d; // @[el2_dec.scala 285:24] + wire [31:0] instbuff_io_dec_i0_instr_d; // @[el2_dec.scala 285:24] + wire [30:0] instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_pc4_d; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_brp_valid; // @[el2_dec.scala 285:24] + wire [11:0] instbuff_io_dec_i0_brp_bits_toffset; // @[el2_dec.scala 285:24] + wire [1:0] instbuff_io_dec_i0_brp_bits_hist; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_brp_bits_br_error; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_brp_bits_br_start_error; // @[el2_dec.scala 285:24] + wire [30:0] instbuff_io_dec_i0_brp_bits_prett; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_brp_bits_way; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_brp_bits_ret; // @[el2_dec.scala 285:24] + wire [7:0] instbuff_io_dec_i0_bp_index; // @[el2_dec.scala 285:24] + wire [7:0] instbuff_io_dec_i0_bp_fghr; // @[el2_dec.scala 285:24] + wire [4:0] instbuff_io_dec_i0_bp_btag; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_icaf_d; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_i0_dbecc_d; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_debug_wdata_rs1_d; // @[el2_dec.scala 285:24] + wire instbuff_io_dec_debug_fence_d; // @[el2_dec.scala 285:24] + wire decode_clock; // @[el2_dec.scala 286:22] + wire decode_reset; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_flush_extint; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_force_halt; // @[el2_dec.scala 286:22] + wire decode_io_dec_extint_stall; // @[el2_dec.scala 286:22] + wire [15:0] decode_io_ifu_i0_cinst; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_i0_inst_wb1; // @[el2_dec.scala 286:22] + wire [30:0] decode_io_dec_i0_pc_wb1; // @[el2_dec.scala 286:22] + wire decode_io_lsu_nonblock_load_valid_m; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_lsu_nonblock_load_tag_m; // @[el2_dec.scala 286:22] + wire decode_io_lsu_nonblock_load_inv_r; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_lsu_nonblock_load_inv_tag_r; // @[el2_dec.scala 286:22] + wire decode_io_lsu_nonblock_load_data_valid; // @[el2_dec.scala 286:22] + wire decode_io_lsu_nonblock_load_data_error; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_lsu_nonblock_load_data_tag; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_lsu_nonblock_load_data; // @[el2_dec.scala 286:22] + wire [3:0] decode_io_dec_i0_trigger_match_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 286:22] + wire [3:0] decode_io_lsu_trigger_match_m; // @[el2_dec.scala 286:22] + wire decode_io_lsu_pmu_misaligned_m; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_debug_stall; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_debug_fence_d; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dbg_cmd_wrdata; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_icaf_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_i0_icaf_type_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_dbecc_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_brp_valid; // @[el2_dec.scala 286:22] + wire [11:0] decode_io_dec_i0_brp_bits_toffset; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_i0_brp_bits_hist; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_brp_bits_br_error; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_brp_bits_br_start_error; // @[el2_dec.scala 286:22] + wire [30:0] decode_io_dec_i0_brp_bits_prett; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_brp_bits_way; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_brp_bits_ret; // @[el2_dec.scala 286:22] + wire [7:0] decode_io_dec_i0_bp_index; // @[el2_dec.scala 286:22] + wire [7:0] decode_io_dec_i0_bp_fghr; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_dec_i0_bp_btag; // @[el2_dec.scala 286:22] + wire decode_io_lsu_idle_any; // @[el2_dec.scala 286:22] + wire decode_io_lsu_load_stall_any; // @[el2_dec.scala 286:22] + wire decode_io_lsu_store_stall_any; // @[el2_dec.scala 286:22] + wire decode_io_dma_dccm_stall_any; // @[el2_dec.scala 286:22] + wire decode_io_exu_div_wren; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_presync_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_postsync_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_pc4_d; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_csr_rddata_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_csr_legal_d; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_exu_csr_rs1_x; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_lsu_result_m; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_lsu_result_corr_r; // @[el2_dec.scala 286:22] + wire decode_io_exu_flush_final; // @[el2_dec.scala 286:22] + wire [30:0] decode_io_exu_i0_pc_x; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_i0_instr_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_ib0_valid_d; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_exu_i0_result_x; // @[el2_dec.scala 286:22] + wire decode_io_free_clk; // @[el2_dec.scala 286:22] + wire decode_io_active_clk; // @[el2_dec.scala 286:22] + wire decode_io_clk_override; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_rs1_en_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_rs2_en_d; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_dec_i0_rs1_d; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_dec_i0_rs2_d; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_i0_immed_d; // @[el2_dec.scala 286:22] + wire [11:0] decode_io_dec_i0_br_immed_d; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_land; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_lor; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_lxor; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_sll; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_srl; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_sra; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_beq; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_bne; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_blt; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_bge; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_add; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_sub; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_slt; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_unsign; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_jal; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_predict_t; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_predict_nt; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_csr_write; // @[el2_dec.scala 286:22] + wire decode_io_i0_ap_csr_imm; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_decode_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_alu_decode_d; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_i0_rs1_bypass_data_d; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_i0_rs2_bypass_data_d; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_dec_i0_waddr_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_wen_r; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_i0_wdata_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_select_pc_d; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_i0_rs1_bypass_en_d; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_i0_rs2_bypass_en_d; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_valid; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_fast_int; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_by; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_half; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_word; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_load; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_store; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_unsign; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_store_data_bypass_d; // @[el2_dec.scala 286:22] + wire decode_io_lsu_p_bits_load_ldst_bypass_d; // @[el2_dec.scala 286:22] + wire decode_io_mul_p_valid; // @[el2_dec.scala 286:22] + wire decode_io_mul_p_bits_rs1_sign; // @[el2_dec.scala 286:22] + wire decode_io_mul_p_bits_rs2_sign; // @[el2_dec.scala 286:22] + wire decode_io_mul_p_bits_low; // @[el2_dec.scala 286:22] + wire decode_io_div_p_valid; // @[el2_dec.scala 286:22] + wire decode_io_div_p_bits_unsign; // @[el2_dec.scala 286:22] + wire decode_io_div_p_bits_rem; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_div_waddr_wb; // @[el2_dec.scala 286:22] + wire decode_io_dec_div_cancel; // @[el2_dec.scala 286:22] + wire decode_io_dec_lsu_valid_raw_d; // @[el2_dec.scala 286:22] + wire [11:0] decode_io_dec_lsu_offset_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_csr_ren_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_csr_wen_unq_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_csr_any_unq_d; // @[el2_dec.scala 286:22] + wire [11:0] decode_io_dec_csr_rdaddr_d; // @[el2_dec.scala 286:22] + wire decode_io_dec_csr_wen_r; // @[el2_dec.scala 286:22] + wire [11:0] decode_io_dec_csr_wraddr_r; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_csr_wrdata_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_csr_stall_int_ff; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 286:22] + wire [3:0] decode_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 286:22] + wire [3:0] decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 286:22] + wire decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 286:22] + wire [30:0] decode_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 286:22] + wire [31:0] decode_io_dec_illegal_inst; // @[el2_dec.scala 286:22] + wire [30:0] decode_io_pred_correct_npc_x; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_valid; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_pc4; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_i0_predict_p_d_bits_hist; // @[el2_dec.scala 286:22] + wire [11:0] decode_io_dec_i0_predict_p_d_bits_toffset; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_br_error; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_br_start_error; // @[el2_dec.scala 286:22] + wire [30:0] decode_io_dec_i0_predict_p_d_bits_prett; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_pcall; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_pret; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_pja; // @[el2_dec.scala 286:22] + wire decode_io_dec_i0_predict_p_d_bits_way; // @[el2_dec.scala 286:22] + wire [7:0] decode_io_i0_predict_fghr_d; // @[el2_dec.scala 286:22] + wire [7:0] decode_io_i0_predict_index_d; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_i0_predict_btag_d; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_data_en; // @[el2_dec.scala 286:22] + wire [1:0] decode_io_dec_ctl_en; // @[el2_dec.scala 286:22] + wire decode_io_dec_pmu_instr_decoded; // @[el2_dec.scala 286:22] + wire decode_io_dec_pmu_decode_stall; // @[el2_dec.scala 286:22] + wire decode_io_dec_pmu_presync_stall; // @[el2_dec.scala 286:22] + wire decode_io_dec_pmu_postsync_stall; // @[el2_dec.scala 286:22] + wire decode_io_dec_nonblock_load_wen; // @[el2_dec.scala 286:22] + wire [4:0] decode_io_dec_nonblock_load_waddr; // @[el2_dec.scala 286:22] + wire decode_io_dec_pause_state; // @[el2_dec.scala 286:22] + wire decode_io_dec_pause_state_cg; // @[el2_dec.scala 286:22] + wire decode_io_dec_div_active; // @[el2_dec.scala 286:22] + wire decode_io_scan_mode; // @[el2_dec.scala 286:22] + wire gpr_clock; // @[el2_dec.scala 287:19] + wire gpr_reset; // @[el2_dec.scala 287:19] + wire [4:0] gpr_io_raddr0; // @[el2_dec.scala 287:19] + wire [4:0] gpr_io_raddr1; // @[el2_dec.scala 287:19] + wire gpr_io_wen0; // @[el2_dec.scala 287:19] + wire [4:0] gpr_io_waddr0; // @[el2_dec.scala 287:19] + wire [31:0] gpr_io_wd0; // @[el2_dec.scala 287:19] + wire gpr_io_wen1; // @[el2_dec.scala 287:19] + wire [4:0] gpr_io_waddr1; // @[el2_dec.scala 287:19] + wire [31:0] gpr_io_wd1; // @[el2_dec.scala 287:19] + wire gpr_io_wen2; // @[el2_dec.scala 287:19] + wire [4:0] gpr_io_waddr2; // @[el2_dec.scala 287:19] + wire [31:0] gpr_io_wd2; // @[el2_dec.scala 287:19] + wire [31:0] gpr_io_rd0; // @[el2_dec.scala 287:19] + wire [31:0] gpr_io_rd1; // @[el2_dec.scala 287:19] + wire gpr_io_scan_mode; // @[el2_dec.scala 287:19] + wire tlu_clock; // @[el2_dec.scala 288:19] + wire tlu_reset; // @[el2_dec.scala 288:19] + wire tlu_io_active_clk; // @[el2_dec.scala 288:19] + wire tlu_io_free_clk; // @[el2_dec.scala 288:19] + wire tlu_io_scan_mode; // @[el2_dec.scala 288:19] + wire [30:0] tlu_io_rst_vec; // @[el2_dec.scala 288:19] + wire tlu_io_nmi_int; // @[el2_dec.scala 288:19] + wire [30:0] tlu_io_nmi_vec; // @[el2_dec.scala 288:19] + wire tlu_io_i_cpu_halt_req; // @[el2_dec.scala 288:19] + wire tlu_io_i_cpu_run_req; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_fastint_stall_any; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_instr_aligned; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_fetch_stall; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_ic_miss; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_ic_hit; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_bus_error; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_bus_busy; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_pmu_bus_trxn; // @[el2_dec.scala 288:19] + wire tlu_io_dec_pmu_instr_decoded; // @[el2_dec.scala 288:19] + wire tlu_io_dec_pmu_decode_stall; // @[el2_dec.scala 288:19] + wire tlu_io_dec_pmu_presync_stall; // @[el2_dec.scala 288:19] + wire tlu_io_dec_pmu_postsync_stall; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_store_stall_any; // @[el2_dec.scala 288:19] + wire tlu_io_dma_dccm_stall_any; // @[el2_dec.scala 288:19] + wire tlu_io_dma_iccm_stall_any; // @[el2_dec.scala 288:19] + wire tlu_io_exu_pmu_i0_br_misp; // @[el2_dec.scala 288:19] + wire tlu_io_exu_pmu_i0_br_ataken; // @[el2_dec.scala 288:19] + wire tlu_io_exu_pmu_i0_pc4; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_pmu_bus_trxn; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_pmu_bus_error; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_pmu_bus_busy; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_pmu_load_external_m; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_pmu_store_external_m; // @[el2_dec.scala 288:19] + wire tlu_io_dma_pmu_dccm_read; // @[el2_dec.scala 288:19] + wire tlu_io_dma_pmu_dccm_write; // @[el2_dec.scala 288:19] + wire tlu_io_dma_pmu_any_read; // @[el2_dec.scala 288:19] + wire tlu_io_dma_pmu_any_write; // @[el2_dec.scala 288:19] + wire [30:0] tlu_io_lsu_fir_addr; // @[el2_dec.scala 288:19] + wire [1:0] tlu_io_lsu_fir_error; // @[el2_dec.scala 288:19] + wire tlu_io_iccm_dma_sb_error; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_error_pkt_r_valid; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_error_pkt_r_bits_inst_type; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_error_pkt_r_bits_exc_type; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_error_pkt_r_bits_mscause; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_error_pkt_r_bits_addr; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_single_ecc_error_incr; // @[el2_dec.scala 288:19] + wire tlu_io_dec_pause_state; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_imprecise_error_store_any; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_imprecise_error_load_any; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_lsu_imprecise_error_addr_any; // @[el2_dec.scala 288:19] + wire tlu_io_dec_csr_wen_unq_d; // @[el2_dec.scala 288:19] + wire tlu_io_dec_csr_any_unq_d; // @[el2_dec.scala 288:19] + wire [11:0] tlu_io_dec_csr_rdaddr_d; // @[el2_dec.scala 288:19] + wire tlu_io_dec_csr_wen_r; // @[el2_dec.scala 288:19] + wire [11:0] tlu_io_dec_csr_wraddr_r; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_dec_csr_wrdata_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_csr_stall_int_ff; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 288:19] + wire [30:0] tlu_io_exu_npc_r; // @[el2_dec.scala 288:19] + wire [30:0] tlu_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 288:19] + wire [1:0] tlu_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 288:19] + wire [3:0] tlu_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 288:19] + wire [3:0] tlu_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_dec_illegal_inst; // @[el2_dec.scala 288:19] + wire tlu_io_dec_i0_decode_d; // @[el2_dec.scala 288:19] + wire [1:0] tlu_io_exu_i0_br_hist_r; // @[el2_dec.scala 288:19] + wire tlu_io_exu_i0_br_error_r; // @[el2_dec.scala 288:19] + wire tlu_io_exu_i0_br_start_error_r; // @[el2_dec.scala 288:19] + wire tlu_io_exu_i0_br_valid_r; // @[el2_dec.scala 288:19] + wire tlu_io_exu_i0_br_mp_r; // @[el2_dec.scala 288:19] + wire tlu_io_exu_i0_br_middle_r; // @[el2_dec.scala 288:19] + wire tlu_io_exu_i0_br_way_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_dbg_cmd_done; // @[el2_dec.scala 288:19] + wire tlu_io_dec_dbg_cmd_fail; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_dbg_halted; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_debug_mode; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_resume_ack; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_debug_stall; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_noredir_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_mpc_halted_only; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_err_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_extint; // @[el2_dec.scala 288:19] + wire [29:0] tlu_io_dec_tlu_meihap; // @[el2_dec.scala 288:19] + wire tlu_io_dbg_halt_req; // @[el2_dec.scala 288:19] + wire tlu_io_dbg_resume_req; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_miss_state_idle; // @[el2_dec.scala 288:19] + wire tlu_io_lsu_idle_any; // @[el2_dec.scala 288:19] + wire tlu_io_dec_div_active; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_0_store; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_0_load; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_1_store; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_1_load; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_2_store; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_2_load; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_3_store; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_3_load; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 288:19] + wire tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_ic_error_start; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_iccm_rd_ecc_single_err; // @[el2_dec.scala 288:19] + wire [70:0] tlu_io_ifu_ic_debug_rd_data; // @[el2_dec.scala 288:19] + wire tlu_io_ifu_ic_debug_rd_data_valid; // @[el2_dec.scala 288:19] + wire [70:0] tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec.scala 288:19] + wire [16:0] tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec.scala 288:19] + wire [7:0] tlu_io_pic_claimid; // @[el2_dec.scala 288:19] + wire [3:0] tlu_io_pic_pl; // @[el2_dec.scala 288:19] + wire tlu_io_mhwakeup; // @[el2_dec.scala 288:19] + wire tlu_io_mexintpend; // @[el2_dec.scala 288:19] + wire tlu_io_timer_int; // @[el2_dec.scala 288:19] + wire tlu_io_soft_int; // @[el2_dec.scala 288:19] + wire tlu_io_o_cpu_halt_status; // @[el2_dec.scala 288:19] + wire tlu_io_o_cpu_halt_ack; // @[el2_dec.scala 288:19] + wire tlu_io_o_cpu_run_ack; // @[el2_dec.scala 288:19] + wire tlu_io_o_debug_mode_status; // @[el2_dec.scala 288:19] + wire [27:0] tlu_io_core_id; // @[el2_dec.scala 288:19] + wire tlu_io_mpc_debug_halt_req; // @[el2_dec.scala 288:19] + wire tlu_io_mpc_debug_run_req; // @[el2_dec.scala 288:19] + wire tlu_io_mpc_reset_run_req; // @[el2_dec.scala 288:19] + wire tlu_io_mpc_debug_halt_ack; // @[el2_dec.scala 288:19] + wire tlu_io_mpc_debug_run_ack; // @[el2_dec.scala 288:19] + wire tlu_io_debug_brkpt_status; // @[el2_dec.scala 288:19] + wire [3:0] tlu_io_dec_tlu_meicurpl; // @[el2_dec.scala 288:19] + wire [3:0] tlu_io_dec_tlu_meipt; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_dec_csr_rddata_d; // @[el2_dec.scala 288:19] + wire tlu_io_dec_csr_legal_d; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_br0_r_pkt_valid; // @[el2_dec.scala 288:19] + wire [1:0] tlu_io_dec_tlu_br0_r_pkt_bits_hist; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_br0_r_pkt_bits_br_error; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_br0_r_pkt_bits_br_start_error; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_br0_r_pkt_bits_way; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_br0_r_pkt_bits_middle; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_i0_commit_cmt; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 288:19] + wire [30:0] tlu_io_dec_tlu_flush_path_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_fence_i_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_presync_d; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_postsync_d; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_dec_tlu_mrac_ff; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_perfcnt0; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_perfcnt1; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_perfcnt2; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_perfcnt3; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_i0_valid_wb1; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_int_valid_wb1; // @[el2_dec.scala 288:19] + wire [4:0] tlu_io_dec_tlu_exc_cause_wb1; // @[el2_dec.scala 288:19] + wire [31:0] tlu_io_dec_tlu_mtval_wb1; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_external_ldfwd_disable; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_core_ecc_disable; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_bpred_disable; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_wb_coalescing_disable; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 288:19] + wire [2:0] tlu_io_dec_tlu_dma_qos_prty; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_misc_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_dec_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_ifu_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_lsu_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_bus_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_pic_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_dccm_clk_override; // @[el2_dec.scala 288:19] + wire tlu_io_dec_tlu_icm_clk_override; // @[el2_dec.scala 288:19] + wire dec_trigger_io_trigger_pkt_any_0_select; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_0_m; // @[el2_dec.scala 289:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_1_select; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_1_m; // @[el2_dec.scala 289:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_2_select; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_2_m; // @[el2_dec.scala 289:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_3_select; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 289:27] + wire dec_trigger_io_trigger_pkt_any_3_m; // @[el2_dec.scala 289:27] + wire [31:0] dec_trigger_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 289:27] + wire [30:0] dec_trigger_io_dec_i0_pc_d; // @[el2_dec.scala 289:27] + wire [3:0] dec_trigger_io_dec_i0_trigger_match_d; // @[el2_dec.scala 289:27] + wire _T_1 = tlu_io_dec_tlu_i0_valid_wb1 | tlu_io_dec_tlu_i0_exc_valid_wb1; // @[el2_dec.scala 592:98] + el2_dec_ib_ctl instbuff ( // @[el2_dec.scala 285:24] .io_dbg_cmd_valid(instbuff_io_dbg_cmd_valid), .io_dbg_cmd_write(instbuff_io_dbg_cmd_write), .io_dbg_cmd_type(instbuff_io_dbg_cmd_type), @@ -13463,6 +13468,7 @@ module el2_dec( .io_ifu_i0_bp_index(instbuff_io_ifu_i0_bp_index), .io_ifu_i0_bp_fghr(instbuff_io_ifu_i0_bp_fghr), .io_ifu_i0_bp_btag(instbuff_io_ifu_i0_bp_btag), + .io_ifu_i0_pc4(instbuff_io_ifu_i0_pc4), .io_ifu_i0_valid(instbuff_io_ifu_i0_valid), .io_ifu_i0_icaf(instbuff_io_ifu_i0_icaf), .io_ifu_i0_icaf_type(instbuff_io_ifu_i0_icaf_type), @@ -13474,6 +13480,7 @@ module el2_dec( .io_dec_i0_icaf_type_d(instbuff_io_dec_i0_icaf_type_d), .io_dec_i0_instr_d(instbuff_io_dec_i0_instr_d), .io_dec_i0_pc_d(instbuff_io_dec_i0_pc_d), + .io_dec_i0_pc4_d(instbuff_io_dec_i0_pc4_d), .io_dec_i0_brp_valid(instbuff_io_dec_i0_brp_valid), .io_dec_i0_brp_bits_toffset(instbuff_io_dec_i0_brp_bits_toffset), .io_dec_i0_brp_bits_hist(instbuff_io_dec_i0_brp_bits_hist), @@ -13491,7 +13498,7 @@ module el2_dec( .io_dec_debug_wdata_rs1_d(instbuff_io_dec_debug_wdata_rs1_d), .io_dec_debug_fence_d(instbuff_io_dec_debug_fence_d) ); - el2_dec_decode_ctl decode ( // @[el2_dec.scala 354:22] + el2_dec_decode_ctl decode ( // @[el2_dec.scala 286:22] .clock(decode_clock), .reset(decode_reset), .io_dec_tlu_flush_extint(decode_io_dec_tlu_flush_extint), @@ -13663,7 +13670,7 @@ module el2_dec( .io_dec_div_active(decode_io_dec_div_active), .io_scan_mode(decode_io_scan_mode) ); - el2_dec_gpr_ctl gpr ( // @[el2_dec.scala 355:19] + el2_dec_gpr_ctl gpr ( // @[el2_dec.scala 287:19] .clock(gpr_clock), .reset(gpr_reset), .io_raddr0(gpr_io_raddr0), @@ -13681,7 +13688,7 @@ module el2_dec( .io_rd1(gpr_io_rd1), .io_scan_mode(gpr_io_scan_mode) ); - el2_dec_tlu_ctl tlu ( // @[el2_dec.scala 356:19] + el2_dec_tlu_ctl tlu ( // @[el2_dec.scala 288:19] .clock(tlu_clock), .reset(tlu_reset), .io_active_clk(tlu_io_active_clk), @@ -13881,7 +13888,7 @@ module el2_dec( .io_dec_tlu_dccm_clk_override(tlu_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(tlu_io_dec_tlu_icm_clk_override) ); - el2_dec_trigger dec_trigger ( // @[el2_dec.scala 357:27] + el2_dec_trigger dec_trigger ( // @[el2_dec.scala 289:27] .io_trigger_pkt_any_0_select(dec_trigger_io_trigger_pkt_any_0_select), .io_trigger_pkt_any_0_match_(dec_trigger_io_trigger_pkt_any_0_match_), .io_trigger_pkt_any_0_execute(dec_trigger_io_trigger_pkt_any_0_execute), @@ -13905,409 +13912,410 @@ module el2_dec( .io_dec_i0_pc_d(dec_trigger_io_dec_i0_pc_d), .io_dec_i0_trigger_match_d(dec_trigger_io_dec_i0_trigger_match_d) ); - assign io_dec_extint_stall = decode_io_dec_extint_stall; // @[el2_dec.scala 469:40] - assign io_dec_i0_decode_d = decode_io_dec_i0_decode_d; // @[el2_dec.scala 479:40] - assign io_dec_pause_state_cg = decode_io_dec_pause_state_cg; // @[el2_dec.scala 522:40] - assign io_o_cpu_halt_status = tlu_io_o_cpu_halt_status; // @[el2_dec.scala 655:29] - assign io_o_cpu_halt_ack = tlu_io_o_cpu_halt_ack; // @[el2_dec.scala 656:29] - assign io_o_cpu_run_ack = tlu_io_o_cpu_run_ack; // @[el2_dec.scala 657:29] - assign io_o_debug_mode_status = tlu_io_o_debug_mode_status; // @[el2_dec.scala 658:29] - assign io_mpc_debug_halt_ack = tlu_io_mpc_debug_halt_ack; // @[el2_dec.scala 659:29] - assign io_mpc_debug_run_ack = tlu_io_mpc_debug_run_ack; // @[el2_dec.scala 660:29] - assign io_debug_brkpt_status = tlu_io_debug_brkpt_status; // @[el2_dec.scala 661:29] - assign io_dec_tlu_meicurpl = tlu_io_dec_tlu_meicurpl; // @[el2_dec.scala 662:29] - assign io_dec_tlu_meipt = tlu_io_dec_tlu_meipt; // @[el2_dec.scala 663:29] - assign io_dec_tlu_ic_diag_pkt_icache_wrdata = tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec.scala 654:29] - assign io_dec_tlu_ic_diag_pkt_icache_dicawics = tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec.scala 654:29] - assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec.scala 654:29] - assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec.scala 654:29] - assign io_dec_tlu_dbg_halted = tlu_io_dec_tlu_dbg_halted; // @[el2_dec.scala 643:28] - assign io_dec_tlu_debug_mode = tlu_io_dec_tlu_debug_mode; // @[el2_dec.scala 644:28] - assign io_dec_tlu_resume_ack = tlu_io_dec_tlu_resume_ack; // @[el2_dec.scala 645:28] - assign io_dec_tlu_flush_noredir_r = tlu_io_dec_tlu_flush_noredir_r; // @[el2_dec.scala 647:34] - assign io_dec_tlu_mpc_halted_only = tlu_io_dec_tlu_mpc_halted_only; // @[el2_dec.scala 648:34] - assign io_dec_tlu_flush_leak_one_r = tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 649:34] - assign io_dec_tlu_flush_err_r = tlu_io_dec_tlu_flush_err_r; // @[el2_dec.scala 650:34] - assign io_dec_tlu_meihap = {{2'd0}, tlu_io_dec_tlu_meihap}; // @[el2_dec.scala 652:29] - assign io_dec_debug_wdata_rs1_d = instbuff_io_dec_debug_wdata_rs1_d; // @[el2_dec.scala 393:38] - assign io_dec_dbg_rddata = decode_io_dec_i0_wdata_r; // @[el2_dec.scala 717:21] - assign io_dec_dbg_cmd_done = tlu_io_dec_dbg_cmd_done; // @[el2_dec.scala 641:28] - assign io_dec_dbg_cmd_fail = tlu_io_dec_dbg_cmd_fail; // @[el2_dec.scala 642:28] - assign io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_0_match_ = tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_0_store = tlu_io_trigger_pkt_any_0_store; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_0_load = tlu_io_trigger_pkt_any_0_load; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_match_ = tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_store = tlu_io_trigger_pkt_any_1_store; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_load = tlu_io_trigger_pkt_any_1_load; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_match_ = tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_store = tlu_io_trigger_pkt_any_2_store; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_load = tlu_io_trigger_pkt_any_2_load; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_match_ = tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_store = tlu_io_trigger_pkt_any_3_store; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_load = tlu_io_trigger_pkt_any_3_load; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 653:29] - assign io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 653:29] - assign io_dec_tlu_force_halt = tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 679:29] - assign io_dec_i0_rs1_en_d = decode_io_dec_i0_rs1_en_d; // @[el2_dec.scala 472:40] - assign io_dec_i0_rs2_en_d = decode_io_dec_i0_rs2_en_d; // @[el2_dec.scala 473:40] - assign io_gpr_i0_rs1_d = gpr_io_rd0; // @[el2_dec.scala 545:19] - assign io_gpr_i0_rs2_d = gpr_io_rd1; // @[el2_dec.scala 546:19] - assign io_dec_i0_immed_d = decode_io_dec_i0_immed_d; // @[el2_dec.scala 476:40] - assign io_dec_i0_br_immed_d = {{1'd0}, decode_io_dec_i0_br_immed_d}; // @[el2_dec.scala 477:40] - assign io_i0_ap_land = decode_io_i0_ap_land; // @[el2_dec.scala 478:40] - assign io_i0_ap_lor = decode_io_i0_ap_lor; // @[el2_dec.scala 478:40] - assign io_i0_ap_lxor = decode_io_i0_ap_lxor; // @[el2_dec.scala 478:40] - assign io_i0_ap_sll = decode_io_i0_ap_sll; // @[el2_dec.scala 478:40] - assign io_i0_ap_srl = decode_io_i0_ap_srl; // @[el2_dec.scala 478:40] - assign io_i0_ap_sra = decode_io_i0_ap_sra; // @[el2_dec.scala 478:40] - assign io_i0_ap_beq = decode_io_i0_ap_beq; // @[el2_dec.scala 478:40] - assign io_i0_ap_bne = decode_io_i0_ap_bne; // @[el2_dec.scala 478:40] - assign io_i0_ap_blt = decode_io_i0_ap_blt; // @[el2_dec.scala 478:40] - assign io_i0_ap_bge = decode_io_i0_ap_bge; // @[el2_dec.scala 478:40] - assign io_i0_ap_add = decode_io_i0_ap_add; // @[el2_dec.scala 478:40] - assign io_i0_ap_sub = decode_io_i0_ap_sub; // @[el2_dec.scala 478:40] - assign io_i0_ap_slt = decode_io_i0_ap_slt; // @[el2_dec.scala 478:40] - assign io_i0_ap_unsign = decode_io_i0_ap_unsign; // @[el2_dec.scala 478:40] - assign io_i0_ap_jal = decode_io_i0_ap_jal; // @[el2_dec.scala 478:40] - assign io_i0_ap_predict_t = decode_io_i0_ap_predict_t; // @[el2_dec.scala 478:40] - assign io_i0_ap_predict_nt = decode_io_i0_ap_predict_nt; // @[el2_dec.scala 478:40] - assign io_i0_ap_csr_write = decode_io_i0_ap_csr_write; // @[el2_dec.scala 478:40] - assign io_i0_ap_csr_imm = decode_io_i0_ap_csr_imm; // @[el2_dec.scala 478:40] - assign io_dec_i0_alu_decode_d = decode_io_dec_i0_alu_decode_d; // @[el2_dec.scala 480:40] - assign io_dec_i0_select_pc_d = decode_io_dec_i0_select_pc_d; // @[el2_dec.scala 486:40] - assign io_dec_i0_pc_d = 32'h0; // @[el2_dec.scala 273:18] - assign io_dec_i0_rs1_bypass_en_d = decode_io_dec_i0_rs1_bypass_en_d; // @[el2_dec.scala 487:40] - assign io_dec_i0_rs2_bypass_en_d = decode_io_dec_i0_rs2_bypass_en_d; // @[el2_dec.scala 488:40] - assign io_dec_i0_rs1_bypass_data_d = decode_io_dec_i0_rs1_bypass_data_d; // @[el2_dec.scala 481:40] - assign io_dec_i0_rs2_bypass_data_d = decode_io_dec_i0_rs2_bypass_data_d; // @[el2_dec.scala 482:40] - assign io_lsu_p_valid = decode_io_lsu_p_valid; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_fast_int = decode_io_lsu_p_bits_fast_int; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_by = decode_io_lsu_p_bits_by; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_half = decode_io_lsu_p_bits_half; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_word = decode_io_lsu_p_bits_word; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_dword = 1'h0; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_load = decode_io_lsu_p_bits_load; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_store = decode_io_lsu_p_bits_store; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_unsign = decode_io_lsu_p_bits_unsign; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_dma = 1'h0; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_store_data_bypass_d = decode_io_lsu_p_bits_store_data_bypass_d; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_load_ldst_bypass_d = decode_io_lsu_p_bits_load_ldst_bypass_d; // @[el2_dec.scala 489:40] - assign io_lsu_p_bits_store_data_bypass_m = 1'h0; // @[el2_dec.scala 489:40] - assign io_mul_p_valid = decode_io_mul_p_valid; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_rs1_sign = decode_io_mul_p_bits_rs1_sign; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_rs2_sign = decode_io_mul_p_bits_rs2_sign; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_low = decode_io_mul_p_bits_low; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_bext = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_bdep = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_clmul = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_clmulh = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_clmulr = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_grev = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_shfl = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_unshfl = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_crc32_b = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_crc32_h = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_crc32_w = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_crc32c_b = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_crc32c_h = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_crc32c_w = 1'h0; // @[el2_dec.scala 490:40] - assign io_mul_p_bits_bfp = 1'h0; // @[el2_dec.scala 490:40] - assign io_div_p_valid = decode_io_div_p_valid; // @[el2_dec.scala 491:40] - assign io_div_p_bits_unsign = decode_io_div_p_bits_unsign; // @[el2_dec.scala 491:40] - assign io_div_p_bits_rem = decode_io_div_p_bits_rem; // @[el2_dec.scala 491:40] - assign io_dec_div_cancel = decode_io_dec_div_cancel; // @[el2_dec.scala 493:40] - assign io_dec_lsu_offset_d = decode_io_dec_lsu_offset_d; // @[el2_dec.scala 495:40] - assign io_dec_csr_ren_d = decode_io_dec_csr_ren_d; // @[el2_dec.scala 496:40] - assign io_dec_tlu_flush_lower_r = tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 671:34] - assign io_dec_tlu_flush_path_r = {{1'd0}, tlu_io_dec_tlu_flush_path_r}; // @[el2_dec.scala 672:34] - assign io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 670:34] - assign io_dec_tlu_fence_i_r = tlu_io_dec_tlu_fence_i_r; // @[el2_dec.scala 673:34] - assign io_pred_correct_npc_x = {{1'd0}, decode_io_pred_correct_npc_x}; // @[el2_dec.scala 508:40] - assign io_dec_tlu_br0_r_pkt_valid = tlu_io_dec_tlu_br0_r_pkt_valid; // @[el2_dec.scala 666:42] - assign io_dec_tlu_br0_r_pkt_bits_hist = tlu_io_dec_tlu_br0_r_pkt_bits_hist; // @[el2_dec.scala 666:42] - assign io_dec_tlu_br0_r_pkt_bits_br_error = tlu_io_dec_tlu_br0_r_pkt_bits_br_error; // @[el2_dec.scala 666:42] - assign io_dec_tlu_br0_r_pkt_bits_br_start_error = tlu_io_dec_tlu_br0_r_pkt_bits_br_start_error; // @[el2_dec.scala 666:42] - assign io_dec_tlu_br0_r_pkt_bits_way = tlu_io_dec_tlu_br0_r_pkt_bits_way; // @[el2_dec.scala 666:42] - assign io_dec_tlu_br0_r_pkt_bits_middle = tlu_io_dec_tlu_br0_r_pkt_bits_middle; // @[el2_dec.scala 666:42] - assign io_dec_tlu_perfcnt0 = tlu_io_dec_tlu_perfcnt0; // @[el2_dec.scala 680:29] - assign io_dec_tlu_perfcnt1 = tlu_io_dec_tlu_perfcnt1; // @[el2_dec.scala 681:29] - assign io_dec_tlu_perfcnt2 = tlu_io_dec_tlu_perfcnt2; // @[el2_dec.scala 682:29] - assign io_dec_tlu_perfcnt3 = tlu_io_dec_tlu_perfcnt3; // @[el2_dec.scala 683:29] - assign io_dec_i0_predict_p_d_valid = decode_io_dec_i0_predict_p_d_valid; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_misp = 1'h0; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_ataken = 1'h0; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_boffset = 1'h0; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_pc4 = decode_io_dec_i0_predict_p_d_bits_pc4; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_hist = decode_io_dec_i0_predict_p_d_bits_hist; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_toffset = decode_io_dec_i0_predict_p_d_bits_toffset; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_br_error = decode_io_dec_i0_predict_p_d_bits_br_error; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_br_start_error = decode_io_dec_i0_predict_p_d_bits_br_start_error; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_prett = decode_io_dec_i0_predict_p_d_bits_prett; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_pcall = decode_io_dec_i0_predict_p_d_bits_pcall; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_pret = decode_io_dec_i0_predict_p_d_bits_pret; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_pja = decode_io_dec_i0_predict_p_d_bits_pja; // @[el2_dec.scala 509:40] - assign io_dec_i0_predict_p_d_bits_way = decode_io_dec_i0_predict_p_d_bits_way; // @[el2_dec.scala 509:40] - assign io_i0_predict_fghr_d = decode_io_i0_predict_fghr_d; // @[el2_dec.scala 510:40] - assign io_i0_predict_index_d = {{1'd0}, decode_io_i0_predict_index_d}; // @[el2_dec.scala 511:40] - assign io_i0_predict_btag_d = decode_io_i0_predict_btag_d; // @[el2_dec.scala 512:40] - assign io_dec_lsu_valid_raw_d = decode_io_dec_lsu_valid_raw_d; // @[el2_dec.scala 494:40] - assign io_dec_tlu_mrac_ff = tlu_io_dec_tlu_mrac_ff; // @[el2_dec.scala 678:29] - assign io_dec_data_en = decode_io_dec_data_en; // @[el2_dec.scala 513:40] - assign io_dec_ctl_en = decode_io_dec_ctl_en; // @[el2_dec.scala 514:40] - assign io_rv_trace_pkt_rv_i_valid_ip = {tlu_io_dec_tlu_int_valid_wb1,_T_1}; // @[el2_dec.scala 709:33] - assign io_rv_trace_pkt_rv_i_insn_ip = decode_io_dec_i0_inst_wb1; // @[el2_dec.scala 707:32] - assign io_rv_trace_pkt_rv_i_address_ip = {decode_io_dec_i0_pc_wb1,1'h0}; // @[el2_dec.scala 708:35] - assign io_rv_trace_pkt_rv_i_exception_ip = {tlu_io_dec_tlu_int_valid_wb1,tlu_io_dec_tlu_i0_exc_valid_wb1}; // @[el2_dec.scala 710:37] - assign io_rv_trace_pkt_rv_i_ecause_ip = tlu_io_dec_tlu_exc_cause_wb1; // @[el2_dec.scala 711:34] - assign io_rv_trace_pkt_rv_i_interrupt_ip = {tlu_io_dec_tlu_int_valid_wb1,1'h0}; // @[el2_dec.scala 712:37] - assign io_rv_trace_pkt_rv_i_tval_ip = tlu_io_dec_tlu_mtval_wb1; // @[el2_dec.scala 713:32] - assign io_dec_tlu_external_ldfwd_disable = tlu_io_dec_tlu_external_ldfwd_disable; // @[el2_dec.scala 689:43] - assign io_dec_tlu_sideeffect_posted_disable = tlu_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec.scala 690:43] - assign io_dec_tlu_core_ecc_disable = tlu_io_dec_tlu_core_ecc_disable; // @[el2_dec.scala 691:43] - assign io_dec_tlu_bpred_disable = tlu_io_dec_tlu_bpred_disable; // @[el2_dec.scala 692:43] - assign io_dec_tlu_wb_coalescing_disable = tlu_io_dec_tlu_wb_coalescing_disable; // @[el2_dec.scala 693:43] - assign io_dec_tlu_dma_qos_prty = tlu_io_dec_tlu_dma_qos_prty; // @[el2_dec.scala 695:35] - assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[el2_dec.scala 696:35] - assign io_dec_tlu_ifu_clk_override = tlu_io_dec_tlu_ifu_clk_override; // @[el2_dec.scala 698:36] - assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[el2_dec.scala 699:36] - assign io_dec_tlu_bus_clk_override = tlu_io_dec_tlu_bus_clk_override; // @[el2_dec.scala 700:36] - assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[el2_dec.scala 701:36] - assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[el2_dec.scala 702:36] - assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[el2_dec.scala 703:36] - assign io_dec_tlu_i0_commit_cmt = tlu_io_dec_tlu_i0_commit_cmt; // @[el2_dec.scala 669:34] - assign instbuff_io_dbg_cmd_valid = io_dbg_cmd_valid; // @[el2_dec.scala 364:45] - assign instbuff_io_dbg_cmd_write = io_dbg_cmd_write; // @[el2_dec.scala 365:45] - assign instbuff_io_dbg_cmd_type = io_dbg_cmd_type; // @[el2_dec.scala 366:45] - assign instbuff_io_dbg_cmd_addr = io_dbg_cmd_addr; // @[el2_dec.scala 367:45] - assign instbuff_io_i0_brp_valid = io_i0_brp_valid; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_toffset = io_i0_brp_bits_toffset; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_hist = io_i0_brp_bits_hist; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_br_error = io_i0_brp_bits_br_error; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_br_start_error = io_i0_brp_bits_br_start_error; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_prett = io_i0_brp_bits_prett; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_way = io_i0_brp_bits_way; // @[el2_dec.scala 368:55] - assign instbuff_io_i0_brp_bits_ret = io_i0_brp_bits_ret; // @[el2_dec.scala 368:55] - assign instbuff_io_ifu_i0_bp_index = io_ifu_i0_bp_index[7:0]; // @[el2_dec.scala 369:35] - assign instbuff_io_ifu_i0_bp_fghr = io_ifu_i0_bp_fghr; // @[el2_dec.scala 370:35] - assign instbuff_io_ifu_i0_bp_btag = io_ifu_i0_bp_btag; // @[el2_dec.scala 371:35] - assign instbuff_io_ifu_i0_valid = io_ifu_i0_valid; // @[el2_dec.scala 373:35] - assign instbuff_io_ifu_i0_icaf = io_ifu_i0_icaf; // @[el2_dec.scala 374:35] - assign instbuff_io_ifu_i0_icaf_type = io_ifu_i0_icaf_type; // @[el2_dec.scala 375:35] - assign instbuff_io_ifu_i0_icaf_f1 = io_ifu_i0_icaf_f1; // @[el2_dec.scala 376:35] - assign instbuff_io_ifu_i0_dbecc = io_ifu_i0_dbecc; // @[el2_dec.scala 377:35] - assign instbuff_io_ifu_i0_instr = io_ifu_i0_instr; // @[el2_dec.scala 378:35] - assign instbuff_io_ifu_i0_pc = io_ifu_i0_pc[30:0]; // @[el2_dec.scala 379:35] + assign io_dec_extint_stall = decode_io_dec_extint_stall; // @[el2_dec.scala 389:40] + assign io_dec_i0_decode_d = decode_io_dec_i0_decode_d; // @[el2_dec.scala 397:40] + assign io_dec_pause_state_cg = decode_io_dec_pause_state_cg; // @[el2_dec.scala 418:40] + assign io_o_cpu_halt_status = tlu_io_o_cpu_halt_status; // @[el2_dec.scala 548:29] + assign io_o_cpu_halt_ack = tlu_io_o_cpu_halt_ack; // @[el2_dec.scala 549:29] + assign io_o_cpu_run_ack = tlu_io_o_cpu_run_ack; // @[el2_dec.scala 550:29] + assign io_o_debug_mode_status = tlu_io_o_debug_mode_status; // @[el2_dec.scala 551:29] + assign io_mpc_debug_halt_ack = tlu_io_mpc_debug_halt_ack; // @[el2_dec.scala 552:29] + assign io_mpc_debug_run_ack = tlu_io_mpc_debug_run_ack; // @[el2_dec.scala 553:29] + assign io_debug_brkpt_status = tlu_io_debug_brkpt_status; // @[el2_dec.scala 554:29] + assign io_dec_tlu_meicurpl = tlu_io_dec_tlu_meicurpl; // @[el2_dec.scala 555:29] + assign io_dec_tlu_meipt = tlu_io_dec_tlu_meipt; // @[el2_dec.scala 556:29] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = tlu_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_dec.scala 547:29] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = tlu_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[el2_dec.scala 547:29] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = tlu_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_dec.scala 547:29] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = tlu_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_dec.scala 547:29] + assign io_dec_tlu_dbg_halted = tlu_io_dec_tlu_dbg_halted; // @[el2_dec.scala 538:28] + assign io_dec_tlu_debug_mode = tlu_io_dec_tlu_debug_mode; // @[el2_dec.scala 539:28] + assign io_dec_tlu_resume_ack = tlu_io_dec_tlu_resume_ack; // @[el2_dec.scala 540:28] + assign io_dec_tlu_flush_noredir_r = tlu_io_dec_tlu_flush_noredir_r; // @[el2_dec.scala 541:34] + assign io_dec_tlu_mpc_halted_only = tlu_io_dec_tlu_mpc_halted_only; // @[el2_dec.scala 542:34] + assign io_dec_tlu_flush_leak_one_r = tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 543:34] + assign io_dec_tlu_flush_err_r = tlu_io_dec_tlu_flush_err_r; // @[el2_dec.scala 544:34] + assign io_dec_tlu_meihap = tlu_io_dec_tlu_meihap; // @[el2_dec.scala 545:29] + assign io_dec_debug_wdata_rs1_d = instbuff_io_dec_debug_wdata_rs1_d; // @[el2_dec.scala 314:38] + assign io_dec_dbg_rddata = decode_io_dec_i0_wdata_r; // @[el2_dec.scala 600:21] + assign io_dec_dbg_cmd_done = tlu_io_dec_dbg_cmd_done; // @[el2_dec.scala 536:28] + assign io_dec_dbg_cmd_fail = tlu_io_dec_dbg_cmd_fail; // @[el2_dec.scala 537:28] + assign io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_0_match_ = tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_0_store = tlu_io_trigger_pkt_any_0_store; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_0_load = tlu_io_trigger_pkt_any_0_load; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_match_ = tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_store = tlu_io_trigger_pkt_any_1_store; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_load = tlu_io_trigger_pkt_any_1_load; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_match_ = tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_store = tlu_io_trigger_pkt_any_2_store; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_load = tlu_io_trigger_pkt_any_2_load; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_match_ = tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_store = tlu_io_trigger_pkt_any_3_store; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_load = tlu_io_trigger_pkt_any_3_load; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 546:29] + assign io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 546:29] + assign io_dec_tlu_force_halt = tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 564:29] + assign io_dec_i0_rs1_en_d = decode_io_dec_i0_rs1_en_d; // @[el2_dec.scala 392:40] + assign io_dec_i0_rs2_en_d = decode_io_dec_i0_rs2_en_d; // @[el2_dec.scala 393:40] + assign io_gpr_i0_rs1_d = gpr_io_rd0; // @[el2_dec.scala 440:19] + assign io_gpr_i0_rs2_d = gpr_io_rd1; // @[el2_dec.scala 441:19] + assign io_dec_i0_immed_d = decode_io_dec_i0_immed_d; // @[el2_dec.scala 394:40] + assign io_dec_i0_br_immed_d = decode_io_dec_i0_br_immed_d; // @[el2_dec.scala 395:40] + assign io_i0_ap_land = decode_io_i0_ap_land; // @[el2_dec.scala 396:40] + assign io_i0_ap_lor = decode_io_i0_ap_lor; // @[el2_dec.scala 396:40] + assign io_i0_ap_lxor = decode_io_i0_ap_lxor; // @[el2_dec.scala 396:40] + assign io_i0_ap_sll = decode_io_i0_ap_sll; // @[el2_dec.scala 396:40] + assign io_i0_ap_srl = decode_io_i0_ap_srl; // @[el2_dec.scala 396:40] + assign io_i0_ap_sra = decode_io_i0_ap_sra; // @[el2_dec.scala 396:40] + assign io_i0_ap_beq = decode_io_i0_ap_beq; // @[el2_dec.scala 396:40] + assign io_i0_ap_bne = decode_io_i0_ap_bne; // @[el2_dec.scala 396:40] + assign io_i0_ap_blt = decode_io_i0_ap_blt; // @[el2_dec.scala 396:40] + assign io_i0_ap_bge = decode_io_i0_ap_bge; // @[el2_dec.scala 396:40] + assign io_i0_ap_add = decode_io_i0_ap_add; // @[el2_dec.scala 396:40] + assign io_i0_ap_sub = decode_io_i0_ap_sub; // @[el2_dec.scala 396:40] + assign io_i0_ap_slt = decode_io_i0_ap_slt; // @[el2_dec.scala 396:40] + assign io_i0_ap_unsign = decode_io_i0_ap_unsign; // @[el2_dec.scala 396:40] + assign io_i0_ap_jal = decode_io_i0_ap_jal; // @[el2_dec.scala 396:40] + assign io_i0_ap_predict_t = decode_io_i0_ap_predict_t; // @[el2_dec.scala 396:40] + assign io_i0_ap_predict_nt = decode_io_i0_ap_predict_nt; // @[el2_dec.scala 396:40] + assign io_i0_ap_csr_write = decode_io_i0_ap_csr_write; // @[el2_dec.scala 396:40] + assign io_i0_ap_csr_imm = decode_io_i0_ap_csr_imm; // @[el2_dec.scala 396:40] + assign io_dec_i0_alu_decode_d = decode_io_dec_i0_alu_decode_d; // @[el2_dec.scala 398:40] + assign io_dec_i0_select_pc_d = decode_io_dec_i0_select_pc_d; // @[el2_dec.scala 401:40] + assign io_dec_i0_pc_d = instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 291:18] + assign io_dec_i0_rs1_bypass_en_d = decode_io_dec_i0_rs1_bypass_en_d; // @[el2_dec.scala 402:40] + assign io_dec_i0_rs2_bypass_en_d = decode_io_dec_i0_rs2_bypass_en_d; // @[el2_dec.scala 403:40] + assign io_dec_i0_rs1_bypass_data_d = decode_io_dec_i0_rs1_bypass_data_d; // @[el2_dec.scala 399:40] + assign io_dec_i0_rs2_bypass_data_d = decode_io_dec_i0_rs2_bypass_data_d; // @[el2_dec.scala 400:40] + assign io_lsu_p_valid = decode_io_lsu_p_valid; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_fast_int = decode_io_lsu_p_bits_fast_int; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_by = decode_io_lsu_p_bits_by; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_half = decode_io_lsu_p_bits_half; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_word = decode_io_lsu_p_bits_word; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_dword = 1'h0; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_load = decode_io_lsu_p_bits_load; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_store = decode_io_lsu_p_bits_store; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_unsign = decode_io_lsu_p_bits_unsign; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_dma = 1'h0; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_store_data_bypass_d = decode_io_lsu_p_bits_store_data_bypass_d; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_load_ldst_bypass_d = decode_io_lsu_p_bits_load_ldst_bypass_d; // @[el2_dec.scala 404:40] + assign io_lsu_p_bits_store_data_bypass_m = 1'h0; // @[el2_dec.scala 404:40] + assign io_mul_p_valid = decode_io_mul_p_valid; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_rs1_sign = decode_io_mul_p_bits_rs1_sign; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_rs2_sign = decode_io_mul_p_bits_rs2_sign; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_low = decode_io_mul_p_bits_low; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_bext = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_bdep = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_clmul = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_clmulh = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_clmulr = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_grev = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_shfl = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_unshfl = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_crc32_b = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_crc32_h = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_crc32_w = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_crc32c_b = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_crc32c_h = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_crc32c_w = 1'h0; // @[el2_dec.scala 405:40] + assign io_mul_p_bits_bfp = 1'h0; // @[el2_dec.scala 405:40] + assign io_div_p_valid = decode_io_div_p_valid; // @[el2_dec.scala 406:40] + assign io_div_p_bits_unsign = decode_io_div_p_bits_unsign; // @[el2_dec.scala 406:40] + assign io_div_p_bits_rem = decode_io_div_p_bits_rem; // @[el2_dec.scala 406:40] + assign io_dec_div_cancel = decode_io_dec_div_cancel; // @[el2_dec.scala 407:40] + assign io_dec_lsu_offset_d = decode_io_dec_lsu_offset_d; // @[el2_dec.scala 409:40] + assign io_dec_csr_ren_d = decode_io_dec_csr_ren_d; // @[el2_dec.scala 410:40] + assign io_dec_tlu_flush_lower_r = tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 560:34] + assign io_dec_tlu_flush_path_r = tlu_io_dec_tlu_flush_path_r; // @[el2_dec.scala 561:34] + assign io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 559:34] + assign io_dec_tlu_fence_i_r = tlu_io_dec_tlu_fence_i_r; // @[el2_dec.scala 562:34] + assign io_pred_correct_npc_x = decode_io_pred_correct_npc_x; // @[el2_dec.scala 411:40] + assign io_dec_tlu_br0_r_pkt_valid = tlu_io_dec_tlu_br0_r_pkt_valid; // @[el2_dec.scala 557:42] + assign io_dec_tlu_br0_r_pkt_bits_hist = tlu_io_dec_tlu_br0_r_pkt_bits_hist; // @[el2_dec.scala 557:42] + assign io_dec_tlu_br0_r_pkt_bits_br_error = tlu_io_dec_tlu_br0_r_pkt_bits_br_error; // @[el2_dec.scala 557:42] + assign io_dec_tlu_br0_r_pkt_bits_br_start_error = tlu_io_dec_tlu_br0_r_pkt_bits_br_start_error; // @[el2_dec.scala 557:42] + assign io_dec_tlu_br0_r_pkt_bits_way = tlu_io_dec_tlu_br0_r_pkt_bits_way; // @[el2_dec.scala 557:42] + assign io_dec_tlu_br0_r_pkt_bits_middle = tlu_io_dec_tlu_br0_r_pkt_bits_middle; // @[el2_dec.scala 557:42] + assign io_dec_tlu_perfcnt0 = tlu_io_dec_tlu_perfcnt0; // @[el2_dec.scala 565:29] + assign io_dec_tlu_perfcnt1 = tlu_io_dec_tlu_perfcnt1; // @[el2_dec.scala 566:29] + assign io_dec_tlu_perfcnt2 = tlu_io_dec_tlu_perfcnt2; // @[el2_dec.scala 567:29] + assign io_dec_tlu_perfcnt3 = tlu_io_dec_tlu_perfcnt3; // @[el2_dec.scala 568:29] + assign io_dec_i0_predict_p_d_valid = decode_io_dec_i0_predict_p_d_valid; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_misp = 1'h0; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_ataken = 1'h0; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_boffset = 1'h0; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_pc4 = decode_io_dec_i0_predict_p_d_bits_pc4; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_hist = decode_io_dec_i0_predict_p_d_bits_hist; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_toffset = decode_io_dec_i0_predict_p_d_bits_toffset; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_br_error = decode_io_dec_i0_predict_p_d_bits_br_error; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_br_start_error = decode_io_dec_i0_predict_p_d_bits_br_start_error; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_prett = decode_io_dec_i0_predict_p_d_bits_prett; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_pcall = decode_io_dec_i0_predict_p_d_bits_pcall; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_pret = decode_io_dec_i0_predict_p_d_bits_pret; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_pja = decode_io_dec_i0_predict_p_d_bits_pja; // @[el2_dec.scala 412:40] + assign io_dec_i0_predict_p_d_bits_way = decode_io_dec_i0_predict_p_d_bits_way; // @[el2_dec.scala 412:40] + assign io_i0_predict_fghr_d = decode_io_i0_predict_fghr_d; // @[el2_dec.scala 413:40] + assign io_i0_predict_index_d = decode_io_i0_predict_index_d; // @[el2_dec.scala 414:40] + assign io_i0_predict_btag_d = decode_io_i0_predict_btag_d; // @[el2_dec.scala 415:40] + assign io_dec_lsu_valid_raw_d = decode_io_dec_lsu_valid_raw_d; // @[el2_dec.scala 408:40] + assign io_dec_tlu_mrac_ff = tlu_io_dec_tlu_mrac_ff; // @[el2_dec.scala 563:29] + assign io_dec_data_en = decode_io_dec_data_en; // @[el2_dec.scala 416:40] + assign io_dec_ctl_en = decode_io_dec_ctl_en; // @[el2_dec.scala 417:40] + assign io_rv_trace_pkt_rv_i_valid_ip = {tlu_io_dec_tlu_int_valid_wb1,_T_1}; // @[el2_dec.scala 592:33] + assign io_rv_trace_pkt_rv_i_insn_ip = decode_io_dec_i0_inst_wb1; // @[el2_dec.scala 590:32] + assign io_rv_trace_pkt_rv_i_address_ip = {decode_io_dec_i0_pc_wb1,1'h0}; // @[el2_dec.scala 591:35] + assign io_rv_trace_pkt_rv_i_exception_ip = {tlu_io_dec_tlu_int_valid_wb1,tlu_io_dec_tlu_i0_exc_valid_wb1}; // @[el2_dec.scala 593:37] + assign io_rv_trace_pkt_rv_i_ecause_ip = tlu_io_dec_tlu_exc_cause_wb1; // @[el2_dec.scala 594:34] + assign io_rv_trace_pkt_rv_i_interrupt_ip = {tlu_io_dec_tlu_int_valid_wb1,1'h0}; // @[el2_dec.scala 595:37] + assign io_rv_trace_pkt_rv_i_tval_ip = tlu_io_dec_tlu_mtval_wb1; // @[el2_dec.scala 596:32] + assign io_dec_tlu_external_ldfwd_disable = tlu_io_dec_tlu_external_ldfwd_disable; // @[el2_dec.scala 574:43] + assign io_dec_tlu_sideeffect_posted_disable = tlu_io_dec_tlu_sideeffect_posted_disable; // @[el2_dec.scala 575:43] + assign io_dec_tlu_core_ecc_disable = tlu_io_dec_tlu_core_ecc_disable; // @[el2_dec.scala 576:43] + assign io_dec_tlu_bpred_disable = tlu_io_dec_tlu_bpred_disable; // @[el2_dec.scala 577:43] + assign io_dec_tlu_wb_coalescing_disable = tlu_io_dec_tlu_wb_coalescing_disable; // @[el2_dec.scala 578:43] + assign io_dec_tlu_dma_qos_prty = tlu_io_dec_tlu_dma_qos_prty; // @[el2_dec.scala 579:35] + assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[el2_dec.scala 580:35] + assign io_dec_tlu_ifu_clk_override = tlu_io_dec_tlu_ifu_clk_override; // @[el2_dec.scala 581:36] + assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[el2_dec.scala 582:36] + assign io_dec_tlu_bus_clk_override = tlu_io_dec_tlu_bus_clk_override; // @[el2_dec.scala 583:36] + assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[el2_dec.scala 584:36] + assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[el2_dec.scala 585:36] + assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[el2_dec.scala 586:36] + assign io_dec_tlu_i0_commit_cmt = tlu_io_dec_tlu_i0_commit_cmt; // @[el2_dec.scala 558:34] + assign instbuff_io_dbg_cmd_valid = io_dbg_cmd_valid; // @[el2_dec.scala 297:45] + assign instbuff_io_dbg_cmd_write = io_dbg_cmd_write; // @[el2_dec.scala 298:45] + assign instbuff_io_dbg_cmd_type = io_dbg_cmd_type; // @[el2_dec.scala 299:45] + assign instbuff_io_dbg_cmd_addr = io_dbg_cmd_addr; // @[el2_dec.scala 300:45] + assign instbuff_io_i0_brp_valid = io_i0_brp_valid; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_toffset = io_i0_brp_bits_toffset; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_hist = io_i0_brp_bits_hist; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_br_error = io_i0_brp_bits_br_error; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_br_start_error = io_i0_brp_bits_br_start_error; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_prett = io_i0_brp_bits_prett; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_way = io_i0_brp_bits_way; // @[el2_dec.scala 301:55] + assign instbuff_io_i0_brp_bits_ret = io_i0_brp_bits_ret; // @[el2_dec.scala 301:55] + assign instbuff_io_ifu_i0_bp_index = io_ifu_i0_bp_index; // @[el2_dec.scala 302:35] + assign instbuff_io_ifu_i0_bp_fghr = io_ifu_i0_bp_fghr; // @[el2_dec.scala 303:35] + assign instbuff_io_ifu_i0_bp_btag = io_ifu_i0_bp_btag; // @[el2_dec.scala 304:35] + assign instbuff_io_ifu_i0_pc4 = io_ifu_i0_pc4; // @[el2_dec.scala 305:35] + assign instbuff_io_ifu_i0_valid = io_ifu_i0_valid; // @[el2_dec.scala 306:35] + assign instbuff_io_ifu_i0_icaf = io_ifu_i0_icaf; // @[el2_dec.scala 307:35] + assign instbuff_io_ifu_i0_icaf_type = io_ifu_i0_icaf_type; // @[el2_dec.scala 308:35] + assign instbuff_io_ifu_i0_icaf_f1 = io_ifu_i0_icaf_f1; // @[el2_dec.scala 309:35] + assign instbuff_io_ifu_i0_dbecc = io_ifu_i0_dbecc; // @[el2_dec.scala 310:35] + assign instbuff_io_ifu_i0_instr = io_ifu_i0_instr; // @[el2_dec.scala 311:35] + assign instbuff_io_ifu_i0_pc = io_ifu_i0_pc; // @[el2_dec.scala 312:35] assign decode_clock = clock; assign decode_reset = reset; - assign decode_io_dec_tlu_flush_extint = tlu_io_dec_tlu_flush_extint; // @[el2_dec.scala 410:48 el2_dec.scala 651:37] - assign decode_io_dec_tlu_force_halt = tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 411:48] - assign decode_io_ifu_i0_cinst = io_ifu_i0_cinst; // @[el2_dec.scala 412:48] - assign decode_io_lsu_nonblock_load_valid_m = io_lsu_nonblock_load_valid_m; // @[el2_dec.scala 413:48] - assign decode_io_lsu_nonblock_load_tag_m = io_lsu_nonblock_load_tag_m; // @[el2_dec.scala 414:48] - assign decode_io_lsu_nonblock_load_inv_r = io_lsu_nonblock_load_inv_r; // @[el2_dec.scala 415:48] - assign decode_io_lsu_nonblock_load_inv_tag_r = io_lsu_nonblock_load_inv_tag_r; // @[el2_dec.scala 416:48] - assign decode_io_lsu_nonblock_load_data_valid = io_lsu_nonblock_load_data_valid; // @[el2_dec.scala 417:48] - assign decode_io_lsu_nonblock_load_data_error = io_lsu_nonblock_load_data_error; // @[el2_dec.scala 418:48] - assign decode_io_lsu_nonblock_load_data_tag = io_lsu_nonblock_load_data_tag; // @[el2_dec.scala 419:48] - assign decode_io_lsu_nonblock_load_data = io_lsu_nonblock_load_data; // @[el2_dec.scala 420:48] - assign decode_io_dec_i0_trigger_match_d = dec_trigger_io_dec_i0_trigger_match_d; // @[el2_dec.scala 421:48] - assign decode_io_dec_tlu_wr_pause_r = tlu_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 422:48 el2_dec.scala 674:35] - assign decode_io_dec_tlu_pipelining_disable = tlu_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 423:48] - assign decode_io_lsu_trigger_match_m = io_lsu_trigger_match_m; // @[el2_dec.scala 424:48] - assign decode_io_lsu_pmu_misaligned_m = io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 425:48] - assign decode_io_dec_tlu_debug_stall = tlu_io_dec_tlu_debug_stall; // @[el2_dec.scala 426:48 el2_dec.scala 646:36] - assign decode_io_dec_tlu_flush_leak_one_r = tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 427:48] - assign decode_io_dec_debug_fence_d = instbuff_io_dec_debug_fence_d; // @[el2_dec.scala 394:38 el2_dec.scala 428:48] - assign decode_io_dbg_cmd_wrdata = io_dbg_cmd_wrdata; // @[el2_dec.scala 429:48] - assign decode_io_dec_i0_icaf_d = instbuff_io_dec_i0_icaf_d; // @[el2_dec.scala 390:38 el2_dec.scala 430:48] - assign decode_io_dec_i0_icaf_f1_d = instbuff_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 391:38 el2_dec.scala 431:48] - assign decode_io_dec_i0_icaf_type_d = instbuff_io_dec_i0_icaf_type_d; // @[el2_dec.scala 382:38 el2_dec.scala 432:48] - assign decode_io_dec_i0_dbecc_d = instbuff_io_dec_i0_dbecc_d; // @[el2_dec.scala 392:38 el2_dec.scala 433:48] - assign decode_io_dec_i0_brp_valid = instbuff_io_dec_i0_brp_valid; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_toffset = instbuff_io_dec_i0_brp_bits_toffset; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_hist = instbuff_io_dec_i0_brp_bits_hist; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_br_error = instbuff_io_dec_i0_brp_bits_br_error; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_br_start_error = instbuff_io_dec_i0_brp_bits_br_start_error; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_prett = instbuff_io_dec_i0_brp_bits_prett; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_way = instbuff_io_dec_i0_brp_bits_way; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_brp_bits_ret = instbuff_io_dec_i0_brp_bits_ret; // @[el2_dec.scala 386:38 el2_dec.scala 434:48] - assign decode_io_dec_i0_bp_index = instbuff_io_dec_i0_bp_index; // @[el2_dec.scala 387:38 el2_dec.scala 435:48] - assign decode_io_dec_i0_bp_fghr = instbuff_io_dec_i0_bp_fghr; // @[el2_dec.scala 388:38 el2_dec.scala 436:48] - assign decode_io_dec_i0_bp_btag = instbuff_io_dec_i0_bp_btag; // @[el2_dec.scala 389:38 el2_dec.scala 437:48] - assign decode_io_lsu_idle_any = io_lsu_idle_any; // @[el2_dec.scala 439:48] - assign decode_io_lsu_load_stall_any = io_lsu_load_stall_any; // @[el2_dec.scala 440:48] - assign decode_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec.scala 441:48] - assign decode_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec.scala 442:48] - assign decode_io_exu_div_wren = io_exu_div_wren; // @[el2_dec.scala 443:48] - assign decode_io_dec_tlu_i0_kill_writeb_wb = tlu_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 444:48 el2_dec.scala 667:42] - assign decode_io_dec_tlu_flush_lower_wb = tlu_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 445:48 el2_dec.scala 668:42] - assign decode_io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 446:48] - assign decode_io_dec_tlu_flush_lower_r = tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 447:48] - assign decode_io_dec_tlu_flush_pause_r = tlu_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 448:48 el2_dec.scala 675:35] - assign decode_io_dec_tlu_presync_d = tlu_io_dec_tlu_presync_d; // @[el2_dec.scala 449:48 el2_dec.scala 676:35] - assign decode_io_dec_tlu_postsync_d = tlu_io_dec_tlu_postsync_d; // @[el2_dec.scala 450:48 el2_dec.scala 677:35] - assign decode_io_dec_i0_pc4_d = instbuff_io_dec_i0_pc_d[0]; // @[el2_dec.scala 385:38 el2_dec.scala 451:48] - assign decode_io_dec_csr_rddata_d = tlu_io_dec_csr_rddata_d; // @[el2_dec.scala 452:48 el2_dec.scala 664:33] - assign decode_io_dec_csr_legal_d = tlu_io_dec_csr_legal_d; // @[el2_dec.scala 453:48 el2_dec.scala 665:33] - assign decode_io_exu_csr_rs1_x = io_exu_csr_rs1_x; // @[el2_dec.scala 454:48] - assign decode_io_lsu_result_m = io_lsu_result_m; // @[el2_dec.scala 455:48] - assign decode_io_lsu_result_corr_r = io_lsu_result_corr_r; // @[el2_dec.scala 456:48] - assign decode_io_exu_flush_final = io_exu_flush_final; // @[el2_dec.scala 457:48] - assign decode_io_exu_i0_pc_x = io_exu_i0_pc_x[30:0]; // @[el2_dec.scala 458:48] - assign decode_io_dec_i0_instr_d = instbuff_io_dec_i0_instr_d; // @[el2_dec.scala 383:38 el2_dec.scala 459:48] - assign decode_io_dec_ib0_valid_d = instbuff_io_dec_ib0_valid_d; // @[el2_dec.scala 381:38 el2_dec.scala 460:48] - assign decode_io_exu_i0_result_x = io_exu_i0_result_x; // @[el2_dec.scala 461:48] - assign decode_io_free_clk = io_free_clk; // @[el2_dec.scala 463:48] - assign decode_io_active_clk = io_active_clk; // @[el2_dec.scala 464:48] - assign decode_io_clk_override = tlu_io_dec_tlu_dec_clk_override; // @[el2_dec.scala 465:48] - assign decode_io_scan_mode = io_scan_mode; // @[el2_dec.scala 467:48] + assign decode_io_dec_tlu_flush_extint = tlu_io_dec_tlu_flush_extint; // @[el2_dec.scala 330:48] + assign decode_io_dec_tlu_force_halt = tlu_io_dec_tlu_force_halt; // @[el2_dec.scala 331:48] + assign decode_io_ifu_i0_cinst = io_ifu_i0_cinst; // @[el2_dec.scala 332:48] + assign decode_io_lsu_nonblock_load_valid_m = io_lsu_nonblock_load_valid_m; // @[el2_dec.scala 333:48] + assign decode_io_lsu_nonblock_load_tag_m = io_lsu_nonblock_load_tag_m; // @[el2_dec.scala 334:48] + assign decode_io_lsu_nonblock_load_inv_r = io_lsu_nonblock_load_inv_r; // @[el2_dec.scala 335:48] + assign decode_io_lsu_nonblock_load_inv_tag_r = io_lsu_nonblock_load_inv_tag_r; // @[el2_dec.scala 336:48] + assign decode_io_lsu_nonblock_load_data_valid = io_lsu_nonblock_load_data_valid; // @[el2_dec.scala 337:48] + assign decode_io_lsu_nonblock_load_data_error = io_lsu_nonblock_load_data_error; // @[el2_dec.scala 338:48] + assign decode_io_lsu_nonblock_load_data_tag = io_lsu_nonblock_load_data_tag; // @[el2_dec.scala 339:48] + assign decode_io_lsu_nonblock_load_data = io_lsu_nonblock_load_data; // @[el2_dec.scala 340:48] + assign decode_io_dec_i0_trigger_match_d = dec_trigger_io_dec_i0_trigger_match_d; // @[el2_dec.scala 341:48] + assign decode_io_dec_tlu_wr_pause_r = tlu_io_dec_tlu_wr_pause_r; // @[el2_dec.scala 342:48] + assign decode_io_dec_tlu_pipelining_disable = tlu_io_dec_tlu_pipelining_disable; // @[el2_dec.scala 343:48] + assign decode_io_lsu_trigger_match_m = io_lsu_trigger_match_m; // @[el2_dec.scala 344:48] + assign decode_io_lsu_pmu_misaligned_m = io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 345:48] + assign decode_io_dec_tlu_debug_stall = tlu_io_dec_tlu_debug_stall; // @[el2_dec.scala 346:48] + assign decode_io_dec_tlu_flush_leak_one_r = tlu_io_dec_tlu_flush_leak_one_r; // @[el2_dec.scala 347:48] + assign decode_io_dec_debug_fence_d = instbuff_io_dec_debug_fence_d; // @[el2_dec.scala 348:48] + assign decode_io_dbg_cmd_wrdata = io_dbg_cmd_wrdata; // @[el2_dec.scala 349:48] + assign decode_io_dec_i0_icaf_d = instbuff_io_dec_i0_icaf_d; // @[el2_dec.scala 350:48] + assign decode_io_dec_i0_icaf_f1_d = instbuff_io_dec_i0_icaf_f1_d; // @[el2_dec.scala 351:48] + assign decode_io_dec_i0_icaf_type_d = instbuff_io_dec_i0_icaf_type_d; // @[el2_dec.scala 352:48] + assign decode_io_dec_i0_dbecc_d = instbuff_io_dec_i0_dbecc_d; // @[el2_dec.scala 353:48] + assign decode_io_dec_i0_brp_valid = instbuff_io_dec_i0_brp_valid; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_toffset = instbuff_io_dec_i0_brp_bits_toffset; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_hist = instbuff_io_dec_i0_brp_bits_hist; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_br_error = instbuff_io_dec_i0_brp_bits_br_error; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_br_start_error = instbuff_io_dec_i0_brp_bits_br_start_error; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_prett = instbuff_io_dec_i0_brp_bits_prett; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_way = instbuff_io_dec_i0_brp_bits_way; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_brp_bits_ret = instbuff_io_dec_i0_brp_bits_ret; // @[el2_dec.scala 354:48] + assign decode_io_dec_i0_bp_index = instbuff_io_dec_i0_bp_index; // @[el2_dec.scala 355:48] + assign decode_io_dec_i0_bp_fghr = instbuff_io_dec_i0_bp_fghr; // @[el2_dec.scala 356:48] + assign decode_io_dec_i0_bp_btag = instbuff_io_dec_i0_bp_btag; // @[el2_dec.scala 357:48] + assign decode_io_lsu_idle_any = io_lsu_idle_any; // @[el2_dec.scala 359:48] + assign decode_io_lsu_load_stall_any = io_lsu_load_stall_any; // @[el2_dec.scala 360:48] + assign decode_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec.scala 361:48] + assign decode_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec.scala 362:48] + assign decode_io_exu_div_wren = io_exu_div_wren; // @[el2_dec.scala 363:48] + assign decode_io_dec_tlu_i0_kill_writeb_wb = tlu_io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec.scala 364:48] + assign decode_io_dec_tlu_flush_lower_wb = tlu_io_dec_tlu_flush_lower_wb; // @[el2_dec.scala 365:48] + assign decode_io_dec_tlu_i0_kill_writeb_r = tlu_io_dec_tlu_i0_kill_writeb_r; // @[el2_dec.scala 366:48] + assign decode_io_dec_tlu_flush_lower_r = tlu_io_dec_tlu_flush_lower_r; // @[el2_dec.scala 367:48] + assign decode_io_dec_tlu_flush_pause_r = tlu_io_dec_tlu_flush_pause_r; // @[el2_dec.scala 368:48] + assign decode_io_dec_tlu_presync_d = tlu_io_dec_tlu_presync_d; // @[el2_dec.scala 369:48] + assign decode_io_dec_tlu_postsync_d = tlu_io_dec_tlu_postsync_d; // @[el2_dec.scala 370:48] + assign decode_io_dec_i0_pc4_d = instbuff_io_dec_i0_pc4_d; // @[el2_dec.scala 371:48] + assign decode_io_dec_csr_rddata_d = tlu_io_dec_csr_rddata_d; // @[el2_dec.scala 372:48] + assign decode_io_dec_csr_legal_d = tlu_io_dec_csr_legal_d; // @[el2_dec.scala 373:48] + assign decode_io_exu_csr_rs1_x = io_exu_csr_rs1_x; // @[el2_dec.scala 374:48] + assign decode_io_lsu_result_m = io_lsu_result_m; // @[el2_dec.scala 375:48] + assign decode_io_lsu_result_corr_r = io_lsu_result_corr_r; // @[el2_dec.scala 376:48] + assign decode_io_exu_flush_final = io_exu_flush_final; // @[el2_dec.scala 377:48] + assign decode_io_exu_i0_pc_x = io_exu_i0_pc_x; // @[el2_dec.scala 378:48] + assign decode_io_dec_i0_instr_d = instbuff_io_dec_i0_instr_d; // @[el2_dec.scala 379:48] + assign decode_io_dec_ib0_valid_d = instbuff_io_dec_ib0_valid_d; // @[el2_dec.scala 380:48] + assign decode_io_exu_i0_result_x = io_exu_i0_result_x; // @[el2_dec.scala 381:48] + assign decode_io_free_clk = io_free_clk; // @[el2_dec.scala 383:48] + assign decode_io_active_clk = io_active_clk; // @[el2_dec.scala 384:48] + assign decode_io_clk_override = tlu_io_dec_tlu_dec_clk_override; // @[el2_dec.scala 385:48] + assign decode_io_scan_mode = io_scan_mode; // @[el2_dec.scala 387:48] assign gpr_clock = clock; assign gpr_reset = reset; - assign gpr_io_raddr0 = decode_io_dec_i0_rs1_d; // @[el2_dec.scala 474:40 el2_dec.scala 530:23] - assign gpr_io_raddr1 = decode_io_dec_i0_rs2_d; // @[el2_dec.scala 475:40 el2_dec.scala 531:23] - assign gpr_io_wen0 = decode_io_dec_i0_wen_r; // @[el2_dec.scala 484:40 el2_dec.scala 532:23] - assign gpr_io_waddr0 = decode_io_dec_i0_waddr_r; // @[el2_dec.scala 483:40 el2_dec.scala 533:23] - assign gpr_io_wd0 = decode_io_dec_i0_wdata_r; // @[el2_dec.scala 485:40 el2_dec.scala 534:23] - assign gpr_io_wen1 = decode_io_dec_nonblock_load_wen; // @[el2_dec.scala 535:23] - assign gpr_io_waddr1 = decode_io_dec_nonblock_load_waddr; // @[el2_dec.scala 536:23] - assign gpr_io_wd1 = io_lsu_nonblock_load_data; // @[el2_dec.scala 537:23] - assign gpr_io_wen2 = io_exu_div_wren; // @[el2_dec.scala 538:23] - assign gpr_io_waddr2 = decode_io_div_waddr_wb; // @[el2_dec.scala 492:40 el2_dec.scala 539:23] - assign gpr_io_wd2 = io_exu_div_result; // @[el2_dec.scala 540:23] - assign gpr_io_scan_mode = io_scan_mode; // @[el2_dec.scala 543:23] + assign gpr_io_raddr0 = decode_io_dec_i0_rs1_d; // @[el2_dec.scala 425:23] + assign gpr_io_raddr1 = decode_io_dec_i0_rs2_d; // @[el2_dec.scala 426:23] + assign gpr_io_wen0 = decode_io_dec_i0_wen_r; // @[el2_dec.scala 427:23] + assign gpr_io_waddr0 = decode_io_dec_i0_waddr_r; // @[el2_dec.scala 428:23] + assign gpr_io_wd0 = decode_io_dec_i0_wdata_r; // @[el2_dec.scala 429:23] + assign gpr_io_wen1 = decode_io_dec_nonblock_load_wen; // @[el2_dec.scala 430:23] + assign gpr_io_waddr1 = decode_io_dec_nonblock_load_waddr; // @[el2_dec.scala 431:23] + assign gpr_io_wd1 = io_lsu_nonblock_load_data; // @[el2_dec.scala 432:23] + assign gpr_io_wen2 = io_exu_div_wren; // @[el2_dec.scala 433:23] + assign gpr_io_waddr2 = decode_io_div_waddr_wb; // @[el2_dec.scala 434:23] + assign gpr_io_wd2 = io_exu_div_result; // @[el2_dec.scala 435:23] + assign gpr_io_scan_mode = io_scan_mode; // @[el2_dec.scala 438:23] assign tlu_clock = clock; assign tlu_reset = reset; - assign tlu_io_active_clk = io_active_clk; // @[el2_dec.scala 555:45] - assign tlu_io_free_clk = io_free_clk; // @[el2_dec.scala 556:45] - assign tlu_io_scan_mode = io_scan_mode; // @[el2_dec.scala 558:45] - assign tlu_io_rst_vec = io_rst_vec[30:0]; // @[el2_dec.scala 559:45] - assign tlu_io_nmi_int = io_nmi_int; // @[el2_dec.scala 560:45] - assign tlu_io_nmi_vec = io_nmi_vec[30:0]; // @[el2_dec.scala 561:45] - assign tlu_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[el2_dec.scala 562:45] - assign tlu_io_i_cpu_run_req = io_i_cpu_run_req; // @[el2_dec.scala 563:45] - assign tlu_io_lsu_fastint_stall_any = io_lsu_fastint_stall_any; // @[el2_dec.scala 564:45] - assign tlu_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[el2_dec.scala 565:45] - assign tlu_io_ifu_pmu_fetch_stall = io_ifu_pmu_fetch_stall; // @[el2_dec.scala 566:45] - assign tlu_io_ifu_pmu_ic_miss = io_ifu_pmu_ic_miss; // @[el2_dec.scala 567:45] - assign tlu_io_ifu_pmu_ic_hit = io_ifu_pmu_ic_hit; // @[el2_dec.scala 568:45] - assign tlu_io_ifu_pmu_bus_error = io_ifu_pmu_bus_error; // @[el2_dec.scala 569:45] - assign tlu_io_ifu_pmu_bus_busy = io_ifu_pmu_bus_busy; // @[el2_dec.scala 570:45] - assign tlu_io_ifu_pmu_bus_trxn = io_ifu_pmu_bus_trxn; // @[el2_dec.scala 571:45] - assign tlu_io_dec_pmu_instr_decoded = decode_io_dec_pmu_instr_decoded; // @[el2_dec.scala 572:45] - assign tlu_io_dec_pmu_decode_stall = decode_io_dec_pmu_decode_stall; // @[el2_dec.scala 573:45] - assign tlu_io_dec_pmu_presync_stall = decode_io_dec_pmu_presync_stall; // @[el2_dec.scala 574:45] - assign tlu_io_dec_pmu_postsync_stall = decode_io_dec_pmu_postsync_stall; // @[el2_dec.scala 515:40 el2_dec.scala 516:40 el2_dec.scala 517:40 el2_dec.scala 518:40 el2_dec.scala 519:40 el2_dec.scala 520:40 el2_dec.scala 521:40 el2_dec.scala 575:45] - assign tlu_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec.scala 576:45] - assign tlu_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec.scala 577:45] - assign tlu_io_dma_iccm_stall_any = io_dma_iccm_stall_any; // @[el2_dec.scala 578:45] - assign tlu_io_exu_pmu_i0_br_misp = io_exu_pmu_i0_br_misp; // @[el2_dec.scala 579:45] - assign tlu_io_exu_pmu_i0_br_ataken = io_exu_pmu_i0_br_ataken; // @[el2_dec.scala 580:45] - assign tlu_io_exu_pmu_i0_pc4 = io_exu_pmu_i0_pc4; // @[el2_dec.scala 581:45] - assign tlu_io_lsu_pmu_bus_trxn = io_lsu_pmu_bus_trxn; // @[el2_dec.scala 582:45] - assign tlu_io_lsu_pmu_bus_misaligned = io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 583:45] - assign tlu_io_lsu_pmu_bus_error = io_lsu_pmu_bus_error; // @[el2_dec.scala 584:45] - assign tlu_io_lsu_pmu_bus_busy = io_lsu_pmu_bus_busy; // @[el2_dec.scala 585:45] - assign tlu_io_lsu_pmu_load_external_m = io_lsu_pmu_load_external_m; // @[el2_dec.scala 586:45] - assign tlu_io_lsu_pmu_store_external_m = io_lsu_pmu_store_external_m; // @[el2_dec.scala 587:45] - assign tlu_io_dma_pmu_dccm_read = io_dma_pmu_dccm_read; // @[el2_dec.scala 588:45] - assign tlu_io_dma_pmu_dccm_write = io_dma_pmu_dccm_write; // @[el2_dec.scala 589:45] - assign tlu_io_dma_pmu_any_read = io_dma_pmu_any_read; // @[el2_dec.scala 590:45] - assign tlu_io_dma_pmu_any_write = io_dma_pmu_any_write; // @[el2_dec.scala 591:45] - assign tlu_io_lsu_fir_addr = io_lsu_fir_addr[30:0]; // @[el2_dec.scala 592:45] - assign tlu_io_lsu_fir_error = io_lsu_fir_error; // @[el2_dec.scala 593:45] - assign tlu_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[el2_dec.scala 594:45] - assign tlu_io_lsu_error_pkt_r_valid = io_lsu_error_pkt_r_valid; // @[el2_dec.scala 595:45] - assign tlu_io_lsu_error_pkt_r_bits_single_ecc_error = io_lsu_error_pkt_r_bits_single_ecc_error; // @[el2_dec.scala 595:45] - assign tlu_io_lsu_error_pkt_r_bits_inst_type = io_lsu_error_pkt_r_bits_inst_type; // @[el2_dec.scala 595:45] - assign tlu_io_lsu_error_pkt_r_bits_exc_type = io_lsu_error_pkt_r_bits_exc_type; // @[el2_dec.scala 595:45] - assign tlu_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[el2_dec.scala 595:45] - assign tlu_io_lsu_error_pkt_r_bits_addr = io_lsu_error_pkt_r_bits_addr; // @[el2_dec.scala 595:45] - assign tlu_io_lsu_single_ecc_error_incr = io_lsu_single_ecc_error_incr; // @[el2_dec.scala 596:45] - assign tlu_io_dec_pause_state = decode_io_dec_pause_state; // @[el2_dec.scala 597:45] - assign tlu_io_lsu_imprecise_error_store_any = io_lsu_imprecise_error_store_any; // @[el2_dec.scala 598:45] - assign tlu_io_lsu_imprecise_error_load_any = io_lsu_imprecise_error_load_any; // @[el2_dec.scala 599:45] - assign tlu_io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_addr_any; // @[el2_dec.scala 600:45] - assign tlu_io_dec_csr_wen_unq_d = decode_io_dec_csr_wen_unq_d; // @[el2_dec.scala 497:40 el2_dec.scala 601:45] - assign tlu_io_dec_csr_any_unq_d = decode_io_dec_csr_any_unq_d; // @[el2_dec.scala 498:40 el2_dec.scala 602:45] - assign tlu_io_dec_csr_rdaddr_d = decode_io_dec_csr_rdaddr_d; // @[el2_dec.scala 499:40 el2_dec.scala 603:45] - assign tlu_io_dec_csr_wen_r = decode_io_dec_csr_wen_r; // @[el2_dec.scala 500:40 el2_dec.scala 604:45] - assign tlu_io_dec_csr_wraddr_r = decode_io_dec_csr_wraddr_r; // @[el2_dec.scala 501:40 el2_dec.scala 605:45] - assign tlu_io_dec_csr_wrdata_r = decode_io_dec_csr_wrdata_r; // @[el2_dec.scala 502:40 el2_dec.scala 606:45] - assign tlu_io_dec_csr_stall_int_ff = decode_io_dec_csr_stall_int_ff; // @[el2_dec.scala 503:40 el2_dec.scala 607:45] - assign tlu_io_dec_tlu_i0_valid_r = decode_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 504:40 el2_dec.scala 608:45] - assign tlu_io_exu_npc_r = io_exu_npc_r[30:0]; // @[el2_dec.scala 609:45] - assign tlu_io_dec_tlu_i0_pc_r = decode_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 506:40 el2_dec.scala 610:45] - assign tlu_io_dec_tlu_packet_r_legal = decode_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_icaf = decode_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_icaf_f1 = decode_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_icaf_type = decode_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_fence_i = decode_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_i0trigger = decode_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_pmu_i0_itype = decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred = decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_pmu_divide = decode_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned = decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 505:40 el2_dec.scala 611:45] - assign tlu_io_dec_illegal_inst = decode_io_dec_illegal_inst; // @[el2_dec.scala 507:40 el2_dec.scala 612:45] - assign tlu_io_dec_i0_decode_d = decode_io_dec_i0_decode_d; // @[el2_dec.scala 613:45] - assign tlu_io_exu_i0_br_hist_r = io_exu_i0_br_hist_r; // @[el2_dec.scala 614:45] - assign tlu_io_exu_i0_br_error_r = io_exu_i0_br_error_r; // @[el2_dec.scala 615:45] - assign tlu_io_exu_i0_br_start_error_r = io_exu_i0_br_start_error_r; // @[el2_dec.scala 616:45] - assign tlu_io_exu_i0_br_valid_r = io_exu_i0_br_valid_r; // @[el2_dec.scala 617:45] - assign tlu_io_exu_i0_br_mp_r = io_exu_i0_br_mp_r; // @[el2_dec.scala 618:45] - assign tlu_io_exu_i0_br_middle_r = io_exu_i0_br_middle_r; // @[el2_dec.scala 619:45] - assign tlu_io_exu_i0_br_way_r = io_exu_i0_br_way_r; // @[el2_dec.scala 620:45] - assign tlu_io_dbg_halt_req = io_dbg_halt_req; // @[el2_dec.scala 621:45] - assign tlu_io_dbg_resume_req = io_dbg_resume_req; // @[el2_dec.scala 622:45] - assign tlu_io_ifu_miss_state_idle = io_ifu_miss_state_idle; // @[el2_dec.scala 623:45] - assign tlu_io_lsu_idle_any = io_lsu_idle_any; // @[el2_dec.scala 624:45] - assign tlu_io_dec_div_active = decode_io_dec_div_active; // @[el2_dec.scala 523:40 el2_dec.scala 625:45] - assign tlu_io_ifu_ic_error_start = io_ifu_ic_error_start; // @[el2_dec.scala 626:45] - assign tlu_io_ifu_iccm_rd_ecc_single_err = io_ifu_iccm_rd_ecc_single_err; // @[el2_dec.scala 627:45] - assign tlu_io_ifu_ic_debug_rd_data = {{1'd0}, io_ifu_ic_debug_rd_data}; // @[el2_dec.scala 628:45] - assign tlu_io_ifu_ic_debug_rd_data_valid = io_ifu_ic_debug_rd_data_valid; // @[el2_dec.scala 629:45] - assign tlu_io_pic_claimid = io_pic_claimid; // @[el2_dec.scala 630:45] - assign tlu_io_pic_pl = io_pic_pl; // @[el2_dec.scala 631:45] - assign tlu_io_mhwakeup = io_mhwakeup; // @[el2_dec.scala 632:45] - assign tlu_io_mexintpend = io_mexintpend; // @[el2_dec.scala 633:45] - assign tlu_io_timer_int = io_timer_int; // @[el2_dec.scala 634:45] - assign tlu_io_soft_int = io_soft_int; // @[el2_dec.scala 635:45] - assign tlu_io_core_id = io_core_id[27:0]; // @[el2_dec.scala 636:45] - assign tlu_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[el2_dec.scala 637:45] - assign tlu_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[el2_dec.scala 638:45] - assign tlu_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[el2_dec.scala 639:45] - assign dec_trigger_io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_0_match_ = tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_1_match_ = tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_2_match_ = tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_3_match_ = tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 401:34] - assign dec_trigger_io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 401:34] - assign dec_trigger_io_dec_i0_pc_d = instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 400:30] + assign tlu_io_active_clk = io_active_clk; // @[el2_dec.scala 450:45] + assign tlu_io_free_clk = io_free_clk; // @[el2_dec.scala 451:45] + assign tlu_io_scan_mode = io_scan_mode; // @[el2_dec.scala 453:45] + assign tlu_io_rst_vec = io_rst_vec; // @[el2_dec.scala 454:45] + assign tlu_io_nmi_int = io_nmi_int; // @[el2_dec.scala 455:45] + assign tlu_io_nmi_vec = io_nmi_vec; // @[el2_dec.scala 456:45] + assign tlu_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[el2_dec.scala 457:45] + assign tlu_io_i_cpu_run_req = io_i_cpu_run_req; // @[el2_dec.scala 458:45] + assign tlu_io_lsu_fastint_stall_any = io_lsu_fastint_stall_any; // @[el2_dec.scala 459:45] + assign tlu_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[el2_dec.scala 460:45] + assign tlu_io_ifu_pmu_fetch_stall = io_ifu_pmu_fetch_stall; // @[el2_dec.scala 461:45] + assign tlu_io_ifu_pmu_ic_miss = io_ifu_pmu_ic_miss; // @[el2_dec.scala 462:45] + assign tlu_io_ifu_pmu_ic_hit = io_ifu_pmu_ic_hit; // @[el2_dec.scala 463:45] + assign tlu_io_ifu_pmu_bus_error = io_ifu_pmu_bus_error; // @[el2_dec.scala 464:45] + assign tlu_io_ifu_pmu_bus_busy = io_ifu_pmu_bus_busy; // @[el2_dec.scala 465:45] + assign tlu_io_ifu_pmu_bus_trxn = io_ifu_pmu_bus_trxn; // @[el2_dec.scala 466:45] + assign tlu_io_dec_pmu_instr_decoded = decode_io_dec_pmu_instr_decoded; // @[el2_dec.scala 467:45] + assign tlu_io_dec_pmu_decode_stall = decode_io_dec_pmu_decode_stall; // @[el2_dec.scala 468:45] + assign tlu_io_dec_pmu_presync_stall = decode_io_dec_pmu_presync_stall; // @[el2_dec.scala 469:45] + assign tlu_io_dec_pmu_postsync_stall = decode_io_dec_pmu_postsync_stall; // @[el2_dec.scala 470:45] + assign tlu_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[el2_dec.scala 471:45] + assign tlu_io_dma_dccm_stall_any = io_dma_dccm_stall_any; // @[el2_dec.scala 472:45] + assign tlu_io_dma_iccm_stall_any = io_dma_iccm_stall_any; // @[el2_dec.scala 473:45] + assign tlu_io_exu_pmu_i0_br_misp = io_exu_pmu_i0_br_misp; // @[el2_dec.scala 474:45] + assign tlu_io_exu_pmu_i0_br_ataken = io_exu_pmu_i0_br_ataken; // @[el2_dec.scala 475:45] + assign tlu_io_exu_pmu_i0_pc4 = io_exu_pmu_i0_pc4; // @[el2_dec.scala 476:45] + assign tlu_io_lsu_pmu_bus_trxn = io_lsu_pmu_bus_trxn; // @[el2_dec.scala 477:45] + assign tlu_io_lsu_pmu_bus_misaligned = io_lsu_pmu_bus_misaligned; // @[el2_dec.scala 478:45] + assign tlu_io_lsu_pmu_bus_error = io_lsu_pmu_bus_error; // @[el2_dec.scala 479:45] + assign tlu_io_lsu_pmu_bus_busy = io_lsu_pmu_bus_busy; // @[el2_dec.scala 480:45] + assign tlu_io_lsu_pmu_load_external_m = io_lsu_pmu_load_external_m; // @[el2_dec.scala 481:45] + assign tlu_io_lsu_pmu_store_external_m = io_lsu_pmu_store_external_m; // @[el2_dec.scala 482:45] + assign tlu_io_dma_pmu_dccm_read = io_dma_pmu_dccm_read; // @[el2_dec.scala 483:45] + assign tlu_io_dma_pmu_dccm_write = io_dma_pmu_dccm_write; // @[el2_dec.scala 484:45] + assign tlu_io_dma_pmu_any_read = io_dma_pmu_any_read; // @[el2_dec.scala 485:45] + assign tlu_io_dma_pmu_any_write = io_dma_pmu_any_write; // @[el2_dec.scala 486:45] + assign tlu_io_lsu_fir_addr = io_lsu_fir_addr; // @[el2_dec.scala 487:45] + assign tlu_io_lsu_fir_error = io_lsu_fir_error; // @[el2_dec.scala 488:45] + assign tlu_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[el2_dec.scala 489:45] + assign tlu_io_lsu_error_pkt_r_valid = io_lsu_error_pkt_r_valid; // @[el2_dec.scala 490:45] + assign tlu_io_lsu_error_pkt_r_bits_single_ecc_error = io_lsu_error_pkt_r_bits_single_ecc_error; // @[el2_dec.scala 490:45] + assign tlu_io_lsu_error_pkt_r_bits_inst_type = io_lsu_error_pkt_r_bits_inst_type; // @[el2_dec.scala 490:45] + assign tlu_io_lsu_error_pkt_r_bits_exc_type = io_lsu_error_pkt_r_bits_exc_type; // @[el2_dec.scala 490:45] + assign tlu_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[el2_dec.scala 490:45] + assign tlu_io_lsu_error_pkt_r_bits_addr = io_lsu_error_pkt_r_bits_addr; // @[el2_dec.scala 490:45] + assign tlu_io_lsu_single_ecc_error_incr = io_lsu_single_ecc_error_incr; // @[el2_dec.scala 491:45] + assign tlu_io_dec_pause_state = decode_io_dec_pause_state; // @[el2_dec.scala 492:45] + assign tlu_io_lsu_imprecise_error_store_any = io_lsu_imprecise_error_store_any; // @[el2_dec.scala 493:45] + assign tlu_io_lsu_imprecise_error_load_any = io_lsu_imprecise_error_load_any; // @[el2_dec.scala 494:45] + assign tlu_io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_addr_any; // @[el2_dec.scala 495:45] + assign tlu_io_dec_csr_wen_unq_d = decode_io_dec_csr_wen_unq_d; // @[el2_dec.scala 496:45] + assign tlu_io_dec_csr_any_unq_d = decode_io_dec_csr_any_unq_d; // @[el2_dec.scala 497:45] + assign tlu_io_dec_csr_rdaddr_d = decode_io_dec_csr_rdaddr_d; // @[el2_dec.scala 498:45] + assign tlu_io_dec_csr_wen_r = decode_io_dec_csr_wen_r; // @[el2_dec.scala 499:45] + assign tlu_io_dec_csr_wraddr_r = decode_io_dec_csr_wraddr_r; // @[el2_dec.scala 500:45] + assign tlu_io_dec_csr_wrdata_r = decode_io_dec_csr_wrdata_r; // @[el2_dec.scala 501:45] + assign tlu_io_dec_csr_stall_int_ff = decode_io_dec_csr_stall_int_ff; // @[el2_dec.scala 502:45] + assign tlu_io_dec_tlu_i0_valid_r = decode_io_dec_tlu_i0_valid_r; // @[el2_dec.scala 503:45] + assign tlu_io_exu_npc_r = io_exu_npc_r; // @[el2_dec.scala 504:45] + assign tlu_io_dec_tlu_i0_pc_r = decode_io_dec_tlu_i0_pc_r; // @[el2_dec.scala 505:45] + assign tlu_io_dec_tlu_packet_r_legal = decode_io_dec_tlu_packet_r_legal; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_icaf = decode_io_dec_tlu_packet_r_icaf; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_icaf_f1 = decode_io_dec_tlu_packet_r_icaf_f1; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_icaf_type = decode_io_dec_tlu_packet_r_icaf_type; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_fence_i = decode_io_dec_tlu_packet_r_fence_i; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_i0trigger = decode_io_dec_tlu_packet_r_i0trigger; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_pmu_i0_itype = decode_io_dec_tlu_packet_r_pmu_i0_itype; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_pmu_i0_br_unpred = decode_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_pmu_divide = decode_io_dec_tlu_packet_r_pmu_divide; // @[el2_dec.scala 506:45] + assign tlu_io_dec_tlu_packet_r_pmu_lsu_misaligned = decode_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[el2_dec.scala 506:45] + assign tlu_io_dec_illegal_inst = decode_io_dec_illegal_inst; // @[el2_dec.scala 507:45] + assign tlu_io_dec_i0_decode_d = decode_io_dec_i0_decode_d; // @[el2_dec.scala 508:45] + assign tlu_io_exu_i0_br_hist_r = io_exu_i0_br_hist_r; // @[el2_dec.scala 509:45] + assign tlu_io_exu_i0_br_error_r = io_exu_i0_br_error_r; // @[el2_dec.scala 510:45] + assign tlu_io_exu_i0_br_start_error_r = io_exu_i0_br_start_error_r; // @[el2_dec.scala 511:45] + assign tlu_io_exu_i0_br_valid_r = io_exu_i0_br_valid_r; // @[el2_dec.scala 512:45] + assign tlu_io_exu_i0_br_mp_r = io_exu_i0_br_mp_r; // @[el2_dec.scala 513:45] + assign tlu_io_exu_i0_br_middle_r = io_exu_i0_br_middle_r; // @[el2_dec.scala 514:45] + assign tlu_io_exu_i0_br_way_r = io_exu_i0_br_way_r; // @[el2_dec.scala 515:45] + assign tlu_io_dbg_halt_req = io_dbg_halt_req; // @[el2_dec.scala 516:45] + assign tlu_io_dbg_resume_req = io_dbg_resume_req; // @[el2_dec.scala 517:45] + assign tlu_io_ifu_miss_state_idle = io_ifu_miss_state_idle; // @[el2_dec.scala 518:45] + assign tlu_io_lsu_idle_any = io_lsu_idle_any; // @[el2_dec.scala 519:45] + assign tlu_io_dec_div_active = decode_io_dec_div_active; // @[el2_dec.scala 520:45] + assign tlu_io_ifu_ic_error_start = io_ifu_ic_error_start; // @[el2_dec.scala 521:45] + assign tlu_io_ifu_iccm_rd_ecc_single_err = io_ifu_iccm_rd_ecc_single_err; // @[el2_dec.scala 522:45] + assign tlu_io_ifu_ic_debug_rd_data = io_ifu_ic_debug_rd_data; // @[el2_dec.scala 523:45] + assign tlu_io_ifu_ic_debug_rd_data_valid = io_ifu_ic_debug_rd_data_valid; // @[el2_dec.scala 524:45] + assign tlu_io_pic_claimid = io_pic_claimid; // @[el2_dec.scala 525:45] + assign tlu_io_pic_pl = io_pic_pl; // @[el2_dec.scala 526:45] + assign tlu_io_mhwakeup = io_mhwakeup; // @[el2_dec.scala 527:45] + assign tlu_io_mexintpend = io_mexintpend; // @[el2_dec.scala 528:45] + assign tlu_io_timer_int = io_timer_int; // @[el2_dec.scala 529:45] + assign tlu_io_soft_int = io_soft_int; // @[el2_dec.scala 530:45] + assign tlu_io_core_id = io_core_id; // @[el2_dec.scala 531:45] + assign tlu_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[el2_dec.scala 532:45] + assign tlu_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[el2_dec.scala 533:45] + assign tlu_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[el2_dec.scala 534:45] + assign dec_trigger_io_trigger_pkt_any_0_select = tlu_io_trigger_pkt_any_0_select; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_0_match_ = tlu_io_trigger_pkt_any_0_match_; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_0_execute = tlu_io_trigger_pkt_any_0_execute; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_0_m = tlu_io_trigger_pkt_any_0_m; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_0_tdata2 = tlu_io_trigger_pkt_any_0_tdata2; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_1_select = tlu_io_trigger_pkt_any_1_select; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_1_match_ = tlu_io_trigger_pkt_any_1_match_; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_1_execute = tlu_io_trigger_pkt_any_1_execute; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_1_m = tlu_io_trigger_pkt_any_1_m; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_1_tdata2 = tlu_io_trigger_pkt_any_1_tdata2; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_2_select = tlu_io_trigger_pkt_any_2_select; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_2_match_ = tlu_io_trigger_pkt_any_2_match_; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_2_execute = tlu_io_trigger_pkt_any_2_execute; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_2_m = tlu_io_trigger_pkt_any_2_m; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_2_tdata2 = tlu_io_trigger_pkt_any_2_tdata2; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_3_select = tlu_io_trigger_pkt_any_3_select; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_3_match_ = tlu_io_trigger_pkt_any_3_match_; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_3_execute = tlu_io_trigger_pkt_any_3_execute; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_3_m = tlu_io_trigger_pkt_any_3_m; // @[el2_dec.scala 321:34] + assign dec_trigger_io_trigger_pkt_any_3_tdata2 = tlu_io_trigger_pkt_any_3_tdata2; // @[el2_dec.scala 321:34] + assign dec_trigger_io_dec_i0_pc_d = instbuff_io_dec_i0_pc_d; // @[el2_dec.scala 320:30] endmodule diff --git a/el2_dec_decode_ctl.fir b/el2_dec_decode_ctl.fir index 6050ea51..341c2abd 100644 --- a/el2_dec_decode_ctl.fir +++ b/el2_dec_decode_ctl.fir @@ -2716,967 +2716,965 @@ circuit el2_dec_decode_ctl : node _T_15 = or(_T_13, _T_14) @[el2_dec_decode_ctl.scala 217:56] node _T_16 = xor(illegal_lockout_in, illegal_lockout) @[el2_dec_decode_ctl.scala 219:32] node data_gate_en = or(_T_15, _T_16) @[el2_dec_decode_ctl.scala 218:56] - inst data_gated_cgc of rvclkhdr @[el2_dec_decode_ctl.scala 222:29] - data_gated_cgc.clock <= clock - data_gated_cgc.reset <= reset - data_gated_cgc.io.en <= data_gate_en @[el2_dec_decode_ctl.scala 223:31] - data_gated_cgc.io.scan_mode <= io.scan_mode @[el2_dec_decode_ctl.scala 224:31] - data_gated_cgc.io.clk <= clock @[el2_dec_decode_ctl.scala 225:31] - node _T_17 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 230:62] - node i0_brp_valid = and(io.dec_i0_brp.valid, _T_17) @[el2_dec_decode_ctl.scala 230:60] - io.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 231:43] - io.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 232:43] - io.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 233:43] - io.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[el2_dec_decode_ctl.scala 234:43] - io.dec_i0_predict_p_d.bits.pja <= i0_pja @[el2_dec_decode_ctl.scala 235:43] - io.dec_i0_predict_p_d.bits.pret <= i0_pret @[el2_dec_decode_ctl.scala 236:43] - io.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[el2_dec_decode_ctl.scala 237:43] - io.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[el2_dec_decode_ctl.scala 238:43] - io.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[el2_dec_decode_ctl.scala 239:43] - node _T_18 = and(i0_brp_valid, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 240:55] - io.dec_i0_predict_p_d.valid <= _T_18 @[el2_dec_decode_ctl.scala 240:38] - node _T_19 = or(i0_dp_raw.condbr, i0_pcall_raw) @[el2_dec_decode_ctl.scala 241:75] - node _T_20 = or(_T_19, i0_pja_raw) @[el2_dec_decode_ctl.scala 241:90] - node _T_21 = or(_T_20, i0_pret_raw) @[el2_dec_decode_ctl.scala 241:103] - node _T_22 = eq(_T_21, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 241:56] - node i0_notbr_error = and(i0_brp_valid, _T_22) @[el2_dec_decode_ctl.scala 241:54] - node _T_23 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 244:72] - node _T_24 = and(i0_brp_valid, _T_23) @[el2_dec_decode_ctl.scala 244:47] - node _T_25 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[el2_dec_decode_ctl.scala 244:106] - node _T_26 = and(_T_24, _T_25) @[el2_dec_decode_ctl.scala 244:76] - node _T_27 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 244:126] - node i0_br_toffset_error = and(_T_26, _T_27) @[el2_dec_decode_ctl.scala 244:124] - node _T_28 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[el2_dec_decode_ctl.scala 245:47] - node _T_29 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 245:74] - node i0_ret_error = and(_T_28, _T_29) @[el2_dec_decode_ctl.scala 245:72] - node _T_30 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[el2_dec_decode_ctl.scala 246:62] - node _T_31 = or(_T_30, i0_br_toffset_error) @[el2_dec_decode_ctl.scala 246:79] - node i0_br_error = or(_T_31, i0_ret_error) @[el2_dec_decode_ctl.scala 246:101] - node _T_32 = and(i0_br_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 247:72] - node _T_33 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 247:94] - node _T_34 = and(_T_32, _T_33) @[el2_dec_decode_ctl.scala 247:92] - io.dec_i0_predict_p_d.bits.br_error <= _T_34 @[el2_dec_decode_ctl.scala 247:56] - node _T_35 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 248:94] - node _T_36 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 248:116] - node _T_37 = and(_T_35, _T_36) @[el2_dec_decode_ctl.scala 248:114] - io.dec_i0_predict_p_d.bits.br_start_error <= _T_37 @[el2_dec_decode_ctl.scala 248:56] - io.i0_predict_index_d <= io.dec_i0_bp_index @[el2_dec_decode_ctl.scala 249:32] - io.i0_predict_btag_d <= io.dec_i0_bp_btag @[el2_dec_decode_ctl.scala 250:32] - node _T_38 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[el2_dec_decode_ctl.scala 251:47] - node _T_39 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 251:86] - node i0_br_error_all = and(_T_38, _T_39) @[el2_dec_decode_ctl.scala 251:84] - io.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[el2_dec_decode_ctl.scala 252:49] - io.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[el2_dec_decode_ctl.scala 253:32] - io.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[el2_dec_decode_ctl.scala 254:56] - node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[el2_dec_decode_ctl.scala 260:36] - i0_dp.legal <= i0_dp_raw.legal @[el2_dec_decode_ctl.scala 263:9] - i0_dp.pm_alu <= i0_dp_raw.pm_alu @[el2_dec_decode_ctl.scala 263:9] - i0_dp.fence_i <= i0_dp_raw.fence_i @[el2_dec_decode_ctl.scala 263:9] - i0_dp.fence <= i0_dp_raw.fence @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rem <= i0_dp_raw.rem @[el2_dec_decode_ctl.scala 263:9] - i0_dp.div <= i0_dp_raw.div @[el2_dec_decode_ctl.scala 263:9] - i0_dp.low <= i0_dp_raw.low @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[el2_dec_decode_ctl.scala 263:9] - i0_dp.mul <= i0_dp_raw.mul @[el2_dec_decode_ctl.scala 263:9] - i0_dp.mret <= i0_dp_raw.mret @[el2_dec_decode_ctl.scala 263:9] - i0_dp.ecall <= i0_dp_raw.ecall @[el2_dec_decode_ctl.scala 263:9] - i0_dp.ebreak <= i0_dp_raw.ebreak @[el2_dec_decode_ctl.scala 263:9] - i0_dp.postsync <= i0_dp_raw.postsync @[el2_dec_decode_ctl.scala 263:9] - i0_dp.presync <= i0_dp_raw.presync @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_imm <= i0_dp_raw.csr_imm @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_write <= i0_dp_raw.csr_write @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_set <= i0_dp_raw.csr_set @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_clr <= i0_dp_raw.csr_clr @[el2_dec_decode_ctl.scala 263:9] - i0_dp.csr_read <= i0_dp_raw.csr_read @[el2_dec_decode_ctl.scala 263:9] - i0_dp.word <= i0_dp_raw.word @[el2_dec_decode_ctl.scala 263:9] - i0_dp.half <= i0_dp_raw.half @[el2_dec_decode_ctl.scala 263:9] - i0_dp.by <= i0_dp_raw.by @[el2_dec_decode_ctl.scala 263:9] - i0_dp.jal <= i0_dp_raw.jal @[el2_dec_decode_ctl.scala 263:9] - i0_dp.blt <= i0_dp_raw.blt @[el2_dec_decode_ctl.scala 263:9] - i0_dp.bge <= i0_dp_raw.bge @[el2_dec_decode_ctl.scala 263:9] - i0_dp.bne <= i0_dp_raw.bne @[el2_dec_decode_ctl.scala 263:9] - i0_dp.beq <= i0_dp_raw.beq @[el2_dec_decode_ctl.scala 263:9] - i0_dp.condbr <= i0_dp_raw.condbr @[el2_dec_decode_ctl.scala 263:9] - i0_dp.unsign <= i0_dp_raw.unsign @[el2_dec_decode_ctl.scala 263:9] - i0_dp.slt <= i0_dp_raw.slt @[el2_dec_decode_ctl.scala 263:9] - i0_dp.srl <= i0_dp_raw.srl @[el2_dec_decode_ctl.scala 263:9] - i0_dp.sra <= i0_dp_raw.sra @[el2_dec_decode_ctl.scala 263:9] - i0_dp.sll <= i0_dp_raw.sll @[el2_dec_decode_ctl.scala 263:9] - i0_dp.lxor <= i0_dp_raw.lxor @[el2_dec_decode_ctl.scala 263:9] - i0_dp.lor <= i0_dp_raw.lor @[el2_dec_decode_ctl.scala 263:9] - i0_dp.land <= i0_dp_raw.land @[el2_dec_decode_ctl.scala 263:9] - i0_dp.sub <= i0_dp_raw.sub @[el2_dec_decode_ctl.scala 263:9] - i0_dp.add <= i0_dp_raw.add @[el2_dec_decode_ctl.scala 263:9] - i0_dp.lsu <= i0_dp_raw.lsu @[el2_dec_decode_ctl.scala 263:9] - i0_dp.store <= i0_dp_raw.store @[el2_dec_decode_ctl.scala 263:9] - i0_dp.load <= i0_dp_raw.load @[el2_dec_decode_ctl.scala 263:9] - i0_dp.pc <= i0_dp_raw.pc @[el2_dec_decode_ctl.scala 263:9] - i0_dp.imm20 <= i0_dp_raw.imm20 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.shimm5 <= i0_dp_raw.shimm5 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rd <= i0_dp_raw.rd @[el2_dec_decode_ctl.scala 263:9] - i0_dp.imm12 <= i0_dp_raw.imm12 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs2 <= i0_dp_raw.rs2 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.rs1 <= i0_dp_raw.rs1 @[el2_dec_decode_ctl.scala 263:9] - i0_dp.alu <= i0_dp_raw.alu @[el2_dec_decode_ctl.scala 263:9] - node _T_40 = or(i0_br_error_all, i0_icaf_d) @[el2_dec_decode_ctl.scala 264:25] - node _T_41 = bits(_T_40, 0, 0) @[el2_dec_decode_ctl.scala 264:43] - when _T_41 : @[el2_dec_decode_ctl.scala 264:50] - wire _T_42 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 265:35] - _T_42.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.pm_alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.fence <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rem <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.div <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.mret <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.ecall <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.ebreak <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.postsync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.presync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_imm <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_write <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_set <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_clr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.csr_read <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.jal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.blt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.bge <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.bne <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.beq <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.condbr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.slt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.srl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.sra <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.sll <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.lxor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.lor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.land <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.sub <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.add <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.lsu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.pc <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.imm20 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.shimm5 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rd <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.imm12 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs2 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.rs1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - _T_42.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 265:35] - i0_dp.legal <= _T_42.legal @[el2_dec_decode_ctl.scala 265:20] - i0_dp.pm_alu <= _T_42.pm_alu @[el2_dec_decode_ctl.scala 265:20] - i0_dp.fence_i <= _T_42.fence_i @[el2_dec_decode_ctl.scala 265:20] - i0_dp.fence <= _T_42.fence @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rem <= _T_42.rem @[el2_dec_decode_ctl.scala 265:20] - i0_dp.div <= _T_42.div @[el2_dec_decode_ctl.scala 265:20] - i0_dp.low <= _T_42.low @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs2_sign <= _T_42.rs2_sign @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs1_sign <= _T_42.rs1_sign @[el2_dec_decode_ctl.scala 265:20] - i0_dp.mul <= _T_42.mul @[el2_dec_decode_ctl.scala 265:20] - i0_dp.mret <= _T_42.mret @[el2_dec_decode_ctl.scala 265:20] - i0_dp.ecall <= _T_42.ecall @[el2_dec_decode_ctl.scala 265:20] - i0_dp.ebreak <= _T_42.ebreak @[el2_dec_decode_ctl.scala 265:20] - i0_dp.postsync <= _T_42.postsync @[el2_dec_decode_ctl.scala 265:20] - i0_dp.presync <= _T_42.presync @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_imm <= _T_42.csr_imm @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_write <= _T_42.csr_write @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_set <= _T_42.csr_set @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_clr <= _T_42.csr_clr @[el2_dec_decode_ctl.scala 265:20] - i0_dp.csr_read <= _T_42.csr_read @[el2_dec_decode_ctl.scala 265:20] - i0_dp.word <= _T_42.word @[el2_dec_decode_ctl.scala 265:20] - i0_dp.half <= _T_42.half @[el2_dec_decode_ctl.scala 265:20] - i0_dp.by <= _T_42.by @[el2_dec_decode_ctl.scala 265:20] - i0_dp.jal <= _T_42.jal @[el2_dec_decode_ctl.scala 265:20] - i0_dp.blt <= _T_42.blt @[el2_dec_decode_ctl.scala 265:20] - i0_dp.bge <= _T_42.bge @[el2_dec_decode_ctl.scala 265:20] - i0_dp.bne <= _T_42.bne @[el2_dec_decode_ctl.scala 265:20] - i0_dp.beq <= _T_42.beq @[el2_dec_decode_ctl.scala 265:20] - i0_dp.condbr <= _T_42.condbr @[el2_dec_decode_ctl.scala 265:20] - i0_dp.unsign <= _T_42.unsign @[el2_dec_decode_ctl.scala 265:20] - i0_dp.slt <= _T_42.slt @[el2_dec_decode_ctl.scala 265:20] - i0_dp.srl <= _T_42.srl @[el2_dec_decode_ctl.scala 265:20] - i0_dp.sra <= _T_42.sra @[el2_dec_decode_ctl.scala 265:20] - i0_dp.sll <= _T_42.sll @[el2_dec_decode_ctl.scala 265:20] - i0_dp.lxor <= _T_42.lxor @[el2_dec_decode_ctl.scala 265:20] - i0_dp.lor <= _T_42.lor @[el2_dec_decode_ctl.scala 265:20] - i0_dp.land <= _T_42.land @[el2_dec_decode_ctl.scala 265:20] - i0_dp.sub <= _T_42.sub @[el2_dec_decode_ctl.scala 265:20] - i0_dp.add <= _T_42.add @[el2_dec_decode_ctl.scala 265:20] - i0_dp.lsu <= _T_42.lsu @[el2_dec_decode_ctl.scala 265:20] - i0_dp.store <= _T_42.store @[el2_dec_decode_ctl.scala 265:20] - i0_dp.load <= _T_42.load @[el2_dec_decode_ctl.scala 265:20] - i0_dp.pc <= _T_42.pc @[el2_dec_decode_ctl.scala 265:20] - i0_dp.imm20 <= _T_42.imm20 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.shimm5 <= _T_42.shimm5 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rd <= _T_42.rd @[el2_dec_decode_ctl.scala 265:20] - i0_dp.imm12 <= _T_42.imm12 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs2 <= _T_42.rs2 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.rs1 <= _T_42.rs1 @[el2_dec_decode_ctl.scala 265:20] - i0_dp.alu <= _T_42.alu @[el2_dec_decode_ctl.scala 265:20] - i0_dp.alu <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 266:20] - i0_dp.rs1 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 267:20] - i0_dp.rs2 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 268:20] - i0_dp.lor <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 269:20] - i0_dp.legal <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 270:20] - i0_dp.postsync <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 271:20] - skip @[el2_dec_decode_ctl.scala 264:50] - io.dec_i0_select_pc_d <= i0_dp.pc @[el2_dec_decode_ctl.scala 275:25] - node _T_43 = or(i0_dp.condbr, i0_pcall) @[el2_dec_decode_ctl.scala 278:38] - node _T_44 = or(_T_43, i0_pja) @[el2_dec_decode_ctl.scala 278:49] - node i0_predict_br = or(_T_44, i0_pret) @[el2_dec_decode_ctl.scala 278:58] - node _T_45 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 280:51] - node _T_46 = and(_T_45, i0_brp_valid) @[el2_dec_decode_ctl.scala 280:55] - node _T_47 = eq(_T_46, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 280:26] - node i0_predict_nt = and(_T_47, i0_predict_br) @[el2_dec_decode_ctl.scala 280:71] - node _T_48 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 281:51] - node _T_49 = and(_T_48, i0_brp_valid) @[el2_dec_decode_ctl.scala 281:55] - node i0_predict_t = and(_T_49, i0_predict_br) @[el2_dec_decode_ctl.scala 281:71] - node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 282:20] - io.i0_ap.predict_nt <= i0_predict_nt @[el2_dec_decode_ctl.scala 284:26] - io.i0_ap.predict_t <= i0_predict_t @[el2_dec_decode_ctl.scala 285:26] - io.i0_ap.add <= i0_dp.add @[el2_dec_decode_ctl.scala 287:20] - io.i0_ap.sub <= i0_dp.sub @[el2_dec_decode_ctl.scala 288:20] - io.i0_ap.land <= i0_dp.land @[el2_dec_decode_ctl.scala 289:20] - io.i0_ap.lor <= i0_dp.lor @[el2_dec_decode_ctl.scala 290:20] - io.i0_ap.lxor <= i0_dp.lxor @[el2_dec_decode_ctl.scala 291:20] - io.i0_ap.sll <= i0_dp.sll @[el2_dec_decode_ctl.scala 292:20] - io.i0_ap.srl <= i0_dp.srl @[el2_dec_decode_ctl.scala 293:20] - io.i0_ap.sra <= i0_dp.sra @[el2_dec_decode_ctl.scala 294:20] - io.i0_ap.slt <= i0_dp.slt @[el2_dec_decode_ctl.scala 295:20] - io.i0_ap.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 296:20] - io.i0_ap.beq <= i0_dp.beq @[el2_dec_decode_ctl.scala 297:20] - io.i0_ap.bne <= i0_dp.bne @[el2_dec_decode_ctl.scala 298:20] - io.i0_ap.blt <= i0_dp.blt @[el2_dec_decode_ctl.scala 299:20] - io.i0_ap.bge <= i0_dp.bge @[el2_dec_decode_ctl.scala 300:20] - io.i0_ap.csr_write <= i0_csr_write_only_d @[el2_dec_decode_ctl.scala 301:22] - io.i0_ap.csr_imm <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 302:22] - io.i0_ap.jal <= i0_jal @[el2_dec_decode_ctl.scala 303:22] - node _T_50 = eq(cam[0].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_51 = bits(_T_50, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_52 = shl(cam_write, 0) @[el2_dec_decode_ctl.scala 307:158] - node _T_53 = eq(cam[1].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_54 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_55 = bits(_T_53, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_56 = and(_T_54, _T_55) @[el2_dec_decode_ctl.scala 307:126] - node _T_57 = bits(_T_56, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_58 = shl(cam_write, 1) @[el2_dec_decode_ctl.scala 307:158] - node _T_59 = eq(cam[2].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_60 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_61 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_62 = and(_T_60, _T_61) @[el2_dec_decode_ctl.scala 307:126] - node _T_63 = bits(_T_62, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_64 = bits(_T_59, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_65 = and(_T_63, _T_64) @[el2_dec_decode_ctl.scala 307:126] - node _T_66 = bits(_T_65, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_67 = shl(cam_write, 2) @[el2_dec_decode_ctl.scala 307:158] - node _T_68 = eq(cam[3].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 307:78] - node _T_69 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_70 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_71 = and(_T_69, _T_70) @[el2_dec_decode_ctl.scala 307:126] - node _T_72 = bits(_T_71, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_73 = bits(cam[2].valid, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_74 = and(_T_72, _T_73) @[el2_dec_decode_ctl.scala 307:126] - node _T_75 = bits(_T_74, 0, 0) @[el2_dec_decode_ctl.scala 307:120] - node _T_76 = bits(_T_68, 0, 0) @[el2_dec_decode_ctl.scala 307:129] - node _T_77 = and(_T_75, _T_76) @[el2_dec_decode_ctl.scala 307:126] - node _T_78 = bits(_T_77, 0, 0) @[el2_dec_decode_ctl.scala 307:137] - node _T_79 = shl(cam_write, 3) @[el2_dec_decode_ctl.scala 307:158] - node _T_80 = mux(_T_51, _T_52, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_81 = mux(_T_57, _T_58, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_82 = mux(_T_66, _T_67, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_83 = mux(_T_78, _T_79, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_84 = or(_T_80, _T_81) @[Mux.scala 27:72] - node _T_85 = or(_T_84, _T_82) @[Mux.scala 27:72] + node _T_17 = bits(data_gate_en, 0, 0) @[el2_dec_decode_ctl.scala 222:56] + inst rvclkhdr of rvclkhdr @[el2_lib.scala 483:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[el2_lib.scala 484:17] + rvclkhdr.io.en <= _T_17 @[el2_lib.scala 485:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 486:23] + node _T_18 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 226:62] + node i0_brp_valid = and(io.dec_i0_brp.valid, _T_18) @[el2_dec_decode_ctl.scala 226:60] + io.dec_i0_predict_p_d.bits.misp <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 227:43] + io.dec_i0_predict_p_d.bits.ataken <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 228:43] + io.dec_i0_predict_p_d.bits.boffset <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 229:43] + io.dec_i0_predict_p_d.bits.pcall <= i0_pcall @[el2_dec_decode_ctl.scala 230:43] + io.dec_i0_predict_p_d.bits.pja <= i0_pja @[el2_dec_decode_ctl.scala 231:43] + io.dec_i0_predict_p_d.bits.pret <= i0_pret @[el2_dec_decode_ctl.scala 232:43] + io.dec_i0_predict_p_d.bits.prett <= io.dec_i0_brp.bits.prett @[el2_dec_decode_ctl.scala 233:43] + io.dec_i0_predict_p_d.bits.pc4 <= io.dec_i0_pc4_d @[el2_dec_decode_ctl.scala 234:43] + io.dec_i0_predict_p_d.bits.hist <= io.dec_i0_brp.bits.hist @[el2_dec_decode_ctl.scala 235:43] + node _T_19 = and(i0_brp_valid, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 236:55] + io.dec_i0_predict_p_d.valid <= _T_19 @[el2_dec_decode_ctl.scala 236:38] + node _T_20 = or(i0_dp_raw.condbr, i0_pcall_raw) @[el2_dec_decode_ctl.scala 237:75] + node _T_21 = or(_T_20, i0_pja_raw) @[el2_dec_decode_ctl.scala 237:90] + node _T_22 = or(_T_21, i0_pret_raw) @[el2_dec_decode_ctl.scala 237:103] + node _T_23 = eq(_T_22, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 237:56] + node i0_notbr_error = and(i0_brp_valid, _T_23) @[el2_dec_decode_ctl.scala 237:54] + node _T_24 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 240:72] + node _T_25 = and(i0_brp_valid, _T_24) @[el2_dec_decode_ctl.scala 240:47] + node _T_26 = neq(io.dec_i0_brp.bits.toffset, i0_br_offset) @[el2_dec_decode_ctl.scala 240:106] + node _T_27 = and(_T_25, _T_26) @[el2_dec_decode_ctl.scala 240:76] + node _T_28 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 240:126] + node i0_br_toffset_error = and(_T_27, _T_28) @[el2_dec_decode_ctl.scala 240:124] + node _T_29 = and(i0_brp_valid, io.dec_i0_brp.bits.ret) @[el2_dec_decode_ctl.scala 241:47] + node _T_30 = eq(i0_pret_raw, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 241:74] + node i0_ret_error = and(_T_29, _T_30) @[el2_dec_decode_ctl.scala 241:72] + node _T_31 = or(io.dec_i0_brp.bits.br_error, i0_notbr_error) @[el2_dec_decode_ctl.scala 242:62] + node _T_32 = or(_T_31, i0_br_toffset_error) @[el2_dec_decode_ctl.scala 242:79] + node i0_br_error = or(_T_32, i0_ret_error) @[el2_dec_decode_ctl.scala 242:101] + node _T_33 = and(i0_br_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 243:72] + node _T_34 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 243:94] + node _T_35 = and(_T_33, _T_34) @[el2_dec_decode_ctl.scala 243:92] + io.dec_i0_predict_p_d.bits.br_error <= _T_35 @[el2_dec_decode_ctl.scala 243:56] + node _T_36 = and(io.dec_i0_brp.bits.br_start_error, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 244:94] + node _T_37 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 244:116] + node _T_38 = and(_T_36, _T_37) @[el2_dec_decode_ctl.scala 244:114] + io.dec_i0_predict_p_d.bits.br_start_error <= _T_38 @[el2_dec_decode_ctl.scala 244:56] + io.i0_predict_index_d <= io.dec_i0_bp_index @[el2_dec_decode_ctl.scala 245:32] + io.i0_predict_btag_d <= io.dec_i0_bp_btag @[el2_dec_decode_ctl.scala 246:32] + node _T_39 = or(i0_br_error, io.dec_i0_brp.bits.br_start_error) @[el2_dec_decode_ctl.scala 247:47] + node _T_40 = eq(leak1_mode, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 247:86] + node i0_br_error_all = and(_T_39, _T_40) @[el2_dec_decode_ctl.scala 247:84] + io.dec_i0_predict_p_d.bits.toffset <= i0_br_offset @[el2_dec_decode_ctl.scala 248:49] + io.i0_predict_fghr_d <= io.dec_i0_bp_fghr @[el2_dec_decode_ctl.scala 249:32] + io.dec_i0_predict_p_d.bits.way <= io.dec_i0_brp.bits.way @[el2_dec_decode_ctl.scala 250:56] + node i0_icaf_d = or(io.dec_i0_icaf_d, io.dec_i0_dbecc_d) @[el2_dec_decode_ctl.scala 256:36] + i0_dp.legal <= i0_dp_raw.legal @[el2_dec_decode_ctl.scala 259:9] + i0_dp.pm_alu <= i0_dp_raw.pm_alu @[el2_dec_decode_ctl.scala 259:9] + i0_dp.fence_i <= i0_dp_raw.fence_i @[el2_dec_decode_ctl.scala 259:9] + i0_dp.fence <= i0_dp_raw.fence @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rem <= i0_dp_raw.rem @[el2_dec_decode_ctl.scala 259:9] + i0_dp.div <= i0_dp_raw.div @[el2_dec_decode_ctl.scala 259:9] + i0_dp.low <= i0_dp_raw.low @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs2_sign <= i0_dp_raw.rs2_sign @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs1_sign <= i0_dp_raw.rs1_sign @[el2_dec_decode_ctl.scala 259:9] + i0_dp.mul <= i0_dp_raw.mul @[el2_dec_decode_ctl.scala 259:9] + i0_dp.mret <= i0_dp_raw.mret @[el2_dec_decode_ctl.scala 259:9] + i0_dp.ecall <= i0_dp_raw.ecall @[el2_dec_decode_ctl.scala 259:9] + i0_dp.ebreak <= i0_dp_raw.ebreak @[el2_dec_decode_ctl.scala 259:9] + i0_dp.postsync <= i0_dp_raw.postsync @[el2_dec_decode_ctl.scala 259:9] + i0_dp.presync <= i0_dp_raw.presync @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_imm <= i0_dp_raw.csr_imm @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_write <= i0_dp_raw.csr_write @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_set <= i0_dp_raw.csr_set @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_clr <= i0_dp_raw.csr_clr @[el2_dec_decode_ctl.scala 259:9] + i0_dp.csr_read <= i0_dp_raw.csr_read @[el2_dec_decode_ctl.scala 259:9] + i0_dp.word <= i0_dp_raw.word @[el2_dec_decode_ctl.scala 259:9] + i0_dp.half <= i0_dp_raw.half @[el2_dec_decode_ctl.scala 259:9] + i0_dp.by <= i0_dp_raw.by @[el2_dec_decode_ctl.scala 259:9] + i0_dp.jal <= i0_dp_raw.jal @[el2_dec_decode_ctl.scala 259:9] + i0_dp.blt <= i0_dp_raw.blt @[el2_dec_decode_ctl.scala 259:9] + i0_dp.bge <= i0_dp_raw.bge @[el2_dec_decode_ctl.scala 259:9] + i0_dp.bne <= i0_dp_raw.bne @[el2_dec_decode_ctl.scala 259:9] + i0_dp.beq <= i0_dp_raw.beq @[el2_dec_decode_ctl.scala 259:9] + i0_dp.condbr <= i0_dp_raw.condbr @[el2_dec_decode_ctl.scala 259:9] + i0_dp.unsign <= i0_dp_raw.unsign @[el2_dec_decode_ctl.scala 259:9] + i0_dp.slt <= i0_dp_raw.slt @[el2_dec_decode_ctl.scala 259:9] + i0_dp.srl <= i0_dp_raw.srl @[el2_dec_decode_ctl.scala 259:9] + i0_dp.sra <= i0_dp_raw.sra @[el2_dec_decode_ctl.scala 259:9] + i0_dp.sll <= i0_dp_raw.sll @[el2_dec_decode_ctl.scala 259:9] + i0_dp.lxor <= i0_dp_raw.lxor @[el2_dec_decode_ctl.scala 259:9] + i0_dp.lor <= i0_dp_raw.lor @[el2_dec_decode_ctl.scala 259:9] + i0_dp.land <= i0_dp_raw.land @[el2_dec_decode_ctl.scala 259:9] + i0_dp.sub <= i0_dp_raw.sub @[el2_dec_decode_ctl.scala 259:9] + i0_dp.add <= i0_dp_raw.add @[el2_dec_decode_ctl.scala 259:9] + i0_dp.lsu <= i0_dp_raw.lsu @[el2_dec_decode_ctl.scala 259:9] + i0_dp.store <= i0_dp_raw.store @[el2_dec_decode_ctl.scala 259:9] + i0_dp.load <= i0_dp_raw.load @[el2_dec_decode_ctl.scala 259:9] + i0_dp.pc <= i0_dp_raw.pc @[el2_dec_decode_ctl.scala 259:9] + i0_dp.imm20 <= i0_dp_raw.imm20 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.shimm5 <= i0_dp_raw.shimm5 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rd <= i0_dp_raw.rd @[el2_dec_decode_ctl.scala 259:9] + i0_dp.imm12 <= i0_dp_raw.imm12 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs2 <= i0_dp_raw.rs2 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.rs1 <= i0_dp_raw.rs1 @[el2_dec_decode_ctl.scala 259:9] + i0_dp.alu <= i0_dp_raw.alu @[el2_dec_decode_ctl.scala 259:9] + node _T_41 = or(i0_br_error_all, i0_icaf_d) @[el2_dec_decode_ctl.scala 260:25] + node _T_42 = bits(_T_41, 0, 0) @[el2_dec_decode_ctl.scala 260:43] + when _T_42 : @[el2_dec_decode_ctl.scala 260:50] + wire _T_43 : {alu : UInt<1>, rs1 : UInt<1>, rs2 : UInt<1>, imm12 : UInt<1>, rd : UInt<1>, shimm5 : UInt<1>, imm20 : UInt<1>, pc : UInt<1>, load : UInt<1>, store : UInt<1>, lsu : UInt<1>, add : UInt<1>, sub : UInt<1>, land : UInt<1>, lor : UInt<1>, lxor : UInt<1>, sll : UInt<1>, sra : UInt<1>, srl : UInt<1>, slt : UInt<1>, unsign : UInt<1>, condbr : UInt<1>, beq : UInt<1>, bne : UInt<1>, bge : UInt<1>, blt : UInt<1>, jal : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, csr_read : UInt<1>, csr_clr : UInt<1>, csr_set : UInt<1>, csr_write : UInt<1>, csr_imm : UInt<1>, presync : UInt<1>, postsync : UInt<1>, ebreak : UInt<1>, ecall : UInt<1>, mret : UInt<1>, mul : UInt<1>, rs1_sign : UInt<1>, rs2_sign : UInt<1>, low : UInt<1>, div : UInt<1>, rem : UInt<1>, fence : UInt<1>, fence_i : UInt<1>, pm_alu : UInt<1>, legal : UInt<1>} @[el2_dec_decode_ctl.scala 261:35] + _T_43.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.pm_alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.fence <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rem <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.div <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.low <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs2_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs1_sign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.mret <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.ecall <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.ebreak <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.postsync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.presync <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_imm <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_write <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_set <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_clr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.csr_read <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.jal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.blt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.bge <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.bne <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.beq <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.condbr <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.slt <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.srl <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.sra <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.sll <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.lxor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.lor <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.land <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.sub <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.add <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.lsu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.pc <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.imm20 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.shimm5 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rd <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.imm12 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs2 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.rs1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + _T_43.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 261:35] + i0_dp.legal <= _T_43.legal @[el2_dec_decode_ctl.scala 261:20] + i0_dp.pm_alu <= _T_43.pm_alu @[el2_dec_decode_ctl.scala 261:20] + i0_dp.fence_i <= _T_43.fence_i @[el2_dec_decode_ctl.scala 261:20] + i0_dp.fence <= _T_43.fence @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rem <= _T_43.rem @[el2_dec_decode_ctl.scala 261:20] + i0_dp.div <= _T_43.div @[el2_dec_decode_ctl.scala 261:20] + i0_dp.low <= _T_43.low @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs2_sign <= _T_43.rs2_sign @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs1_sign <= _T_43.rs1_sign @[el2_dec_decode_ctl.scala 261:20] + i0_dp.mul <= _T_43.mul @[el2_dec_decode_ctl.scala 261:20] + i0_dp.mret <= _T_43.mret @[el2_dec_decode_ctl.scala 261:20] + i0_dp.ecall <= _T_43.ecall @[el2_dec_decode_ctl.scala 261:20] + i0_dp.ebreak <= _T_43.ebreak @[el2_dec_decode_ctl.scala 261:20] + i0_dp.postsync <= _T_43.postsync @[el2_dec_decode_ctl.scala 261:20] + i0_dp.presync <= _T_43.presync @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_imm <= _T_43.csr_imm @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_write <= _T_43.csr_write @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_set <= _T_43.csr_set @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_clr <= _T_43.csr_clr @[el2_dec_decode_ctl.scala 261:20] + i0_dp.csr_read <= _T_43.csr_read @[el2_dec_decode_ctl.scala 261:20] + i0_dp.word <= _T_43.word @[el2_dec_decode_ctl.scala 261:20] + i0_dp.half <= _T_43.half @[el2_dec_decode_ctl.scala 261:20] + i0_dp.by <= _T_43.by @[el2_dec_decode_ctl.scala 261:20] + i0_dp.jal <= _T_43.jal @[el2_dec_decode_ctl.scala 261:20] + i0_dp.blt <= _T_43.blt @[el2_dec_decode_ctl.scala 261:20] + i0_dp.bge <= _T_43.bge @[el2_dec_decode_ctl.scala 261:20] + i0_dp.bne <= _T_43.bne @[el2_dec_decode_ctl.scala 261:20] + i0_dp.beq <= _T_43.beq @[el2_dec_decode_ctl.scala 261:20] + i0_dp.condbr <= _T_43.condbr @[el2_dec_decode_ctl.scala 261:20] + i0_dp.unsign <= _T_43.unsign @[el2_dec_decode_ctl.scala 261:20] + i0_dp.slt <= _T_43.slt @[el2_dec_decode_ctl.scala 261:20] + i0_dp.srl <= _T_43.srl @[el2_dec_decode_ctl.scala 261:20] + i0_dp.sra <= _T_43.sra @[el2_dec_decode_ctl.scala 261:20] + i0_dp.sll <= _T_43.sll @[el2_dec_decode_ctl.scala 261:20] + i0_dp.lxor <= _T_43.lxor @[el2_dec_decode_ctl.scala 261:20] + i0_dp.lor <= _T_43.lor @[el2_dec_decode_ctl.scala 261:20] + i0_dp.land <= _T_43.land @[el2_dec_decode_ctl.scala 261:20] + i0_dp.sub <= _T_43.sub @[el2_dec_decode_ctl.scala 261:20] + i0_dp.add <= _T_43.add @[el2_dec_decode_ctl.scala 261:20] + i0_dp.lsu <= _T_43.lsu @[el2_dec_decode_ctl.scala 261:20] + i0_dp.store <= _T_43.store @[el2_dec_decode_ctl.scala 261:20] + i0_dp.load <= _T_43.load @[el2_dec_decode_ctl.scala 261:20] + i0_dp.pc <= _T_43.pc @[el2_dec_decode_ctl.scala 261:20] + i0_dp.imm20 <= _T_43.imm20 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.shimm5 <= _T_43.shimm5 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rd <= _T_43.rd @[el2_dec_decode_ctl.scala 261:20] + i0_dp.imm12 <= _T_43.imm12 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs2 <= _T_43.rs2 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.rs1 <= _T_43.rs1 @[el2_dec_decode_ctl.scala 261:20] + i0_dp.alu <= _T_43.alu @[el2_dec_decode_ctl.scala 261:20] + i0_dp.alu <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 262:20] + i0_dp.rs1 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 263:20] + i0_dp.rs2 <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 264:20] + i0_dp.lor <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 265:20] + i0_dp.legal <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 266:20] + i0_dp.postsync <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 267:20] + skip @[el2_dec_decode_ctl.scala 260:50] + io.dec_i0_select_pc_d <= i0_dp.pc @[el2_dec_decode_ctl.scala 271:25] + node _T_44 = or(i0_dp.condbr, i0_pcall) @[el2_dec_decode_ctl.scala 274:38] + node _T_45 = or(_T_44, i0_pja) @[el2_dec_decode_ctl.scala 274:49] + node i0_predict_br = or(_T_45, i0_pret) @[el2_dec_decode_ctl.scala 274:58] + node _T_46 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 276:51] + node _T_47 = and(_T_46, i0_brp_valid) @[el2_dec_decode_ctl.scala 276:55] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 276:26] + node i0_predict_nt = and(_T_48, i0_predict_br) @[el2_dec_decode_ctl.scala 276:71] + node _T_49 = bits(io.dec_i0_brp.bits.hist, 1, 1) @[el2_dec_decode_ctl.scala 277:51] + node _T_50 = and(_T_49, i0_brp_valid) @[el2_dec_decode_ctl.scala 277:55] + node i0_predict_t = and(_T_50, i0_predict_br) @[el2_dec_decode_ctl.scala 277:71] + node i0_ap_pc2 = eq(io.dec_i0_pc4_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 278:20] + io.i0_ap.predict_nt <= i0_predict_nt @[el2_dec_decode_ctl.scala 280:26] + io.i0_ap.predict_t <= i0_predict_t @[el2_dec_decode_ctl.scala 281:26] + io.i0_ap.add <= i0_dp.add @[el2_dec_decode_ctl.scala 283:20] + io.i0_ap.sub <= i0_dp.sub @[el2_dec_decode_ctl.scala 284:20] + io.i0_ap.land <= i0_dp.land @[el2_dec_decode_ctl.scala 285:20] + io.i0_ap.lor <= i0_dp.lor @[el2_dec_decode_ctl.scala 286:20] + io.i0_ap.lxor <= i0_dp.lxor @[el2_dec_decode_ctl.scala 287:20] + io.i0_ap.sll <= i0_dp.sll @[el2_dec_decode_ctl.scala 288:20] + io.i0_ap.srl <= i0_dp.srl @[el2_dec_decode_ctl.scala 289:20] + io.i0_ap.sra <= i0_dp.sra @[el2_dec_decode_ctl.scala 290:20] + io.i0_ap.slt <= i0_dp.slt @[el2_dec_decode_ctl.scala 291:20] + io.i0_ap.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 292:20] + io.i0_ap.beq <= i0_dp.beq @[el2_dec_decode_ctl.scala 293:20] + io.i0_ap.bne <= i0_dp.bne @[el2_dec_decode_ctl.scala 294:20] + io.i0_ap.blt <= i0_dp.blt @[el2_dec_decode_ctl.scala 295:20] + io.i0_ap.bge <= i0_dp.bge @[el2_dec_decode_ctl.scala 296:20] + io.i0_ap.csr_write <= i0_csr_write_only_d @[el2_dec_decode_ctl.scala 297:22] + io.i0_ap.csr_imm <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 298:22] + io.i0_ap.jal <= i0_jal @[el2_dec_decode_ctl.scala 299:22] + node _T_51 = eq(cam[0].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_52 = bits(_T_51, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_53 = shl(cam_write, 0) @[el2_dec_decode_ctl.scala 303:158] + node _T_54 = eq(cam[1].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_55 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_56 = bits(_T_54, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_57 = and(_T_55, _T_56) @[el2_dec_decode_ctl.scala 303:126] + node _T_58 = bits(_T_57, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_59 = shl(cam_write, 1) @[el2_dec_decode_ctl.scala 303:158] + node _T_60 = eq(cam[2].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_61 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_62 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_63 = and(_T_61, _T_62) @[el2_dec_decode_ctl.scala 303:126] + node _T_64 = bits(_T_63, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_65 = bits(_T_60, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_66 = and(_T_64, _T_65) @[el2_dec_decode_ctl.scala 303:126] + node _T_67 = bits(_T_66, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_68 = shl(cam_write, 2) @[el2_dec_decode_ctl.scala 303:158] + node _T_69 = eq(cam[3].valid, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 303:78] + node _T_70 = bits(cam[0].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_71 = bits(cam[1].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_72 = and(_T_70, _T_71) @[el2_dec_decode_ctl.scala 303:126] + node _T_73 = bits(_T_72, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_74 = bits(cam[2].valid, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_75 = and(_T_73, _T_74) @[el2_dec_decode_ctl.scala 303:126] + node _T_76 = bits(_T_75, 0, 0) @[el2_dec_decode_ctl.scala 303:120] + node _T_77 = bits(_T_69, 0, 0) @[el2_dec_decode_ctl.scala 303:129] + node _T_78 = and(_T_76, _T_77) @[el2_dec_decode_ctl.scala 303:126] + node _T_79 = bits(_T_78, 0, 0) @[el2_dec_decode_ctl.scala 303:137] + node _T_80 = shl(cam_write, 3) @[el2_dec_decode_ctl.scala 303:158] + node _T_81 = mux(_T_52, _T_53, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_82 = mux(_T_58, _T_59, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_83 = mux(_T_67, _T_68, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_84 = mux(_T_79, _T_80, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_85 = or(_T_81, _T_82) @[Mux.scala 27:72] node _T_86 = or(_T_85, _T_83) @[Mux.scala 27:72] - wire _T_87 : UInt<4> @[Mux.scala 27:72] - _T_87 <= _T_86 @[Mux.scala 27:72] - cam_wen <= _T_87 @[el2_dec_decode_ctl.scala 307:11] - cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 309:25] - node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 310:54] - node cam_inv_reset_tag = bits(io.lsu_nonblock_load_inv_tag_r, 1, 0) @[el2_dec_decode_ctl.scala 313:59] - node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 315:63] - node cam_data_reset_tag = bits(io.lsu_nonblock_load_data_tag, 1, 0) @[el2_dec_decode_ctl.scala 316:60] - node _T_88 = bits(x_d.bits.i0load, 0, 0) @[el2_dec_decode_ctl.scala 318:48] - node nonblock_load_rd = mux(_T_88, x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 318:31] - node _T_89 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 322:116] + node _T_87 = or(_T_86, _T_84) @[Mux.scala 27:72] + wire _T_88 : UInt<4> @[Mux.scala 27:72] + _T_88 <= _T_87 @[Mux.scala 27:72] + cam_wen <= _T_88 @[el2_dec_decode_ctl.scala 303:11] + cam_write <= io.lsu_nonblock_load_valid_m @[el2_dec_decode_ctl.scala 305:25] + node cam_write_tag = bits(io.lsu_nonblock_load_tag_m, 1, 0) @[el2_dec_decode_ctl.scala 306:54] + node cam_data_reset = or(io.lsu_nonblock_load_data_valid, io.lsu_nonblock_load_data_error) @[el2_dec_decode_ctl.scala 311:63] + node _T_89 = bits(x_d.bits.i0load, 0, 0) @[el2_dec_decode_ctl.scala 314:48] + node nonblock_load_rd = mux(_T_89, x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 314:31] + node _T_90 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 318:116] reg nonblock_load_valid_m_delay : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_89 : @[Reg.scala 28:19] + when _T_90 : @[Reg.scala 28:19] nonblock_load_valid_m_delay <= io.lsu_nonblock_load_valid_m @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 323:56] - node _T_90 = eq(cam_inv_reset_tag, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_91 = and(io.lsu_nonblock_load_inv_r, _T_90) @[el2_dec_decode_ctl.scala 325:45] - node _T_92 = and(_T_91, cam[0].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[0] <= _T_92 @[el2_dec_decode_ctl.scala 325:26] - node _T_93 = eq(cam_data_reset_tag, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_94 = and(cam_data_reset, _T_93) @[el2_dec_decode_ctl.scala 326:45] - node _T_95 = and(_T_94, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[0] <= _T_95 @[el2_dec_decode_ctl.scala 326:27] - wire _T_96 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_96.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_96.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_96.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_96.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[0].bits.rd <= _T_96.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[0].bits.tag <= _T_96.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[0].bits.wb <= _T_96.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[0].valid <= _T_96.valid @[el2_dec_decode_ctl.scala 327:14] - cam[0].bits.rd <= cam_raw[0].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[0].bits.tag <= cam_raw[0].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[0].bits.wb <= cam_raw[0].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[0].valid <= cam_raw[0].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_97 = bits(cam_data_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_97 : @[el2_dec_decode_ctl.scala 330:39] - cam[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_98 = bits(cam_wen, 0, 0) @[el2_dec_decode_ctl.scala 333:17] - node _T_99 = bits(_T_98, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_99 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[0].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[0].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[0].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[0].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_100 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_101 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_102 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_103 = and(_T_101, _T_102) @[el2_dec_decode_ctl.scala 338:64] - node _T_104 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_105 = and(_T_103, _T_104) @[el2_dec_decode_ctl.scala 338:105] - node _T_106 = or(_T_100, _T_105) @[el2_dec_decode_ctl.scala 338:44] - when _T_106 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[0].bits.rd <= cam[0].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[0].bits.tag <= cam[0].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[0].bits.wb <= cam[0].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[0].valid <= cam[0].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_107 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_108 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_109 = and(_T_107, _T_108) @[el2_dec_decode_ctl.scala 343:44] - node _T_110 = eq(cam[0].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_111 = and(_T_109, _T_110) @[el2_dec_decode_ctl.scala 343:100] - when _T_111 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[0].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_112 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_112.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_112.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_112.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_112.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_112)) @[el2_dec_decode_ctl.scala 351:47] - _T_113.bits.rd <= cam_in[0].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_113.bits.tag <= cam_in[0].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_113.bits.wb <= cam_in[0].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_113.valid <= cam_in[0].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[0].bits.rd <= _T_113.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[0].bits.tag <= _T_113.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[0].bits.wb <= _T_113.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[0].valid <= _T_113.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_114 = eq(io.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_115 = and(_T_114, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[0] <= _T_115 @[el2_dec_decode_ctl.scala 352:28] - node _T_116 = eq(cam_inv_reset_tag, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_117 = and(io.lsu_nonblock_load_inv_r, _T_116) @[el2_dec_decode_ctl.scala 325:45] - node _T_118 = and(_T_117, cam[1].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[1] <= _T_118 @[el2_dec_decode_ctl.scala 325:26] - node _T_119 = eq(cam_data_reset_tag, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_120 = and(cam_data_reset, _T_119) @[el2_dec_decode_ctl.scala 326:45] - node _T_121 = and(_T_120, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[1] <= _T_121 @[el2_dec_decode_ctl.scala 326:27] - wire _T_122 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_122.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_122.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_122.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_122.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[1].bits.rd <= _T_122.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[1].bits.tag <= _T_122.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[1].bits.wb <= _T_122.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[1].valid <= _T_122.valid @[el2_dec_decode_ctl.scala 327:14] - cam[1].bits.rd <= cam_raw[1].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[1].bits.tag <= cam_raw[1].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[1].bits.wb <= cam_raw[1].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[1].valid <= cam_raw[1].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_123 = bits(cam_data_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_123 : @[el2_dec_decode_ctl.scala 330:39] - cam[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_124 = bits(cam_wen, 1, 1) @[el2_dec_decode_ctl.scala 333:17] - node _T_125 = bits(_T_124, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_125 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[1].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[1].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[1].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[1].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_126 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_127 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_128 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_129 = and(_T_127, _T_128) @[el2_dec_decode_ctl.scala 338:64] - node _T_130 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_131 = and(_T_129, _T_130) @[el2_dec_decode_ctl.scala 338:105] - node _T_132 = or(_T_126, _T_131) @[el2_dec_decode_ctl.scala 338:44] - when _T_132 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[1].bits.rd <= cam[1].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[1].bits.tag <= cam[1].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[1].bits.wb <= cam[1].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[1].valid <= cam[1].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_133 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_134 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_135 = and(_T_133, _T_134) @[el2_dec_decode_ctl.scala 343:44] - node _T_136 = eq(cam[1].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_137 = and(_T_135, _T_136) @[el2_dec_decode_ctl.scala 343:100] - when _T_137 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[1].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_138 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_138.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_138.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_138.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_138.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_138)) @[el2_dec_decode_ctl.scala 351:47] - _T_139.bits.rd <= cam_in[1].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_139.bits.tag <= cam_in[1].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_139.bits.wb <= cam_in[1].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_139.valid <= cam_in[1].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[1].bits.rd <= _T_139.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[1].bits.tag <= _T_139.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[1].bits.wb <= _T_139.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[1].valid <= _T_139.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_140 = eq(io.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_141 = and(_T_140, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[1] <= _T_141 @[el2_dec_decode_ctl.scala 352:28] - node _T_142 = eq(cam_inv_reset_tag, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_143 = and(io.lsu_nonblock_load_inv_r, _T_142) @[el2_dec_decode_ctl.scala 325:45] - node _T_144 = and(_T_143, cam[2].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[2] <= _T_144 @[el2_dec_decode_ctl.scala 325:26] - node _T_145 = eq(cam_data_reset_tag, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_146 = and(cam_data_reset, _T_145) @[el2_dec_decode_ctl.scala 326:45] - node _T_147 = and(_T_146, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[2] <= _T_147 @[el2_dec_decode_ctl.scala 326:27] - wire _T_148 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_148.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_148.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_148.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_148.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[2].bits.rd <= _T_148.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[2].bits.tag <= _T_148.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[2].bits.wb <= _T_148.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[2].valid <= _T_148.valid @[el2_dec_decode_ctl.scala 327:14] - cam[2].bits.rd <= cam_raw[2].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[2].bits.tag <= cam_raw[2].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[2].bits.wb <= cam_raw[2].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[2].valid <= cam_raw[2].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_149 = bits(cam_data_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_149 : @[el2_dec_decode_ctl.scala 330:39] - cam[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_150 = bits(cam_wen, 2, 2) @[el2_dec_decode_ctl.scala 333:17] - node _T_151 = bits(_T_150, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_151 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[2].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[2].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[2].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[2].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_152 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_153 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_154 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_155 = and(_T_153, _T_154) @[el2_dec_decode_ctl.scala 338:64] - node _T_156 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_157 = and(_T_155, _T_156) @[el2_dec_decode_ctl.scala 338:105] - node _T_158 = or(_T_152, _T_157) @[el2_dec_decode_ctl.scala 338:44] - when _T_158 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[2].bits.rd <= cam[2].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[2].bits.tag <= cam[2].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[2].bits.wb <= cam[2].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[2].valid <= cam[2].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_159 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_160 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_161 = and(_T_159, _T_160) @[el2_dec_decode_ctl.scala 343:44] - node _T_162 = eq(cam[2].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_163 = and(_T_161, _T_162) @[el2_dec_decode_ctl.scala 343:100] - when _T_163 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[2].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_164 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_164.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_164.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_164.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_164.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_164)) @[el2_dec_decode_ctl.scala 351:47] - _T_165.bits.rd <= cam_in[2].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_165.bits.tag <= cam_in[2].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_165.bits.wb <= cam_in[2].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_165.valid <= cam_in[2].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[2].bits.rd <= _T_165.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[2].bits.tag <= _T_165.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[2].bits.wb <= _T_165.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[2].valid <= _T_165.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_166 = eq(io.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_167 = and(_T_166, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[2] <= _T_167 @[el2_dec_decode_ctl.scala 352:28] - node _T_168 = eq(cam_inv_reset_tag, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 325:66] - node _T_169 = and(io.lsu_nonblock_load_inv_r, _T_168) @[el2_dec_decode_ctl.scala 325:45] - node _T_170 = and(_T_169, cam[3].valid) @[el2_dec_decode_ctl.scala 325:87] - cam_inv_reset_val[3] <= _T_170 @[el2_dec_decode_ctl.scala 325:26] - node _T_171 = eq(cam_data_reset_tag, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 326:67] - node _T_172 = and(cam_data_reset, _T_171) @[el2_dec_decode_ctl.scala 326:45] - node _T_173 = and(_T_172, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 326:88] - cam_data_reset_val[3] <= _T_173 @[el2_dec_decode_ctl.scala 326:27] - wire _T_174 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 327:28] - _T_174.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_174.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_174.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - _T_174.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:28] - cam_in[3].bits.rd <= _T_174.bits.rd @[el2_dec_decode_ctl.scala 327:14] - cam_in[3].bits.tag <= _T_174.bits.tag @[el2_dec_decode_ctl.scala 327:14] - cam_in[3].bits.wb <= _T_174.bits.wb @[el2_dec_decode_ctl.scala 327:14] - cam_in[3].valid <= _T_174.valid @[el2_dec_decode_ctl.scala 327:14] - cam[3].bits.rd <= cam_raw[3].bits.rd @[el2_dec_decode_ctl.scala 328:11] - cam[3].bits.tag <= cam_raw[3].bits.tag @[el2_dec_decode_ctl.scala 328:11] - cam[3].bits.wb <= cam_raw[3].bits.wb @[el2_dec_decode_ctl.scala 328:11] - cam[3].valid <= cam_raw[3].valid @[el2_dec_decode_ctl.scala 328:11] - node _T_175 = bits(cam_data_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 330:32] - when _T_175 : @[el2_dec_decode_ctl.scala 330:39] - cam[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:20] - skip @[el2_dec_decode_ctl.scala 330:39] - node _T_176 = bits(cam_wen, 3, 3) @[el2_dec_decode_ctl.scala 333:17] - node _T_177 = bits(_T_176, 0, 0) @[el2_dec_decode_ctl.scala 333:21] - when _T_177 : @[el2_dec_decode_ctl.scala 333:28] - cam_in[3].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 334:27] - cam_in[3].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:32] - cam_in[3].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 336:32] - cam_in[3].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 337:32] - skip @[el2_dec_decode_ctl.scala 333:28] - else : @[el2_dec_decode_ctl.scala 338:131] - node _T_178 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 338:37] - node _T_179 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 338:57] - node _T_180 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 338:85] - node _T_181 = and(_T_179, _T_180) @[el2_dec_decode_ctl.scala 338:64] - node _T_182 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 338:123] - node _T_183 = and(_T_181, _T_182) @[el2_dec_decode_ctl.scala 338:105] - node _T_184 = or(_T_178, _T_183) @[el2_dec_decode_ctl.scala 338:44] - when _T_184 : @[el2_dec_decode_ctl.scala 338:131] - cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 339:23] - skip @[el2_dec_decode_ctl.scala 338:131] - else : @[el2_dec_decode_ctl.scala 340:16] - cam_in[3].bits.rd <= cam[3].bits.rd @[el2_dec_decode_ctl.scala 341:22] - cam_in[3].bits.tag <= cam[3].bits.tag @[el2_dec_decode_ctl.scala 341:22] - cam_in[3].bits.wb <= cam[3].bits.wb @[el2_dec_decode_ctl.scala 341:22] - cam_in[3].valid <= cam[3].valid @[el2_dec_decode_ctl.scala 341:22] - skip @[el2_dec_decode_ctl.scala 340:16] - node _T_185 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:37] - node _T_186 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 343:79] - node _T_187 = and(_T_185, _T_186) @[el2_dec_decode_ctl.scala 343:44] - node _T_188 = eq(cam[3].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 343:115] - node _T_189 = and(_T_187, _T_188) @[el2_dec_decode_ctl.scala 343:100] - when _T_189 : @[el2_dec_decode_ctl.scala 343:122] - cam_in[3].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 344:25] - skip @[el2_dec_decode_ctl.scala 343:122] - when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 347:32] - cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 348:23] - skip @[el2_dec_decode_ctl.scala 347:32] - wire _T_190 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 351:70] - _T_190.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_190.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_190.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - _T_190.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 351:70] - reg _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_190)) @[el2_dec_decode_ctl.scala 351:47] - _T_191.bits.rd <= cam_in[3].bits.rd @[el2_dec_decode_ctl.scala 351:47] - _T_191.bits.tag <= cam_in[3].bits.tag @[el2_dec_decode_ctl.scala 351:47] - _T_191.bits.wb <= cam_in[3].bits.wb @[el2_dec_decode_ctl.scala 351:47] - _T_191.valid <= cam_in[3].valid @[el2_dec_decode_ctl.scala 351:47] - cam_raw[3].bits.rd <= _T_191.bits.rd @[el2_dec_decode_ctl.scala 351:15] - cam_raw[3].bits.tag <= _T_191.bits.tag @[el2_dec_decode_ctl.scala 351:15] - cam_raw[3].bits.wb <= _T_191.bits.wb @[el2_dec_decode_ctl.scala 351:15] - cam_raw[3].valid <= _T_191.valid @[el2_dec_decode_ctl.scala 351:15] - node _T_192 = eq(io.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[el2_dec_decode_ctl.scala 352:46] - node _T_193 = and(_T_192, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 352:71] - nonblock_load_write[3] <= _T_193 @[el2_dec_decode_ctl.scala 352:28] - io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 355:29] - node _T_194 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 357:49] - node nonblock_load_cancel = and(_T_194, i0_wen_r) @[el2_dec_decode_ctl.scala 357:81] - node _T_195 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 358:95] - node _T_196 = or(_T_195, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 358:95] - node _T_197 = or(_T_196, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 358:95] - node _T_198 = bits(_T_197, 0, 0) @[el2_dec_decode_ctl.scala 358:99] - node _T_199 = and(io.lsu_nonblock_load_data_valid, _T_198) @[el2_dec_decode_ctl.scala 358:64] - node _T_200 = eq(nonblock_load_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 358:109] - node _T_201 = and(_T_199, _T_200) @[el2_dec_decode_ctl.scala 358:106] - io.dec_nonblock_load_wen <= _T_201 @[el2_dec_decode_ctl.scala 358:28] - node _T_202 = eq(nonblock_load_rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:54] - node _T_203 = and(_T_202, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 359:66] - node _T_204 = and(_T_203, io.dec_i0_rs1_en_d) @[el2_dec_decode_ctl.scala 359:97] - node _T_205 = eq(nonblock_load_rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:137] - node _T_206 = and(_T_205, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 359:149] - node _T_207 = and(_T_206, io.dec_i0_rs2_en_d) @[el2_dec_decode_ctl.scala 359:180] - node i0_nonblock_boundary_stall = or(_T_204, _T_207) @[el2_dec_decode_ctl.scala 359:118] - i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[el2_dec_decode_ctl.scala 361:26] - node _T_208 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] - node _T_209 = mux(_T_208, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_210 = and(_T_209, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_211 = and(io.dec_i0_rs1_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_212 = eq(cam[0].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_213 = and(_T_211, _T_212) @[el2_dec_decode_ctl.scala 363:141] - node _T_214 = and(io.dec_i0_rs2_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_215 = eq(cam[0].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_216 = and(_T_214, _T_215) @[el2_dec_decode_ctl.scala 363:207] - node _T_217 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] - node _T_218 = mux(_T_217, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_219 = and(_T_218, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_220 = and(io.dec_i0_rs1_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_221 = eq(cam[1].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_222 = and(_T_220, _T_221) @[el2_dec_decode_ctl.scala 363:141] - node _T_223 = and(io.dec_i0_rs2_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_224 = eq(cam[1].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_225 = and(_T_223, _T_224) @[el2_dec_decode_ctl.scala 363:207] - node _T_226 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] - node _T_227 = mux(_T_226, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_228 = and(_T_227, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_229 = and(io.dec_i0_rs1_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_230 = eq(cam[2].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_231 = and(_T_229, _T_230) @[el2_dec_decode_ctl.scala 363:141] - node _T_232 = and(io.dec_i0_rs2_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_233 = eq(cam[2].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_234 = and(_T_232, _T_233) @[el2_dec_decode_ctl.scala 363:207] - node _T_235 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] - node _T_236 = mux(_T_235, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_237 = and(_T_236, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 363:88] - node _T_238 = and(io.dec_i0_rs1_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 363:126] - node _T_239 = eq(cam[3].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 363:159] - node _T_240 = and(_T_238, _T_239) @[el2_dec_decode_ctl.scala 363:141] - node _T_241 = and(io.dec_i0_rs2_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 363:192] - node _T_242 = eq(cam[3].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 363:225] - node _T_243 = and(_T_241, _T_242) @[el2_dec_decode_ctl.scala 363:207] - node _T_244 = or(_T_210, _T_219) @[el2_dec_decode_ctl.scala 364:69] - node _T_245 = or(_T_244, _T_228) @[el2_dec_decode_ctl.scala 364:69] - node waddr = or(_T_245, _T_237) @[el2_dec_decode_ctl.scala 364:69] - node _T_246 = or(_T_213, _T_222) @[el2_dec_decode_ctl.scala 364:102] - node _T_247 = or(_T_246, _T_231) @[el2_dec_decode_ctl.scala 364:102] - node ld_stall_1 = or(_T_247, _T_240) @[el2_dec_decode_ctl.scala 364:102] - node _T_248 = or(_T_216, _T_225) @[el2_dec_decode_ctl.scala 364:134] - node _T_249 = or(_T_248, _T_234) @[el2_dec_decode_ctl.scala 364:134] - node ld_stall_2 = or(_T_249, _T_243) @[el2_dec_decode_ctl.scala 364:134] - io.dec_nonblock_load_waddr <= waddr @[el2_dec_decode_ctl.scala 365:29] - node _T_250 = or(ld_stall_1, ld_stall_2) @[el2_dec_decode_ctl.scala 366:38] - node _T_251 = or(_T_250, i0_nonblock_boundary_stall) @[el2_dec_decode_ctl.scala 366:51] - i0_nonblock_load_stall <= _T_251 @[el2_dec_decode_ctl.scala 366:25] - node _T_252 = eq(i0_predict_br, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 375:34] - node i0_br_unpred = and(i0_dp.jal, _T_252) @[el2_dec_decode_ctl.scala 375:32] - node _T_253 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] - node _T_254 = mux(_T_253, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_255 = and(csr_read, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 387:16] - node _T_256 = bits(_T_255, 0, 0) @[el2_dec_decode_ctl.scala 387:30] - node _T_257 = eq(csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 388:6] - node _T_258 = and(_T_257, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 388:16] - node _T_259 = bits(_T_258, 0, 0) @[el2_dec_decode_ctl.scala 388:30] - node _T_260 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 389:18] - node _T_261 = and(csr_read, _T_260) @[el2_dec_decode_ctl.scala 389:16] - node _T_262 = bits(_T_261, 0, 0) @[el2_dec_decode_ctl.scala 389:30] - node _T_263 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] - node _T_264 = mux(i0_dp.load, UInt<4>("h02"), _T_263) @[Mux.scala 98:16] - node _T_265 = mux(i0_dp.store, UInt<4>("h03"), _T_264) @[Mux.scala 98:16] - node _T_266 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_265) @[Mux.scala 98:16] - node _T_267 = mux(_T_262, UInt<4>("h05"), _T_266) @[Mux.scala 98:16] - node _T_268 = mux(_T_259, UInt<4>("h06"), _T_267) @[Mux.scala 98:16] - node _T_269 = mux(_T_256, UInt<4>("h07"), _T_268) @[Mux.scala 98:16] - node _T_270 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_269) @[Mux.scala 98:16] - node _T_271 = mux(i0_dp.ecall, UInt<4>("h09"), _T_270) @[Mux.scala 98:16] - node _T_272 = mux(i0_dp.fence, UInt<4>("h0a"), _T_271) @[Mux.scala 98:16] - node _T_273 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_272) @[Mux.scala 98:16] - node _T_274 = mux(i0_dp.mret, UInt<4>("h0c"), _T_273) @[Mux.scala 98:16] - node _T_275 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_274) @[Mux.scala 98:16] - node _T_276 = mux(i0_dp.jal, UInt<4>("h0e"), _T_275) @[Mux.scala 98:16] - node _T_277 = and(_T_254, _T_276) @[el2_dec_decode_ctl.scala 379:49] - d_t.pmu_i0_itype <= _T_277 @[el2_dec_decode_ctl.scala 379:21] - inst i0_dec of el2_dec_dec_ctl @[el2_dec_decode_ctl.scala 396:22] + node i0_load_kill_wen_r = and(nonblock_load_valid_m_delay, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 319:56] + node _T_91 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_92 = and(io.lsu_nonblock_load_inv_r, _T_91) @[el2_dec_decode_ctl.scala 321:45] + node _T_93 = and(_T_92, cam[0].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[0] <= _T_93 @[el2_dec_decode_ctl.scala 321:26] + node _T_94 = eq(io.lsu_nonblock_load_data_tag, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_95 = and(cam_data_reset, _T_94) @[el2_dec_decode_ctl.scala 322:45] + node _T_96 = and(_T_95, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[0] <= _T_96 @[el2_dec_decode_ctl.scala 322:27] + wire _T_97 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_97.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_97.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_97.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_97.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[0].bits.rd <= _T_97.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[0].bits.tag <= _T_97.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[0].bits.wb <= _T_97.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[0].valid <= _T_97.valid @[el2_dec_decode_ctl.scala 323:14] + cam[0].bits.rd <= cam_raw[0].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[0].bits.tag <= cam_raw[0].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[0].bits.wb <= cam_raw[0].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[0].valid <= cam_raw[0].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_98 = bits(cam_data_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_98 : @[el2_dec_decode_ctl.scala 326:39] + cam[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_99 = bits(cam_wen, 0, 0) @[el2_dec_decode_ctl.scala 329:17] + node _T_100 = bits(_T_99, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_100 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[0].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[0].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[0].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[0].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_101 = bits(cam_inv_reset_val[0], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_102 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_103 = eq(r_d_in.bits.i0rd, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_104 = and(_T_102, _T_103) @[el2_dec_decode_ctl.scala 334:64] + node _T_105 = bits(cam[0].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_106 = and(_T_104, _T_105) @[el2_dec_decode_ctl.scala 334:105] + node _T_107 = or(_T_101, _T_106) @[el2_dec_decode_ctl.scala 334:44] + when _T_107 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[0].bits.rd <= cam[0].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[0].bits.tag <= cam[0].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[0].bits.wb <= cam[0].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[0].valid <= cam[0].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_108 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_109 = eq(io.lsu_nonblock_load_inv_tag_r, cam[0].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_110 = and(_T_108, _T_109) @[el2_dec_decode_ctl.scala 339:44] + node _T_111 = eq(cam[0].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_112 = and(_T_110, _T_111) @[el2_dec_decode_ctl.scala 339:100] + when _T_112 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[0].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[0].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_113 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_113.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_113.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_113.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_113.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_114 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_113)) @[el2_dec_decode_ctl.scala 347:47] + _T_114.bits.rd <= cam_in[0].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_114.bits.tag <= cam_in[0].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_114.bits.wb <= cam_in[0].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_114.valid <= cam_in[0].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[0].bits.rd <= _T_114.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[0].bits.tag <= _T_114.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[0].bits.wb <= _T_114.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[0].valid <= _T_114.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_115 = eq(io.lsu_nonblock_load_data_tag, cam_raw[0].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_116 = and(_T_115, cam_raw[0].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[0] <= _T_116 @[el2_dec_decode_ctl.scala 348:28] + node _T_117 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_118 = and(io.lsu_nonblock_load_inv_r, _T_117) @[el2_dec_decode_ctl.scala 321:45] + node _T_119 = and(_T_118, cam[1].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[1] <= _T_119 @[el2_dec_decode_ctl.scala 321:26] + node _T_120 = eq(io.lsu_nonblock_load_data_tag, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_121 = and(cam_data_reset, _T_120) @[el2_dec_decode_ctl.scala 322:45] + node _T_122 = and(_T_121, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[1] <= _T_122 @[el2_dec_decode_ctl.scala 322:27] + wire _T_123 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_123.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_123.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_123.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_123.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[1].bits.rd <= _T_123.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[1].bits.tag <= _T_123.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[1].bits.wb <= _T_123.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[1].valid <= _T_123.valid @[el2_dec_decode_ctl.scala 323:14] + cam[1].bits.rd <= cam_raw[1].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[1].bits.tag <= cam_raw[1].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[1].bits.wb <= cam_raw[1].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[1].valid <= cam_raw[1].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_124 = bits(cam_data_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_124 : @[el2_dec_decode_ctl.scala 326:39] + cam[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_125 = bits(cam_wen, 1, 1) @[el2_dec_decode_ctl.scala 329:17] + node _T_126 = bits(_T_125, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_126 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[1].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[1].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[1].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[1].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_127 = bits(cam_inv_reset_val[1], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_128 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_129 = eq(r_d_in.bits.i0rd, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_130 = and(_T_128, _T_129) @[el2_dec_decode_ctl.scala 334:64] + node _T_131 = bits(cam[1].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_132 = and(_T_130, _T_131) @[el2_dec_decode_ctl.scala 334:105] + node _T_133 = or(_T_127, _T_132) @[el2_dec_decode_ctl.scala 334:44] + when _T_133 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[1].bits.rd <= cam[1].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[1].bits.tag <= cam[1].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[1].bits.wb <= cam[1].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[1].valid <= cam[1].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_134 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_135 = eq(io.lsu_nonblock_load_inv_tag_r, cam[1].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_136 = and(_T_134, _T_135) @[el2_dec_decode_ctl.scala 339:44] + node _T_137 = eq(cam[1].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_138 = and(_T_136, _T_137) @[el2_dec_decode_ctl.scala 339:100] + when _T_138 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[1].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[1].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_139 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_139.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_139.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_139.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_139.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_140 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_139)) @[el2_dec_decode_ctl.scala 347:47] + _T_140.bits.rd <= cam_in[1].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_140.bits.tag <= cam_in[1].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_140.bits.wb <= cam_in[1].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_140.valid <= cam_in[1].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[1].bits.rd <= _T_140.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[1].bits.tag <= _T_140.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[1].bits.wb <= _T_140.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[1].valid <= _T_140.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_141 = eq(io.lsu_nonblock_load_data_tag, cam_raw[1].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_142 = and(_T_141, cam_raw[1].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[1] <= _T_142 @[el2_dec_decode_ctl.scala 348:28] + node _T_143 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_144 = and(io.lsu_nonblock_load_inv_r, _T_143) @[el2_dec_decode_ctl.scala 321:45] + node _T_145 = and(_T_144, cam[2].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[2] <= _T_145 @[el2_dec_decode_ctl.scala 321:26] + node _T_146 = eq(io.lsu_nonblock_load_data_tag, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_147 = and(cam_data_reset, _T_146) @[el2_dec_decode_ctl.scala 322:45] + node _T_148 = and(_T_147, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[2] <= _T_148 @[el2_dec_decode_ctl.scala 322:27] + wire _T_149 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_149.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_149.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_149.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_149.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[2].bits.rd <= _T_149.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[2].bits.tag <= _T_149.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[2].bits.wb <= _T_149.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[2].valid <= _T_149.valid @[el2_dec_decode_ctl.scala 323:14] + cam[2].bits.rd <= cam_raw[2].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[2].bits.tag <= cam_raw[2].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[2].bits.wb <= cam_raw[2].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[2].valid <= cam_raw[2].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_150 = bits(cam_data_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_150 : @[el2_dec_decode_ctl.scala 326:39] + cam[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_151 = bits(cam_wen, 2, 2) @[el2_dec_decode_ctl.scala 329:17] + node _T_152 = bits(_T_151, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_152 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[2].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[2].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[2].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[2].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_153 = bits(cam_inv_reset_val[2], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_154 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_155 = eq(r_d_in.bits.i0rd, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_156 = and(_T_154, _T_155) @[el2_dec_decode_ctl.scala 334:64] + node _T_157 = bits(cam[2].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_158 = and(_T_156, _T_157) @[el2_dec_decode_ctl.scala 334:105] + node _T_159 = or(_T_153, _T_158) @[el2_dec_decode_ctl.scala 334:44] + when _T_159 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[2].bits.rd <= cam[2].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[2].bits.tag <= cam[2].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[2].bits.wb <= cam[2].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[2].valid <= cam[2].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_160 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_161 = eq(io.lsu_nonblock_load_inv_tag_r, cam[2].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_162 = and(_T_160, _T_161) @[el2_dec_decode_ctl.scala 339:44] + node _T_163 = eq(cam[2].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_164 = and(_T_162, _T_163) @[el2_dec_decode_ctl.scala 339:100] + when _T_164 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[2].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[2].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_165 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_165.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_165.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_165.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_165.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_166 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_165)) @[el2_dec_decode_ctl.scala 347:47] + _T_166.bits.rd <= cam_in[2].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_166.bits.tag <= cam_in[2].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_166.bits.wb <= cam_in[2].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_166.valid <= cam_in[2].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[2].bits.rd <= _T_166.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[2].bits.tag <= _T_166.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[2].bits.wb <= _T_166.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[2].valid <= _T_166.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_167 = eq(io.lsu_nonblock_load_data_tag, cam_raw[2].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_168 = and(_T_167, cam_raw[2].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[2] <= _T_168 @[el2_dec_decode_ctl.scala 348:28] + node _T_169 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 321:66] + node _T_170 = and(io.lsu_nonblock_load_inv_r, _T_169) @[el2_dec_decode_ctl.scala 321:45] + node _T_171 = and(_T_170, cam[3].valid) @[el2_dec_decode_ctl.scala 321:87] + cam_inv_reset_val[3] <= _T_171 @[el2_dec_decode_ctl.scala 321:26] + node _T_172 = eq(io.lsu_nonblock_load_data_tag, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 322:67] + node _T_173 = and(cam_data_reset, _T_172) @[el2_dec_decode_ctl.scala 322:45] + node _T_174 = and(_T_173, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 322:88] + cam_data_reset_val[3] <= _T_174 @[el2_dec_decode_ctl.scala 322:27] + wire _T_175 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 323:28] + _T_175.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_175.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_175.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + _T_175.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 323:28] + cam_in[3].bits.rd <= _T_175.bits.rd @[el2_dec_decode_ctl.scala 323:14] + cam_in[3].bits.tag <= _T_175.bits.tag @[el2_dec_decode_ctl.scala 323:14] + cam_in[3].bits.wb <= _T_175.bits.wb @[el2_dec_decode_ctl.scala 323:14] + cam_in[3].valid <= _T_175.valid @[el2_dec_decode_ctl.scala 323:14] + cam[3].bits.rd <= cam_raw[3].bits.rd @[el2_dec_decode_ctl.scala 324:11] + cam[3].bits.tag <= cam_raw[3].bits.tag @[el2_dec_decode_ctl.scala 324:11] + cam[3].bits.wb <= cam_raw[3].bits.wb @[el2_dec_decode_ctl.scala 324:11] + cam[3].valid <= cam_raw[3].valid @[el2_dec_decode_ctl.scala 324:11] + node _T_176 = bits(cam_data_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 326:32] + when _T_176 : @[el2_dec_decode_ctl.scala 326:39] + cam[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 327:20] + skip @[el2_dec_decode_ctl.scala 326:39] + node _T_177 = bits(cam_wen, 3, 3) @[el2_dec_decode_ctl.scala 329:17] + node _T_178 = bits(_T_177, 0, 0) @[el2_dec_decode_ctl.scala 329:21] + when _T_178 : @[el2_dec_decode_ctl.scala 329:28] + cam_in[3].valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 330:27] + cam_in[3].bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 331:32] + cam_in[3].bits.tag <= cam_write_tag @[el2_dec_decode_ctl.scala 332:32] + cam_in[3].bits.rd <= nonblock_load_rd @[el2_dec_decode_ctl.scala 333:32] + skip @[el2_dec_decode_ctl.scala 329:28] + else : @[el2_dec_decode_ctl.scala 334:131] + node _T_179 = bits(cam_inv_reset_val[3], 0, 0) @[el2_dec_decode_ctl.scala 334:37] + node _T_180 = bits(i0_wen_r, 0, 0) @[el2_dec_decode_ctl.scala 334:57] + node _T_181 = eq(r_d_in.bits.i0rd, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 334:85] + node _T_182 = and(_T_180, _T_181) @[el2_dec_decode_ctl.scala 334:64] + node _T_183 = bits(cam[3].bits.wb, 0, 0) @[el2_dec_decode_ctl.scala 334:123] + node _T_184 = and(_T_182, _T_183) @[el2_dec_decode_ctl.scala 334:105] + node _T_185 = or(_T_179, _T_184) @[el2_dec_decode_ctl.scala 334:44] + when _T_185 : @[el2_dec_decode_ctl.scala 334:131] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 335:23] + skip @[el2_dec_decode_ctl.scala 334:131] + else : @[el2_dec_decode_ctl.scala 336:16] + cam_in[3].bits.rd <= cam[3].bits.rd @[el2_dec_decode_ctl.scala 337:22] + cam_in[3].bits.tag <= cam[3].bits.tag @[el2_dec_decode_ctl.scala 337:22] + cam_in[3].bits.wb <= cam[3].bits.wb @[el2_dec_decode_ctl.scala 337:22] + cam_in[3].valid <= cam[3].valid @[el2_dec_decode_ctl.scala 337:22] + skip @[el2_dec_decode_ctl.scala 336:16] + node _T_186 = eq(nonblock_load_valid_m_delay, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:37] + node _T_187 = eq(io.lsu_nonblock_load_inv_tag_r, cam[3].bits.tag) @[el2_dec_decode_ctl.scala 339:79] + node _T_188 = and(_T_186, _T_187) @[el2_dec_decode_ctl.scala 339:44] + node _T_189 = eq(cam[3].valid, UInt<1>("h01")) @[el2_dec_decode_ctl.scala 339:115] + node _T_190 = and(_T_188, _T_189) @[el2_dec_decode_ctl.scala 339:100] + when _T_190 : @[el2_dec_decode_ctl.scala 339:122] + cam_in[3].bits.wb <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 340:25] + skip @[el2_dec_decode_ctl.scala 339:122] + when io.dec_tlu_force_halt : @[el2_dec_decode_ctl.scala 343:32] + cam_in[3].valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 344:23] + skip @[el2_dec_decode_ctl.scala 343:32] + wire _T_191 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}} @[el2_dec_decode_ctl.scala 347:70] + _T_191.bits.rd <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_191.bits.tag <= UInt<3>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_191.bits.wb <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + _T_191.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 347:70] + reg _T_192 : {valid : UInt<1>, bits : {wb : UInt<1>, tag : UInt<3>, rd : UInt<5>}}, io.free_clk with : (reset => (reset, _T_191)) @[el2_dec_decode_ctl.scala 347:47] + _T_192.bits.rd <= cam_in[3].bits.rd @[el2_dec_decode_ctl.scala 347:47] + _T_192.bits.tag <= cam_in[3].bits.tag @[el2_dec_decode_ctl.scala 347:47] + _T_192.bits.wb <= cam_in[3].bits.wb @[el2_dec_decode_ctl.scala 347:47] + _T_192.valid <= cam_in[3].valid @[el2_dec_decode_ctl.scala 347:47] + cam_raw[3].bits.rd <= _T_192.bits.rd @[el2_dec_decode_ctl.scala 347:15] + cam_raw[3].bits.tag <= _T_192.bits.tag @[el2_dec_decode_ctl.scala 347:15] + cam_raw[3].bits.wb <= _T_192.bits.wb @[el2_dec_decode_ctl.scala 347:15] + cam_raw[3].valid <= _T_192.valid @[el2_dec_decode_ctl.scala 347:15] + node _T_193 = eq(io.lsu_nonblock_load_data_tag, cam_raw[3].bits.tag) @[el2_dec_decode_ctl.scala 348:46] + node _T_194 = and(_T_193, cam_raw[3].valid) @[el2_dec_decode_ctl.scala 348:71] + nonblock_load_write[3] <= _T_194 @[el2_dec_decode_ctl.scala 348:28] + io.dec_nonblock_load_waddr <= UInt<5>("h00") @[el2_dec_decode_ctl.scala 351:29] + node _T_195 = eq(r_d_in.bits.i0rd, io.dec_nonblock_load_waddr) @[el2_dec_decode_ctl.scala 353:49] + node nonblock_load_cancel = and(_T_195, i0_wen_r) @[el2_dec_decode_ctl.scala 353:81] + node _T_196 = or(nonblock_load_write[0], nonblock_load_write[1]) @[el2_dec_decode_ctl.scala 354:95] + node _T_197 = or(_T_196, nonblock_load_write[2]) @[el2_dec_decode_ctl.scala 354:95] + node _T_198 = or(_T_197, nonblock_load_write[3]) @[el2_dec_decode_ctl.scala 354:95] + node _T_199 = bits(_T_198, 0, 0) @[el2_dec_decode_ctl.scala 354:99] + node _T_200 = and(io.lsu_nonblock_load_data_valid, _T_199) @[el2_dec_decode_ctl.scala 354:64] + node _T_201 = eq(nonblock_load_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 354:109] + node _T_202 = and(_T_200, _T_201) @[el2_dec_decode_ctl.scala 354:106] + io.dec_nonblock_load_wen <= _T_202 @[el2_dec_decode_ctl.scala 354:28] + node _T_203 = eq(nonblock_load_rd, i0r.rs1) @[el2_dec_decode_ctl.scala 355:54] + node _T_204 = and(_T_203, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 355:66] + node _T_205 = and(_T_204, io.dec_i0_rs1_en_d) @[el2_dec_decode_ctl.scala 355:97] + node _T_206 = eq(nonblock_load_rd, i0r.rs2) @[el2_dec_decode_ctl.scala 355:137] + node _T_207 = and(_T_206, io.lsu_nonblock_load_valid_m) @[el2_dec_decode_ctl.scala 355:149] + node _T_208 = and(_T_207, io.dec_i0_rs2_en_d) @[el2_dec_decode_ctl.scala 355:180] + node i0_nonblock_boundary_stall = or(_T_205, _T_208) @[el2_dec_decode_ctl.scala 355:118] + i0_nonblock_load_stall <= i0_nonblock_boundary_stall @[el2_dec_decode_ctl.scala 357:26] + node _T_209 = bits(nonblock_load_write[0], 0, 0) @[Bitwise.scala 72:15] + node _T_210 = mux(_T_209, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_211 = and(_T_210, cam[0].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_212 = and(io.dec_i0_rs1_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_213 = eq(cam[0].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_214 = and(_T_212, _T_213) @[el2_dec_decode_ctl.scala 359:141] + node _T_215 = and(io.dec_i0_rs2_en_d, cam[0].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_216 = eq(cam[0].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_217 = and(_T_215, _T_216) @[el2_dec_decode_ctl.scala 359:207] + node _T_218 = bits(nonblock_load_write[1], 0, 0) @[Bitwise.scala 72:15] + node _T_219 = mux(_T_218, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_220 = and(_T_219, cam[1].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_221 = and(io.dec_i0_rs1_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_222 = eq(cam[1].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_223 = and(_T_221, _T_222) @[el2_dec_decode_ctl.scala 359:141] + node _T_224 = and(io.dec_i0_rs2_en_d, cam[1].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_225 = eq(cam[1].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_226 = and(_T_224, _T_225) @[el2_dec_decode_ctl.scala 359:207] + node _T_227 = bits(nonblock_load_write[2], 0, 0) @[Bitwise.scala 72:15] + node _T_228 = mux(_T_227, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_229 = and(_T_228, cam[2].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_230 = and(io.dec_i0_rs1_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_231 = eq(cam[2].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_232 = and(_T_230, _T_231) @[el2_dec_decode_ctl.scala 359:141] + node _T_233 = and(io.dec_i0_rs2_en_d, cam[2].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_234 = eq(cam[2].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_235 = and(_T_233, _T_234) @[el2_dec_decode_ctl.scala 359:207] + node _T_236 = bits(nonblock_load_write[3], 0, 0) @[Bitwise.scala 72:15] + node _T_237 = mux(_T_236, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_238 = and(_T_237, cam[3].bits.rd) @[el2_dec_decode_ctl.scala 359:88] + node _T_239 = and(io.dec_i0_rs1_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 359:126] + node _T_240 = eq(cam[3].bits.rd, i0r.rs1) @[el2_dec_decode_ctl.scala 359:159] + node _T_241 = and(_T_239, _T_240) @[el2_dec_decode_ctl.scala 359:141] + node _T_242 = and(io.dec_i0_rs2_en_d, cam[3].valid) @[el2_dec_decode_ctl.scala 359:192] + node _T_243 = eq(cam[3].bits.rd, i0r.rs2) @[el2_dec_decode_ctl.scala 359:225] + node _T_244 = and(_T_242, _T_243) @[el2_dec_decode_ctl.scala 359:207] + node _T_245 = or(_T_211, _T_220) @[el2_dec_decode_ctl.scala 360:69] + node _T_246 = or(_T_245, _T_229) @[el2_dec_decode_ctl.scala 360:69] + node waddr = or(_T_246, _T_238) @[el2_dec_decode_ctl.scala 360:69] + node _T_247 = or(_T_214, _T_223) @[el2_dec_decode_ctl.scala 360:102] + node _T_248 = or(_T_247, _T_232) @[el2_dec_decode_ctl.scala 360:102] + node ld_stall_1 = or(_T_248, _T_241) @[el2_dec_decode_ctl.scala 360:102] + node _T_249 = or(_T_217, _T_226) @[el2_dec_decode_ctl.scala 360:134] + node _T_250 = or(_T_249, _T_235) @[el2_dec_decode_ctl.scala 360:134] + node ld_stall_2 = or(_T_250, _T_244) @[el2_dec_decode_ctl.scala 360:134] + io.dec_nonblock_load_waddr <= waddr @[el2_dec_decode_ctl.scala 361:29] + node _T_251 = or(ld_stall_1, ld_stall_2) @[el2_dec_decode_ctl.scala 362:38] + node _T_252 = or(_T_251, i0_nonblock_boundary_stall) @[el2_dec_decode_ctl.scala 362:51] + i0_nonblock_load_stall <= _T_252 @[el2_dec_decode_ctl.scala 362:25] + node _T_253 = eq(i0_predict_br, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 371:34] + node i0_br_unpred = and(i0_dp.jal, _T_253) @[el2_dec_decode_ctl.scala 371:32] + node _T_254 = bits(i0_legal_decode_d, 0, 0) @[Bitwise.scala 72:15] + node _T_255 = mux(_T_254, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_256 = and(csr_read, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 383:16] + node _T_257 = bits(_T_256, 0, 0) @[el2_dec_decode_ctl.scala 383:30] + node _T_258 = eq(csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 384:6] + node _T_259 = and(_T_258, io.dec_csr_wen_unq_d) @[el2_dec_decode_ctl.scala 384:16] + node _T_260 = bits(_T_259, 0, 0) @[el2_dec_decode_ctl.scala 384:30] + node _T_261 = eq(io.dec_csr_wen_unq_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 385:18] + node _T_262 = and(csr_read, _T_261) @[el2_dec_decode_ctl.scala 385:16] + node _T_263 = bits(_T_262, 0, 0) @[el2_dec_decode_ctl.scala 385:30] + node _T_264 = mux(i0_dp.mul, UInt<4>("h01"), UInt<4>("h00")) @[Mux.scala 98:16] + node _T_265 = mux(i0_dp.load, UInt<4>("h02"), _T_264) @[Mux.scala 98:16] + node _T_266 = mux(i0_dp.store, UInt<4>("h03"), _T_265) @[Mux.scala 98:16] + node _T_267 = mux(i0_dp.pm_alu, UInt<4>("h04"), _T_266) @[Mux.scala 98:16] + node _T_268 = mux(_T_263, UInt<4>("h05"), _T_267) @[Mux.scala 98:16] + node _T_269 = mux(_T_260, UInt<4>("h06"), _T_268) @[Mux.scala 98:16] + node _T_270 = mux(_T_257, UInt<4>("h07"), _T_269) @[Mux.scala 98:16] + node _T_271 = mux(i0_dp.ebreak, UInt<4>("h08"), _T_270) @[Mux.scala 98:16] + node _T_272 = mux(i0_dp.ecall, UInt<4>("h09"), _T_271) @[Mux.scala 98:16] + node _T_273 = mux(i0_dp.fence, UInt<4>("h0a"), _T_272) @[Mux.scala 98:16] + node _T_274 = mux(i0_dp.fence_i, UInt<4>("h0b"), _T_273) @[Mux.scala 98:16] + node _T_275 = mux(i0_dp.mret, UInt<4>("h0c"), _T_274) @[Mux.scala 98:16] + node _T_276 = mux(i0_dp.condbr, UInt<4>("h0d"), _T_275) @[Mux.scala 98:16] + node _T_277 = mux(i0_dp.jal, UInt<4>("h0e"), _T_276) @[Mux.scala 98:16] + node _T_278 = and(_T_255, _T_277) @[el2_dec_decode_ctl.scala 375:49] + d_t.pmu_i0_itype <= _T_278 @[el2_dec_decode_ctl.scala 375:21] + inst i0_dec of el2_dec_dec_ctl @[el2_dec_decode_ctl.scala 392:22] i0_dec.clock <= clock i0_dec.reset <= reset - i0_dec.io.ins <= io.dec_i0_instr_d @[el2_dec_decode_ctl.scala 397:16] - i0_dp_raw.legal <= i0_dec.io.out.legal @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.fence <= i0_dec.io.out.fence @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rem <= i0_dec.io.out.rem @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.div <= i0_dec.io.out.div @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.low <= i0_dec.io.out.low @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.mul <= i0_dec.io.out.mul @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.mret <= i0_dec.io.out.mret @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.ecall <= i0_dec.io.out.ecall @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.postsync <= i0_dec.io.out.postsync @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.presync <= i0_dec.io.out.presync @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.word <= i0_dec.io.out.word @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.half <= i0_dec.io.out.half @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.by <= i0_dec.io.out.by @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.jal <= i0_dec.io.out.jal @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.blt <= i0_dec.io.out.blt @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.bge <= i0_dec.io.out.bge @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.bne <= i0_dec.io.out.bne @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.beq <= i0_dec.io.out.beq @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.condbr <= i0_dec.io.out.condbr @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.unsign <= i0_dec.io.out.unsign @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.slt <= i0_dec.io.out.slt @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.srl <= i0_dec.io.out.srl @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.sra <= i0_dec.io.out.sra @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.sll <= i0_dec.io.out.sll @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.lxor <= i0_dec.io.out.lxor @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.lor <= i0_dec.io.out.lor @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.land <= i0_dec.io.out.land @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.sub <= i0_dec.io.out.sub @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.add <= i0_dec.io.out.add @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.lsu <= i0_dec.io.out.lsu @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.store <= i0_dec.io.out.store @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.load <= i0_dec.io.out.load @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.pc <= i0_dec.io.out.pc @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rd <= i0_dec.io.out.rd @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[el2_dec_decode_ctl.scala 398:12] - i0_dp_raw.alu <= i0_dec.io.out.alu @[el2_dec_decode_ctl.scala 398:12] - reg _T_278 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 400:45] - _T_278 <= io.lsu_idle_any @[el2_dec_decode_ctl.scala 400:45] - lsu_idle <= _T_278 @[el2_dec_decode_ctl.scala 400:11] - node _T_279 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 403:73] - node _T_280 = and(leak1_i1_stall, _T_279) @[el2_dec_decode_ctl.scala 403:71] - node _T_281 = or(io.dec_tlu_flush_leak_one_r, _T_280) @[el2_dec_decode_ctl.scala 403:53] - leak1_i1_stall_in <= _T_281 @[el2_dec_decode_ctl.scala 403:21] - reg _T_282 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 404:56] - _T_282 <= leak1_i1_stall_in @[el2_dec_decode_ctl.scala 404:56] - leak1_i1_stall <= _T_282 @[el2_dec_decode_ctl.scala 404:21] - leak1_mode <= leak1_i1_stall @[el2_dec_decode_ctl.scala 405:14] - node _T_283 = and(io.dec_i0_decode_d, leak1_i1_stall) @[el2_dec_decode_ctl.scala 406:45] - node _T_284 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 406:83] - node _T_285 = and(leak1_i0_stall, _T_284) @[el2_dec_decode_ctl.scala 406:81] - node _T_286 = or(_T_283, _T_285) @[el2_dec_decode_ctl.scala 406:63] - leak1_i0_stall_in <= _T_286 @[el2_dec_decode_ctl.scala 406:21] - reg _T_287 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 407:56] - _T_287 <= leak1_i0_stall_in @[el2_dec_decode_ctl.scala 407:56] - leak1_i0_stall <= _T_287 @[el2_dec_decode_ctl.scala 407:21] - node _T_288 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 411:29] - node _T_289 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 411:36] - node _T_290 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 411:46] - node _T_291 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 411:53] - node _T_292 = cat(_T_291, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_293 = cat(_T_288, _T_289) @[Cat.scala 29:58] - node _T_294 = cat(_T_293, _T_290) @[Cat.scala 29:58] - node i0_pcall_imm = cat(_T_294, _T_292) @[Cat.scala 29:58] - node _T_295 = bits(i0_pcall_imm, 12, 12) @[el2_dec_decode_ctl.scala 412:46] - node _T_296 = bits(_T_295, 0, 0) @[el2_dec_decode_ctl.scala 412:51] - node _T_297 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 412:71] - node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[el2_dec_decode_ctl.scala 412:79] - node _T_299 = bits(i0_pcall_imm, 20, 13) @[el2_dec_decode_ctl.scala 412:104] - node _T_300 = eq(_T_299, UInt<8>("h00")) @[el2_dec_decode_ctl.scala 412:112] - node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[el2_dec_decode_ctl.scala 412:33] - node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 413:47] - node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 413:76] - node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 413:98] - node _T_304 = or(_T_302, _T_303) @[el2_dec_decode_ctl.scala 413:89] - node i0_pcall_case = and(_T_301, _T_304) @[el2_dec_decode_ctl.scala 413:65] - node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 414:47] - node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 414:76] - node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 414:98] - node _T_308 = or(_T_306, _T_307) @[el2_dec_decode_ctl.scala 414:89] - node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 414:67] - node i0_pja_case = and(_T_305, _T_309) @[el2_dec_decode_ctl.scala 414:65] - node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 415:38] - i0_pcall_raw <= _T_310 @[el2_dec_decode_ctl.scala 415:20] - node _T_311 = and(i0_dp.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 416:38] - i0_pcall <= _T_311 @[el2_dec_decode_ctl.scala 416:20] - node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 417:38] - i0_pja_raw <= _T_312 @[el2_dec_decode_ctl.scala 417:20] - node _T_313 = and(i0_dp.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 418:38] - i0_pja <= _T_313 @[el2_dec_decode_ctl.scala 418:20] - node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[el2_dec_decode_ctl.scala 419:41] - node _T_315 = bits(_T_314, 0, 0) @[el2_dec_decode_ctl.scala 419:55] - node _T_316 = bits(i0_pcall_imm, 12, 1) @[el2_dec_decode_ctl.scala 419:75] - node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 419:90] - node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[el2_dec_decode_ctl.scala 419:97] - node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[el2_dec_decode_ctl.scala 419:103] - node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[el2_dec_decode_ctl.scala 419:113] + i0_dec.io.ins <= io.dec_i0_instr_d @[el2_dec_decode_ctl.scala 393:16] + i0_dp_raw.legal <= i0_dec.io.out.legal @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.pm_alu <= i0_dec.io.out.pm_alu @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.fence_i <= i0_dec.io.out.fence_i @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.fence <= i0_dec.io.out.fence @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rem <= i0_dec.io.out.rem @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.div <= i0_dec.io.out.div @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.low <= i0_dec.io.out.low @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs2_sign <= i0_dec.io.out.rs2_sign @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs1_sign <= i0_dec.io.out.rs1_sign @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.mul <= i0_dec.io.out.mul @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.mret <= i0_dec.io.out.mret @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.ecall <= i0_dec.io.out.ecall @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.ebreak <= i0_dec.io.out.ebreak @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.postsync <= i0_dec.io.out.postsync @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.presync <= i0_dec.io.out.presync @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_imm <= i0_dec.io.out.csr_imm @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_write <= i0_dec.io.out.csr_write @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_set <= i0_dec.io.out.csr_set @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_clr <= i0_dec.io.out.csr_clr @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.csr_read <= i0_dec.io.out.csr_read @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.word <= i0_dec.io.out.word @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.half <= i0_dec.io.out.half @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.by <= i0_dec.io.out.by @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.jal <= i0_dec.io.out.jal @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.blt <= i0_dec.io.out.blt @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.bge <= i0_dec.io.out.bge @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.bne <= i0_dec.io.out.bne @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.beq <= i0_dec.io.out.beq @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.condbr <= i0_dec.io.out.condbr @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.unsign <= i0_dec.io.out.unsign @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.slt <= i0_dec.io.out.slt @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.srl <= i0_dec.io.out.srl @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.sra <= i0_dec.io.out.sra @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.sll <= i0_dec.io.out.sll @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.lxor <= i0_dec.io.out.lxor @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.lor <= i0_dec.io.out.lor @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.land <= i0_dec.io.out.land @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.sub <= i0_dec.io.out.sub @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.add <= i0_dec.io.out.add @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.lsu <= i0_dec.io.out.lsu @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.store <= i0_dec.io.out.store @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.load <= i0_dec.io.out.load @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.pc <= i0_dec.io.out.pc @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.imm20 <= i0_dec.io.out.imm20 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.shimm5 <= i0_dec.io.out.shimm5 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rd <= i0_dec.io.out.rd @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.imm12 <= i0_dec.io.out.imm12 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs2 <= i0_dec.io.out.rs2 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.rs1 <= i0_dec.io.out.rs1 @[el2_dec_decode_ctl.scala 394:12] + i0_dp_raw.alu <= i0_dec.io.out.alu @[el2_dec_decode_ctl.scala 394:12] + reg _T_279 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 396:45] + _T_279 <= io.lsu_idle_any @[el2_dec_decode_ctl.scala 396:45] + lsu_idle <= _T_279 @[el2_dec_decode_ctl.scala 396:11] + node _T_280 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 399:73] + node _T_281 = and(leak1_i1_stall, _T_280) @[el2_dec_decode_ctl.scala 399:71] + node _T_282 = or(io.dec_tlu_flush_leak_one_r, _T_281) @[el2_dec_decode_ctl.scala 399:53] + leak1_i1_stall_in <= _T_282 @[el2_dec_decode_ctl.scala 399:21] + reg _T_283 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 400:56] + _T_283 <= leak1_i1_stall_in @[el2_dec_decode_ctl.scala 400:56] + leak1_i1_stall <= _T_283 @[el2_dec_decode_ctl.scala 400:21] + leak1_mode <= leak1_i1_stall @[el2_dec_decode_ctl.scala 401:14] + node _T_284 = and(io.dec_i0_decode_d, leak1_i1_stall) @[el2_dec_decode_ctl.scala 402:45] + node _T_285 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 402:83] + node _T_286 = and(leak1_i0_stall, _T_285) @[el2_dec_decode_ctl.scala 402:81] + node _T_287 = or(_T_284, _T_286) @[el2_dec_decode_ctl.scala 402:63] + leak1_i0_stall_in <= _T_287 @[el2_dec_decode_ctl.scala 402:21] + reg _T_288 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 403:56] + _T_288 <= leak1_i0_stall_in @[el2_dec_decode_ctl.scala 403:56] + leak1_i0_stall <= _T_288 @[el2_dec_decode_ctl.scala 403:21] + node _T_289 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 407:29] + node _T_290 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 407:36] + node _T_291 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 407:46] + node _T_292 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 407:53] + node _T_293 = cat(_T_291, _T_292) @[Cat.scala 29:58] + node _T_294 = cat(_T_289, _T_290) @[Cat.scala 29:58] + node i0_pcall_imm = cat(_T_294, _T_293) @[Cat.scala 29:58] + node _T_295 = bits(i0_pcall_imm, 11, 11) @[el2_dec_decode_ctl.scala 408:46] + node _T_296 = bits(_T_295, 0, 0) @[el2_dec_decode_ctl.scala 408:51] + node _T_297 = bits(i0_pcall_imm, 19, 12) @[el2_dec_decode_ctl.scala 408:71] + node _T_298 = eq(_T_297, UInt<8>("h0ff")) @[el2_dec_decode_ctl.scala 408:79] + node _T_299 = bits(i0_pcall_imm, 19, 12) @[el2_dec_decode_ctl.scala 408:104] + node _T_300 = eq(_T_299, UInt<8>("h00")) @[el2_dec_decode_ctl.scala 408:112] + node i0_pcall_12b_offset = mux(_T_296, _T_298, _T_300) @[el2_dec_decode_ctl.scala 408:33] + node _T_301 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 409:47] + node _T_302 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 409:76] + node _T_303 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 409:98] + node _T_304 = or(_T_302, _T_303) @[el2_dec_decode_ctl.scala 409:89] + node i0_pcall_case = and(_T_301, _T_304) @[el2_dec_decode_ctl.scala 409:65] + node _T_305 = and(i0_pcall_12b_offset, i0_dp_raw.imm20) @[el2_dec_decode_ctl.scala 410:47] + node _T_306 = eq(i0r.rd, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 410:76] + node _T_307 = eq(i0r.rd, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 410:98] + node _T_308 = or(_T_306, _T_307) @[el2_dec_decode_ctl.scala 410:89] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 410:67] + node i0_pja_case = and(_T_305, _T_309) @[el2_dec_decode_ctl.scala 410:65] + node _T_310 = and(i0_dp_raw.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 411:38] + i0_pcall_raw <= _T_310 @[el2_dec_decode_ctl.scala 411:20] + node _T_311 = and(i0_dp.jal, i0_pcall_case) @[el2_dec_decode_ctl.scala 412:38] + i0_pcall <= _T_311 @[el2_dec_decode_ctl.scala 412:20] + node _T_312 = and(i0_dp_raw.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 413:38] + i0_pja_raw <= _T_312 @[el2_dec_decode_ctl.scala 413:20] + node _T_313 = and(i0_dp.jal, i0_pja_case) @[el2_dec_decode_ctl.scala 414:38] + i0_pja <= _T_313 @[el2_dec_decode_ctl.scala 414:20] + node _T_314 = or(i0_pcall_raw, i0_pja_raw) @[el2_dec_decode_ctl.scala 415:41] + node _T_315 = bits(_T_314, 0, 0) @[el2_dec_decode_ctl.scala 415:55] + node _T_316 = bits(i0_pcall_imm, 11, 0) @[el2_dec_decode_ctl.scala 415:75] + node _T_317 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 415:90] + node _T_318 = bits(io.dec_i0_instr_d, 7, 7) @[el2_dec_decode_ctl.scala 415:97] + node _T_319 = bits(io.dec_i0_instr_d, 30, 25) @[el2_dec_decode_ctl.scala 415:103] + node _T_320 = bits(io.dec_i0_instr_d, 11, 8) @[el2_dec_decode_ctl.scala 415:113] node _T_321 = cat(_T_319, _T_320) @[Cat.scala 29:58] node _T_322 = cat(_T_317, _T_318) @[Cat.scala 29:58] node _T_323 = cat(_T_322, _T_321) @[Cat.scala 29:58] - node _T_324 = mux(_T_315, _T_316, _T_323) @[el2_dec_decode_ctl.scala 419:26] - i0_br_offset <= _T_324 @[el2_dec_decode_ctl.scala 419:20] - node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[el2_dec_decode_ctl.scala 421:37] - node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 421:65] - node _T_327 = and(_T_325, _T_326) @[el2_dec_decode_ctl.scala 421:55] - node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 421:89] - node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 421:111] - node _T_330 = or(_T_328, _T_329) @[el2_dec_decode_ctl.scala 421:101] - node i0_pret_case = and(_T_327, _T_330) @[el2_dec_decode_ctl.scala 421:79] - node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 422:32] - i0_pret_raw <= _T_331 @[el2_dec_decode_ctl.scala 422:15] - node _T_332 = and(i0_dp.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 423:32] - i0_pret <= _T_332 @[el2_dec_decode_ctl.scala 423:15] - node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 424:35] - node _T_334 = and(i0_dp.jal, _T_333) @[el2_dec_decode_ctl.scala 424:32] - node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 424:52] - node _T_336 = and(_T_334, _T_335) @[el2_dec_decode_ctl.scala 424:50] - node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 424:67] - node _T_338 = and(_T_336, _T_337) @[el2_dec_decode_ctl.scala 424:65] - i0_jal <= _T_338 @[el2_dec_decode_ctl.scala 424:15] - io.div_p.valid <= div_decode_d @[el2_dec_decode_ctl.scala 427:21] - io.div_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 428:26] - io.div_p.bits.rem <= i0_dp.rem @[el2_dec_decode_ctl.scala 429:26] - io.mul_p.valid <= mul_decode_d @[el2_dec_decode_ctl.scala 431:21] - io.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[el2_dec_decode_ctl.scala 432:26] - io.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[el2_dec_decode_ctl.scala 433:26] - io.mul_p.bits.low <= i0_dp.low @[el2_dec_decode_ctl.scala 434:26] - reg _T_339 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 436:58] - _T_339 <= io.dec_tlu_flush_extint @[el2_dec_decode_ctl.scala 436:58] - io.dec_extint_stall <= _T_339 @[el2_dec_decode_ctl.scala 436:23] - wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.dma <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.dword <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.bits.fast_int <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - _T_340.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 438:27] - io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.dma <= _T_340.bits.dma @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.unsign <= _T_340.bits.unsign @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.store <= _T_340.bits.store @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.load <= _T_340.bits.load @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.dword <= _T_340.bits.dword @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.word <= _T_340.bits.word @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.half <= _T_340.bits.half @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.by <= _T_340.bits.by @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[el2_dec_decode_ctl.scala 438:12] - io.lsu_p.valid <= _T_340.valid @[el2_dec_decode_ctl.scala 438:12] - when io.dec_extint_stall : @[el2_dec_decode_ctl.scala 439:29] - io.lsu_p.bits.load <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 440:29] - io.lsu_p.bits.word <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 441:29] - io.lsu_p.bits.fast_int <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 442:29] - io.lsu_p.valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 443:24] - skip @[el2_dec_decode_ctl.scala 439:29] - else : @[el2_dec_decode_ctl.scala 444:15] - io.lsu_p.valid <= lsu_decode_d @[el2_dec_decode_ctl.scala 445:35] - io.lsu_p.bits.load <= i0_dp.load @[el2_dec_decode_ctl.scala 446:40] - io.lsu_p.bits.store <= i0_dp.store @[el2_dec_decode_ctl.scala 447:40] - io.lsu_p.bits.by <= i0_dp.by @[el2_dec_decode_ctl.scala 448:40] - io.lsu_p.bits.half <= i0_dp.half @[el2_dec_decode_ctl.scala 449:40] - io.lsu_p.bits.word <= i0_dp.word @[el2_dec_decode_ctl.scala 450:40] - io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[el2_dec_decode_ctl.scala 451:40] - io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[el2_dec_decode_ctl.scala 452:40] - io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[el2_dec_decode_ctl.scala 453:40] - io.lsu_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 454:40] - skip @[el2_dec_decode_ctl.scala 444:15] - io.dec_csr_ren_d <= i0_dp.csr_read @[el2_dec_decode_ctl.scala 458:21] - node _T_341 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 459:56] - node _T_342 = and(i0_dp.csr_read, _T_341) @[el2_dec_decode_ctl.scala 459:36] - csr_read <= _T_342 @[el2_dec_decode_ctl.scala 459:18] - node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 461:42] - node i0_csr_write = and(i0_dp.csr_write, _T_343) @[el2_dec_decode_ctl.scala 461:40] - node _T_344 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 462:61] - node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[el2_dec_decode_ctl.scala 462:41] - node _T_345 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 463:59] - node csr_set_d = and(i0_dp.csr_set, _T_345) @[el2_dec_decode_ctl.scala 463:39] - node _T_346 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 464:59] - node csr_write_d = and(i0_csr_write, _T_346) @[el2_dec_decode_ctl.scala 464:39] - node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 466:41] - node _T_348 = and(i0_csr_write, _T_347) @[el2_dec_decode_ctl.scala 466:39] - i0_csr_write_only_d <= _T_348 @[el2_dec_decode_ctl.scala 466:23] - node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[el2_dec_decode_ctl.scala 467:42] - node _T_350 = or(_T_349, i0_csr_write) @[el2_dec_decode_ctl.scala 467:58] - io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 467:24] - node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 470:30] - io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 470:24] - io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 471:23] - node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[el2_dec_decode_ctl.scala 475:39] - node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 475:53] - node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 475:51] - io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 475:20] - node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 478:50] - node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 478:85] - node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 478:64] - node _T_358 = and(_T_357, r_d.bits.csrwen) @[el2_dec_decode_ctl.scala 478:100] - node _T_359 = and(_T_358, r_d.valid) @[el2_dec_decode_ctl.scala 478:118] - node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 478:132] - node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 478:130] - io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 478:27] - reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 480:52] - csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 480:52] - reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 481:51] - csr_clr_x <= csr_clr_d @[el2_dec_decode_ctl.scala 481:51] - reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 482:51] - csr_set_x <= csr_set_d @[el2_dec_decode_ctl.scala 482:51] - reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 483:53] - csr_write_x <= csr_write_d @[el2_dec_decode_ctl.scala 483:53] - reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 484:51] - csr_imm_x <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 484:51] - node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 487:27] - node _T_363 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 487:48] - inst rvclkhdr of rvclkhdr_1 @[el2_lib.scala 508:23] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr.io.en <= _T_363 @[el2_lib.scala 511:17] - rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg csrimm_x : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - csrimm_x <= _T_362 @[el2_lib.scala 514:16] - node _T_364 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 488:62] - inst rvclkhdr_1 of rvclkhdr_2 @[el2_lib.scala 508:23] + node _T_324 = mux(_T_315, _T_316, _T_323) @[el2_dec_decode_ctl.scala 415:26] + i0_br_offset <= _T_324 @[el2_dec_decode_ctl.scala 415:20] + node _T_325 = and(i0_dp_raw.jal, i0_dp_raw.imm12) @[el2_dec_decode_ctl.scala 417:37] + node _T_326 = eq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 417:65] + node _T_327 = and(_T_325, _T_326) @[el2_dec_decode_ctl.scala 417:55] + node _T_328 = eq(i0r.rs1, UInt<5>("h01")) @[el2_dec_decode_ctl.scala 417:89] + node _T_329 = eq(i0r.rs1, UInt<5>("h05")) @[el2_dec_decode_ctl.scala 417:111] + node _T_330 = or(_T_328, _T_329) @[el2_dec_decode_ctl.scala 417:101] + node i0_pret_case = and(_T_327, _T_330) @[el2_dec_decode_ctl.scala 417:79] + node _T_331 = and(i0_dp_raw.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 418:32] + i0_pret_raw <= _T_331 @[el2_dec_decode_ctl.scala 418:15] + node _T_332 = and(i0_dp.jal, i0_pret_case) @[el2_dec_decode_ctl.scala 419:32] + i0_pret <= _T_332 @[el2_dec_decode_ctl.scala 419:15] + node _T_333 = eq(i0_pcall_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 420:35] + node _T_334 = and(i0_dp.jal, _T_333) @[el2_dec_decode_ctl.scala 420:32] + node _T_335 = eq(i0_pja_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 420:52] + node _T_336 = and(_T_334, _T_335) @[el2_dec_decode_ctl.scala 420:50] + node _T_337 = eq(i0_pret_case, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 420:67] + node _T_338 = and(_T_336, _T_337) @[el2_dec_decode_ctl.scala 420:65] + i0_jal <= _T_338 @[el2_dec_decode_ctl.scala 420:15] + io.div_p.valid <= div_decode_d @[el2_dec_decode_ctl.scala 423:21] + io.div_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 424:26] + io.div_p.bits.rem <= i0_dp.rem @[el2_dec_decode_ctl.scala 425:26] + io.mul_p.valid <= mul_decode_d @[el2_dec_decode_ctl.scala 427:21] + io.mul_p.bits.rs1_sign <= i0_dp.rs1_sign @[el2_dec_decode_ctl.scala 428:26] + io.mul_p.bits.rs2_sign <= i0_dp.rs2_sign @[el2_dec_decode_ctl.scala 429:26] + io.mul_p.bits.low <= i0_dp.low @[el2_dec_decode_ctl.scala 430:26] + reg _T_339 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 432:58] + _T_339 <= io.dec_tlu_flush_extint @[el2_dec_decode_ctl.scala 432:58] + io.dec_extint_stall <= _T_339 @[el2_dec_decode_ctl.scala 432:23] + wire _T_340 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.load_ldst_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.store_data_bypass_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.dma <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.unsign <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.store <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.dword <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.word <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.half <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.by <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.bits.fast_int <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + _T_340.valid <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 434:27] + io.lsu_p.bits.store_data_bypass_m <= _T_340.bits.store_data_bypass_m @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.load_ldst_bypass_d <= _T_340.bits.load_ldst_bypass_d @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.store_data_bypass_d <= _T_340.bits.store_data_bypass_d @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.dma <= _T_340.bits.dma @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.unsign <= _T_340.bits.unsign @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.store <= _T_340.bits.store @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.load <= _T_340.bits.load @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.dword <= _T_340.bits.dword @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.word <= _T_340.bits.word @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.half <= _T_340.bits.half @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.by <= _T_340.bits.by @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.bits.fast_int <= _T_340.bits.fast_int @[el2_dec_decode_ctl.scala 434:12] + io.lsu_p.valid <= _T_340.valid @[el2_dec_decode_ctl.scala 434:12] + when io.dec_extint_stall : @[el2_dec_decode_ctl.scala 435:29] + io.lsu_p.bits.load <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 436:29] + io.lsu_p.bits.word <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 437:29] + io.lsu_p.bits.fast_int <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 438:29] + io.lsu_p.valid <= UInt<1>("h01") @[el2_dec_decode_ctl.scala 439:24] + skip @[el2_dec_decode_ctl.scala 435:29] + else : @[el2_dec_decode_ctl.scala 440:15] + io.lsu_p.valid <= lsu_decode_d @[el2_dec_decode_ctl.scala 441:35] + io.lsu_p.bits.load <= i0_dp.load @[el2_dec_decode_ctl.scala 442:40] + io.lsu_p.bits.store <= i0_dp.store @[el2_dec_decode_ctl.scala 443:40] + io.lsu_p.bits.by <= i0_dp.by @[el2_dec_decode_ctl.scala 444:40] + io.lsu_p.bits.half <= i0_dp.half @[el2_dec_decode_ctl.scala 445:40] + io.lsu_p.bits.word <= i0_dp.word @[el2_dec_decode_ctl.scala 446:40] + io.lsu_p.bits.load_ldst_bypass_d <= load_ldst_bypass_d @[el2_dec_decode_ctl.scala 447:40] + io.lsu_p.bits.store_data_bypass_d <= store_data_bypass_d @[el2_dec_decode_ctl.scala 448:40] + io.lsu_p.bits.store_data_bypass_m <= store_data_bypass_m @[el2_dec_decode_ctl.scala 449:40] + io.lsu_p.bits.unsign <= i0_dp.unsign @[el2_dec_decode_ctl.scala 450:40] + skip @[el2_dec_decode_ctl.scala 440:15] + io.dec_csr_ren_d <= i0_dp.csr_read @[el2_dec_decode_ctl.scala 454:21] + node _T_341 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 455:56] + node _T_342 = and(i0_dp.csr_read, _T_341) @[el2_dec_decode_ctl.scala 455:36] + csr_read <= _T_342 @[el2_dec_decode_ctl.scala 455:18] + node _T_343 = eq(io.dec_debug_fence_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 457:42] + node i0_csr_write = and(i0_dp.csr_write, _T_343) @[el2_dec_decode_ctl.scala 457:40] + node _T_344 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 458:61] + node csr_clr_d = and(i0_dp.csr_clr, _T_344) @[el2_dec_decode_ctl.scala 458:41] + node _T_345 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 459:59] + node csr_set_d = and(i0_dp.csr_set, _T_345) @[el2_dec_decode_ctl.scala 459:39] + node _T_346 = bits(i0_legal_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 460:59] + node csr_write_d = and(i0_csr_write, _T_346) @[el2_dec_decode_ctl.scala 460:39] + node _T_347 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 462:41] + node _T_348 = and(i0_csr_write, _T_347) @[el2_dec_decode_ctl.scala 462:39] + i0_csr_write_only_d <= _T_348 @[el2_dec_decode_ctl.scala 462:23] + node _T_349 = or(i0_dp.csr_clr, i0_dp.csr_set) @[el2_dec_decode_ctl.scala 463:42] + node _T_350 = or(_T_349, i0_csr_write) @[el2_dec_decode_ctl.scala 463:58] + io.dec_csr_wen_unq_d <= _T_350 @[el2_dec_decode_ctl.scala 463:24] + node _T_351 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 466:30] + io.dec_csr_rdaddr_d <= _T_351 @[el2_dec_decode_ctl.scala 466:24] + io.dec_csr_wraddr_r <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 467:23] + node _T_352 = and(r_d.bits.csrwen, r_d.valid) @[el2_dec_decode_ctl.scala 471:39] + node _T_353 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 471:53] + node _T_354 = and(_T_352, _T_353) @[el2_dec_decode_ctl.scala 471:51] + io.dec_csr_wen_r <= _T_354 @[el2_dec_decode_ctl.scala 471:20] + node _T_355 = eq(r_d.bits.csrwaddr, UInt<10>("h0300")) @[el2_dec_decode_ctl.scala 474:50] + node _T_356 = eq(r_d.bits.csrwaddr, UInt<10>("h0304")) @[el2_dec_decode_ctl.scala 474:85] + node _T_357 = or(_T_355, _T_356) @[el2_dec_decode_ctl.scala 474:64] + node _T_358 = and(_T_357, r_d.bits.csrwen) @[el2_dec_decode_ctl.scala 474:100] + node _T_359 = and(_T_358, r_d.valid) @[el2_dec_decode_ctl.scala 474:118] + node _T_360 = eq(io.dec_tlu_i0_kill_writeb_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 474:132] + node _T_361 = and(_T_359, _T_360) @[el2_dec_decode_ctl.scala 474:130] + io.dec_csr_stall_int_ff <= _T_361 @[el2_dec_decode_ctl.scala 474:27] + reg csr_read_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 476:52] + csr_read_x <= csr_read @[el2_dec_decode_ctl.scala 476:52] + reg csr_clr_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 477:51] + csr_clr_x <= csr_clr_d @[el2_dec_decode_ctl.scala 477:51] + reg csr_set_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 478:51] + csr_set_x <= csr_set_d @[el2_dec_decode_ctl.scala 478:51] + reg csr_write_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 479:53] + csr_write_x <= csr_write_d @[el2_dec_decode_ctl.scala 479:53] + reg csr_imm_x : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 480:51] + csr_imm_x <= i0_dp.csr_imm @[el2_dec_decode_ctl.scala 480:51] + node _T_362 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 483:27] + node _T_363 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 483:48] + inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 508:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_1.io.en <= _T_364 @[el2_lib.scala 511:17] + rvclkhdr_1.io.en <= _T_363 @[el2_lib.scala 511:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg csr_rddata_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + reg csrimm_x : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + csrimm_x <= _T_362 @[el2_lib.scala 514:16] + node _T_364 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 484:62] + inst rvclkhdr_2 of rvclkhdr_2 @[el2_lib.scala 508:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_2.io.en <= _T_364 @[el2_lib.scala 511:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg csr_rddata_x : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] csr_rddata_x <= io.dec_csr_rddata_d @[el2_lib.scala 514:16] - node _T_365 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 491:15] + node _T_365 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 487:15] wire _T_366 : UInt<1>[27] @[el2_lib.scala 162:48] _T_366[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_366[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -3731,18 +3729,18 @@ circuit el2_dec_decode_ctl : node _T_390 = cat(_T_389, _T_366[24]) @[Cat.scala 29:58] node _T_391 = cat(_T_390, _T_366[25]) @[Cat.scala 29:58] node _T_392 = cat(_T_391, _T_366[26]) @[Cat.scala 29:58] - node _T_393 = bits(csrimm_x, 4, 0) @[el2_dec_decode_ctl.scala 491:53] + node _T_393 = bits(csrimm_x, 4, 0) @[el2_dec_decode_ctl.scala 487:53] node _T_394 = cat(_T_392, _T_393) @[Cat.scala 29:58] - node _T_395 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 492:16] - node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 492:5] + node _T_395 = bits(csr_imm_x, 0, 0) @[el2_dec_decode_ctl.scala 488:16] + node _T_396 = eq(_T_395, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 488:5] node _T_397 = mux(_T_365, _T_394, UInt<1>("h00")) @[Mux.scala 27:72] node _T_398 = mux(_T_396, io.exu_csr_rs1_x, UInt<1>("h00")) @[Mux.scala 27:72] node _T_399 = or(_T_397, _T_398) @[Mux.scala 27:72] wire csr_mask_x : UInt<32> @[Mux.scala 27:72] csr_mask_x <= _T_399 @[Mux.scala 27:72] - node _T_400 = not(csr_mask_x) @[el2_dec_decode_ctl.scala 495:38] - node _T_401 = and(csr_rddata_x, _T_400) @[el2_dec_decode_ctl.scala 495:35] - node _T_402 = or(csr_rddata_x, csr_mask_x) @[el2_dec_decode_ctl.scala 496:35] + node _T_400 = not(csr_mask_x) @[el2_dec_decode_ctl.scala 491:38] + node _T_401 = and(csr_rddata_x, _T_400) @[el2_dec_decode_ctl.scala 491:35] + node _T_402 = or(csr_rddata_x, csr_mask_x) @[el2_dec_decode_ctl.scala 492:35] node _T_403 = mux(csr_clr_x, _T_401, UInt<1>("h00")) @[Mux.scala 27:72] node _T_404 = mux(csr_set_x, _T_402, UInt<1>("h00")) @[Mux.scala 27:72] node _T_405 = mux(csr_write_x, csr_mask_x, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3750,74 +3748,74 @@ circuit el2_dec_decode_ctl : node _T_407 = or(_T_406, _T_405) @[Mux.scala 27:72] wire write_csr_data_x : UInt @[Mux.scala 27:72] write_csr_data_x <= _T_407 @[Mux.scala 27:72] - node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 499:49] - node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[el2_dec_decode_ctl.scala 499:47] - node _T_410 = eq(write_csr_data, UInt<31>("h00")) @[el2_dec_decode_ctl.scala 499:109] - node _T_411 = and(pause_stall, _T_410) @[el2_dec_decode_ctl.scala 499:91] - node clear_pause = or(_T_409, _T_411) @[el2_dec_decode_ctl.scala 499:76] - node _T_412 = or(io.dec_tlu_wr_pause_r, pause_stall) @[el2_dec_decode_ctl.scala 500:44] - node _T_413 = eq(clear_pause, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 500:61] - node _T_414 = and(_T_412, _T_413) @[el2_dec_decode_ctl.scala 500:59] - pause_state_in <= _T_414 @[el2_dec_decode_ctl.scala 500:18] - reg _T_415 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 501:50] - _T_415 <= pause_state_in @[el2_dec_decode_ctl.scala 501:50] - pause_stall <= _T_415 @[el2_dec_decode_ctl.scala 501:15] - io.dec_pause_state <= pause_stall @[el2_dec_decode_ctl.scala 502:22] - reg _T_416 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 503:29] - _T_416 <= io.dec_tlu_wr_pause_r @[el2_dec_decode_ctl.scala 503:29] - tlu_wr_pause_r1 <= _T_416 @[el2_dec_decode_ctl.scala 503:19] - reg _T_417 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 504:29] - _T_417 <= tlu_wr_pause_r1 @[el2_dec_decode_ctl.scala 504:29] - tlu_wr_pause_r2 <= _T_417 @[el2_dec_decode_ctl.scala 504:19] - node _T_418 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 506:44] - node _T_419 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 506:64] - node _T_420 = and(_T_418, _T_419) @[el2_dec_decode_ctl.scala 506:61] - node _T_421 = and(pause_stall, _T_420) @[el2_dec_decode_ctl.scala 506:41] - io.dec_pause_state_cg <= _T_421 @[el2_dec_decode_ctl.scala 506:25] - node _T_422 = sub(write_csr_data, UInt<32>("h01")) @[el2_dec_decode_ctl.scala 509:59] - node _T_423 = tail(_T_422, 1) @[el2_dec_decode_ctl.scala 509:59] - node _T_424 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[el2_dec_decode_ctl.scala 510:8] - node write_csr_data_in = mux(pause_stall, _T_423, _T_424) @[el2_dec_decode_ctl.scala 509:30] - node _T_425 = or(csr_clr_x, csr_set_x) @[el2_dec_decode_ctl.scala 511:34] - node _T_426 = or(_T_425, csr_write_x) @[el2_dec_decode_ctl.scala 511:46] - node _T_427 = and(_T_426, csr_read_x) @[el2_dec_decode_ctl.scala 511:61] - node _T_428 = or(_T_427, io.dec_tlu_wr_pause_r) @[el2_dec_decode_ctl.scala 511:75] - node csr_data_wen = or(_T_428, pause_stall) @[el2_dec_decode_ctl.scala 511:99] - inst rvclkhdr_2 of rvclkhdr_3 @[el2_lib.scala 508:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_2.io.en <= csr_data_wen @[el2_lib.scala 511:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_429 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + node _T_408 = eq(io.dec_tlu_flush_pause_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 495:49] + node _T_409 = and(io.dec_tlu_flush_lower_r, _T_408) @[el2_dec_decode_ctl.scala 495:47] + node _T_410 = eq(write_csr_data, UInt<31>("h00")) @[el2_dec_decode_ctl.scala 495:109] + node _T_411 = and(pause_stall, _T_410) @[el2_dec_decode_ctl.scala 495:91] + node clear_pause = or(_T_409, _T_411) @[el2_dec_decode_ctl.scala 495:76] + node _T_412 = or(io.dec_tlu_wr_pause_r, pause_stall) @[el2_dec_decode_ctl.scala 496:44] + node _T_413 = eq(clear_pause, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 496:61] + node _T_414 = and(_T_412, _T_413) @[el2_dec_decode_ctl.scala 496:59] + pause_state_in <= _T_414 @[el2_dec_decode_ctl.scala 496:18] + reg _T_415 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 497:50] + _T_415 <= pause_state_in @[el2_dec_decode_ctl.scala 497:50] + pause_stall <= _T_415 @[el2_dec_decode_ctl.scala 497:15] + io.dec_pause_state <= pause_stall @[el2_dec_decode_ctl.scala 498:22] + reg _T_416 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 499:55] + _T_416 <= io.dec_tlu_wr_pause_r @[el2_dec_decode_ctl.scala 499:55] + tlu_wr_pause_r1 <= _T_416 @[el2_dec_decode_ctl.scala 499:19] + reg _T_417 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 500:55] + _T_417 <= tlu_wr_pause_r1 @[el2_dec_decode_ctl.scala 500:55] + tlu_wr_pause_r2 <= _T_417 @[el2_dec_decode_ctl.scala 500:19] + node _T_418 = eq(tlu_wr_pause_r1, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 502:44] + node _T_419 = eq(tlu_wr_pause_r2, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 502:64] + node _T_420 = and(_T_418, _T_419) @[el2_dec_decode_ctl.scala 502:61] + node _T_421 = and(pause_stall, _T_420) @[el2_dec_decode_ctl.scala 502:41] + io.dec_pause_state_cg <= _T_421 @[el2_dec_decode_ctl.scala 502:25] + node _T_422 = sub(write_csr_data, UInt<32>("h01")) @[el2_dec_decode_ctl.scala 505:59] + node _T_423 = tail(_T_422, 1) @[el2_dec_decode_ctl.scala 505:59] + node _T_424 = mux(io.dec_tlu_wr_pause_r, io.dec_csr_wrdata_r, write_csr_data_x) @[el2_dec_decode_ctl.scala 506:8] + node write_csr_data_in = mux(pause_stall, _T_423, _T_424) @[el2_dec_decode_ctl.scala 505:30] + node _T_425 = or(csr_clr_x, csr_set_x) @[el2_dec_decode_ctl.scala 507:34] + node _T_426 = or(_T_425, csr_write_x) @[el2_dec_decode_ctl.scala 507:46] + node _T_427 = and(_T_426, csr_read_x) @[el2_dec_decode_ctl.scala 507:61] + node _T_428 = or(_T_427, io.dec_tlu_wr_pause_r) @[el2_dec_decode_ctl.scala 507:75] + node csr_data_wen = or(_T_428, pause_stall) @[el2_dec_decode_ctl.scala 507:99] + inst rvclkhdr_3 of rvclkhdr_3 @[el2_lib.scala 508:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_3.io.en <= csr_data_wen @[el2_lib.scala 511:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_429 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_429 <= write_csr_data_in @[el2_lib.scala 514:16] - write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 512:18] - node _T_430 = bits(r_d.bits.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 518:49] - node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 518:30] - io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 518:24] - node _T_432 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[el2_dec_decode_ctl.scala 520:43] - node prior_csr_write = or(_T_432, wbd.bits.csrwonly) @[el2_dec_decode_ctl.scala 520:63] - node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 522:67] - node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 522:48] - node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 523:67] - node debug_fence_raw = and(io.dec_debug_fence_d, _T_434) @[el2_dec_decode_ctl.scala 523:48] - node _T_435 = or(debug_fence_raw, debug_fence_i) @[el2_dec_decode_ctl.scala 524:40] - debug_fence <= _T_435 @[el2_dec_decode_ctl.scala 524:21] - node _T_436 = or(i0_dp.presync, io.dec_tlu_presync_d) @[el2_dec_decode_ctl.scala 527:34] - node _T_437 = or(_T_436, debug_fence_i) @[el2_dec_decode_ctl.scala 527:57] - node _T_438 = or(_T_437, debug_fence_raw) @[el2_dec_decode_ctl.scala 527:73] - node i0_presync = or(_T_438, io.dec_tlu_pipelining_disable) @[el2_dec_decode_ctl.scala 527:91] - node _T_439 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[el2_dec_decode_ctl.scala 530:36] - node _T_440 = or(_T_439, debug_fence_i) @[el2_dec_decode_ctl.scala 530:60] - node _T_441 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 530:104] - node _T_442 = eq(_T_441, UInt<11>("h07c2")) @[el2_dec_decode_ctl.scala 530:112] - node _T_443 = and(i0_csr_write_only_d, _T_442) @[el2_dec_decode_ctl.scala 530:99] - node i0_postsync = or(_T_440, _T_443) @[el2_dec_decode_ctl.scala 530:76] - node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[el2_dec_decode_ctl.scala 532:34] - io.dec_csr_any_unq_d <= any_csr_d @[el2_dec_decode_ctl.scala 533:24] - node _T_444 = eq(any_csr_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 534:40] - node _T_445 = or(_T_444, io.dec_csr_legal_d) @[el2_dec_decode_ctl.scala 534:51] - node i0_legal = and(i0_dp.legal, _T_445) @[el2_dec_decode_ctl.scala 534:37] + write_csr_data <= _T_429 @[el2_dec_decode_ctl.scala 508:18] + node _T_430 = bits(r_d.bits.csrwonly, 0, 0) @[el2_dec_decode_ctl.scala 514:49] + node _T_431 = mux(_T_430, i0_result_corr_r, write_csr_data) @[el2_dec_decode_ctl.scala 514:30] + io.dec_csr_wrdata_r <= _T_431 @[el2_dec_decode_ctl.scala 514:24] + node _T_432 = or(x_d.bits.csrwonly, r_d.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:43] + node prior_csr_write = or(_T_432, wbd.bits.csrwonly) @[el2_dec_decode_ctl.scala 516:63] + node _T_433 = bits(io.dbg_cmd_wrdata, 0, 0) @[el2_dec_decode_ctl.scala 518:67] + node debug_fence_i = and(io.dec_debug_fence_d, _T_433) @[el2_dec_decode_ctl.scala 518:48] + node _T_434 = bits(io.dbg_cmd_wrdata, 1, 1) @[el2_dec_decode_ctl.scala 519:67] + node debug_fence_raw = and(io.dec_debug_fence_d, _T_434) @[el2_dec_decode_ctl.scala 519:48] + node _T_435 = or(debug_fence_raw, debug_fence_i) @[el2_dec_decode_ctl.scala 520:40] + debug_fence <= _T_435 @[el2_dec_decode_ctl.scala 520:21] + node _T_436 = or(i0_dp.presync, io.dec_tlu_presync_d) @[el2_dec_decode_ctl.scala 523:34] + node _T_437 = or(_T_436, debug_fence_i) @[el2_dec_decode_ctl.scala 523:57] + node _T_438 = or(_T_437, debug_fence_raw) @[el2_dec_decode_ctl.scala 523:73] + node i0_presync = or(_T_438, io.dec_tlu_pipelining_disable) @[el2_dec_decode_ctl.scala 523:91] + node _T_439 = or(i0_dp.postsync, io.dec_tlu_postsync_d) @[el2_dec_decode_ctl.scala 526:36] + node _T_440 = or(_T_439, debug_fence_i) @[el2_dec_decode_ctl.scala 526:60] + node _T_441 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 526:104] + node _T_442 = eq(_T_441, UInt<11>("h07c2")) @[el2_dec_decode_ctl.scala 526:112] + node _T_443 = and(i0_csr_write_only_d, _T_442) @[el2_dec_decode_ctl.scala 526:99] + node i0_postsync = or(_T_440, _T_443) @[el2_dec_decode_ctl.scala 526:76] + node any_csr_d = or(i0_dp.csr_read, i0_csr_write) @[el2_dec_decode_ctl.scala 528:34] + io.dec_csr_any_unq_d <= any_csr_d @[el2_dec_decode_ctl.scala 529:24] + node _T_444 = eq(any_csr_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 530:40] + node _T_445 = or(_T_444, io.dec_csr_legal_d) @[el2_dec_decode_ctl.scala 530:51] + node i0_legal = and(i0_dp.legal, _T_445) @[el2_dec_decode_ctl.scala 530:37] wire _T_446 : UInt<1>[16] @[el2_lib.scala 162:48] _T_446[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_446[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -3851,107 +3849,107 @@ circuit el2_dec_decode_ctl : node _T_460 = cat(_T_459, _T_446[14]) @[Cat.scala 29:58] node _T_461 = cat(_T_460, _T_446[15]) @[Cat.scala 29:58] node _T_462 = cat(_T_461, io.ifu_i0_cinst) @[Cat.scala 29:58] - node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_462) @[el2_dec_decode_ctl.scala 535:27] - node _T_463 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 538:49] - node shift_illegal = and(io.dec_i0_decode_d, _T_463) @[el2_dec_decode_ctl.scala 538:47] - node _T_464 = eq(illegal_lockout, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 539:44] - node illegal_inst_en = and(shift_illegal, _T_464) @[el2_dec_decode_ctl.scala 539:42] - inst rvclkhdr_3 of rvclkhdr_4 @[el2_lib.scala 508:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_3.io.en <= illegal_inst_en @[el2_lib.scala 511:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_465 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + node i0_inst_d = mux(io.dec_i0_pc4_d, io.dec_i0_instr_d, _T_462) @[el2_dec_decode_ctl.scala 531:27] + node _T_463 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 534:49] + node shift_illegal = and(io.dec_i0_decode_d, _T_463) @[el2_dec_decode_ctl.scala 534:47] + node _T_464 = eq(illegal_lockout, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 535:44] + node illegal_inst_en = and(shift_illegal, _T_464) @[el2_dec_decode_ctl.scala 535:42] + inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 508:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_4.io.en <= illegal_inst_en @[el2_lib.scala 511:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_465 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_465 <= i0_inst_d @[el2_lib.scala 514:16] - io.dec_illegal_inst <= _T_465 @[el2_dec_decode_ctl.scala 540:23] - node _T_466 = or(shift_illegal, illegal_lockout) @[el2_dec_decode_ctl.scala 541:40] - node _T_467 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 541:61] - node _T_468 = and(_T_466, _T_467) @[el2_dec_decode_ctl.scala 541:59] - illegal_lockout_in <= _T_468 @[el2_dec_decode_ctl.scala 541:22] - reg _T_469 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 542:54] - _T_469 <= illegal_lockout_in @[el2_dec_decode_ctl.scala 542:54] - illegal_lockout <= _T_469 @[el2_dec_decode_ctl.scala 542:19] - node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[el2_dec_decode_ctl.scala 543:42] - node _T_470 = and(i0_dp.csr_read, prior_csr_write) @[el2_dec_decode_ctl.scala 545:40] - node _T_471 = or(_T_470, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 545:59] - node _T_472 = or(_T_471, pause_stall) @[el2_dec_decode_ctl.scala 545:81] - node _T_473 = or(_T_472, leak1_i0_stall) @[el2_dec_decode_ctl.scala 545:95] - node _T_474 = or(_T_473, io.dec_tlu_debug_stall) @[el2_dec_decode_ctl.scala 546:20] - node _T_475 = or(_T_474, postsync_stall) @[el2_dec_decode_ctl.scala 546:45] - node _T_476 = or(_T_475, presync_stall) @[el2_dec_decode_ctl.scala 546:62] - node _T_477 = or(i0_dp.fence, debug_fence) @[el2_dec_decode_ctl.scala 547:19] - node _T_478 = eq(lsu_idle, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 547:36] - node _T_479 = and(_T_477, _T_478) @[el2_dec_decode_ctl.scala 547:34] - node _T_480 = or(_T_476, _T_479) @[el2_dec_decode_ctl.scala 546:79] - node _T_481 = or(_T_480, i0_nonblock_load_stall) @[el2_dec_decode_ctl.scala 547:47] - node _T_482 = or(_T_481, i0_load_block_d) @[el2_dec_decode_ctl.scala 547:72] - node _T_483 = or(_T_482, i0_nonblock_div_stall) @[el2_dec_decode_ctl.scala 548:21] - node i0_block_raw_d = or(_T_483, i0_div_prior_div_stall) @[el2_dec_decode_ctl.scala 548:45] - node _T_484 = or(io.lsu_store_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 550:65] - node i0_store_stall_d = and(i0_dp.store, _T_484) @[el2_dec_decode_ctl.scala 550:39] - node _T_485 = or(io.lsu_load_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 551:63] - node i0_load_stall_d = and(i0_dp.load, _T_485) @[el2_dec_decode_ctl.scala 551:38] - node _T_486 = or(i0_block_raw_d, i0_store_stall_d) @[el2_dec_decode_ctl.scala 552:38] - node i0_block_d = or(_T_486, i0_load_stall_d) @[el2_dec_decode_ctl.scala 552:57] - node _T_487 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:46] - node _T_488 = and(io.dec_ib0_valid_d, _T_487) @[el2_dec_decode_ctl.scala 556:44] - node _T_489 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:63] - node _T_490 = and(_T_488, _T_489) @[el2_dec_decode_ctl.scala 556:61] - node _T_491 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 556:91] - node _T_492 = and(_T_490, _T_491) @[el2_dec_decode_ctl.scala 556:89] - io.dec_i0_decode_d <= _T_492 @[el2_dec_decode_ctl.scala 556:22] - node _T_493 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 557:46] - node _T_494 = and(io.dec_ib0_valid_d, _T_493) @[el2_dec_decode_ctl.scala 557:44] - node _T_495 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 557:63] - node _T_496 = and(_T_494, _T_495) @[el2_dec_decode_ctl.scala 557:61] - node _T_497 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 557:91] - node i0_exudecode_d = and(_T_496, _T_497) @[el2_dec_decode_ctl.scala 557:89] - node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[el2_dec_decode_ctl.scala 558:46] - io.dec_pmu_instr_decoded <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 561:28] - node _T_498 = eq(io.dec_i0_decode_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 562:51] - node _T_499 = and(io.dec_ib0_valid_d, _T_498) @[el2_dec_decode_ctl.scala 562:49] - io.dec_pmu_decode_stall <= _T_499 @[el2_dec_decode_ctl.scala 562:27] - node _T_500 = bits(postsync_stall, 0, 0) @[el2_dec_decode_ctl.scala 563:47] - io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 563:29] - node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 564:46] - io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 564:29] - node prior_inflight = or(x_d.valid, r_d.valid) @[el2_dec_decode_ctl.scala 568:41] - node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[el2_dec_decode_ctl.scala 569:31] - node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 571:37] - presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 571:22] - reg _T_503 : UInt, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 572:53] - _T_503 <= ps_stall_in @[el2_dec_decode_ctl.scala 572:53] - postsync_stall <= _T_503 @[el2_dec_decode_ctl.scala 572:18] - node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 574:56] - node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 574:54] - node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 574:39] - node _T_507 = and(postsync_stall, x_d.valid) @[el2_dec_decode_ctl.scala 574:88] - node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 574:69] - ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 574:15] - node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 576:50] - io.dec_i0_alu_decode_d <= _T_509 @[el2_dec_decode_ctl.scala 576:26] - node _T_510 = and(i0_legal_decode_d, i0_dp.lsu) @[el2_dec_decode_ctl.scala 578:40] - lsu_decode_d <= _T_510 @[el2_dec_decode_ctl.scala 578:16] - node _T_511 = and(i0_exulegal_decode_d, i0_dp.mul) @[el2_dec_decode_ctl.scala 579:40] - mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 579:16] - node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 580:40] - div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 580:16] - node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 582:45] - node _T_514 = and(r_d.valid, _T_513) @[el2_dec_decode_ctl.scala 582:43] - io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 582:29] - d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 585:26] - node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 586:40] - d_t.icaf <= _T_515 @[el2_dec_decode_ctl.scala 586:26] - node _T_516 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 587:50] - d_t.icaf_f1 <= _T_516 @[el2_dec_decode_ctl.scala 587:26] - d_t.icaf_type <= io.dec_i0_icaf_type_d @[el2_dec_decode_ctl.scala 588:26] - node _T_517 = or(i0_dp.fence_i, debug_fence_i) @[el2_dec_decode_ctl.scala 590:44] - node _T_518 = and(_T_517, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 590:61] - d_t.fence_i <= _T_518 @[el2_dec_decode_ctl.scala 590:26] - d_t.pmu_i0_br_unpred <= i0_br_unpred @[el2_dec_decode_ctl.scala 593:26] - d_t.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 594:26] - d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 595:26] + io.dec_illegal_inst <= _T_465 @[el2_dec_decode_ctl.scala 536:23] + node _T_466 = or(shift_illegal, illegal_lockout) @[el2_dec_decode_ctl.scala 537:40] + node _T_467 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 537:61] + node _T_468 = and(_T_466, _T_467) @[el2_dec_decode_ctl.scala 537:59] + illegal_lockout_in <= _T_468 @[el2_dec_decode_ctl.scala 537:22] + reg _T_469 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 538:54] + _T_469 <= illegal_lockout_in @[el2_dec_decode_ctl.scala 538:54] + illegal_lockout <= _T_469 @[el2_dec_decode_ctl.scala 538:19] + node i0_div_prior_div_stall = and(i0_dp.div, io.dec_div_active) @[el2_dec_decode_ctl.scala 539:42] + node _T_470 = and(i0_dp.csr_read, prior_csr_write) @[el2_dec_decode_ctl.scala 541:40] + node _T_471 = or(_T_470, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 541:59] + node _T_472 = or(_T_471, pause_stall) @[el2_dec_decode_ctl.scala 541:81] + node _T_473 = or(_T_472, leak1_i0_stall) @[el2_dec_decode_ctl.scala 541:95] + node _T_474 = or(_T_473, io.dec_tlu_debug_stall) @[el2_dec_decode_ctl.scala 542:20] + node _T_475 = or(_T_474, postsync_stall) @[el2_dec_decode_ctl.scala 542:45] + node _T_476 = or(_T_475, presync_stall) @[el2_dec_decode_ctl.scala 542:62] + node _T_477 = or(i0_dp.fence, debug_fence) @[el2_dec_decode_ctl.scala 543:19] + node _T_478 = eq(lsu_idle, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 543:36] + node _T_479 = and(_T_477, _T_478) @[el2_dec_decode_ctl.scala 543:34] + node _T_480 = or(_T_476, _T_479) @[el2_dec_decode_ctl.scala 542:79] + node _T_481 = or(_T_480, i0_nonblock_load_stall) @[el2_dec_decode_ctl.scala 543:47] + node _T_482 = or(_T_481, i0_load_block_d) @[el2_dec_decode_ctl.scala 543:72] + node _T_483 = or(_T_482, i0_nonblock_div_stall) @[el2_dec_decode_ctl.scala 544:21] + node i0_block_raw_d = or(_T_483, i0_div_prior_div_stall) @[el2_dec_decode_ctl.scala 544:45] + node _T_484 = or(io.lsu_store_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 546:65] + node i0_store_stall_d = and(i0_dp.store, _T_484) @[el2_dec_decode_ctl.scala 546:39] + node _T_485 = or(io.lsu_load_stall_any, io.dma_dccm_stall_any) @[el2_dec_decode_ctl.scala 547:63] + node i0_load_stall_d = and(i0_dp.load, _T_485) @[el2_dec_decode_ctl.scala 547:38] + node _T_486 = or(i0_block_raw_d, i0_store_stall_d) @[el2_dec_decode_ctl.scala 548:38] + node i0_block_d = or(_T_486, i0_load_stall_d) @[el2_dec_decode_ctl.scala 548:57] + node _T_487 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 552:46] + node _T_488 = and(io.dec_ib0_valid_d, _T_487) @[el2_dec_decode_ctl.scala 552:44] + node _T_489 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 552:63] + node _T_490 = and(_T_488, _T_489) @[el2_dec_decode_ctl.scala 552:61] + node _T_491 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 552:91] + node _T_492 = and(_T_490, _T_491) @[el2_dec_decode_ctl.scala 552:89] + io.dec_i0_decode_d <= _T_492 @[el2_dec_decode_ctl.scala 552:22] + node _T_493 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 553:46] + node _T_494 = and(io.dec_ib0_valid_d, _T_493) @[el2_dec_decode_ctl.scala 553:44] + node _T_495 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 553:63] + node _T_496 = and(_T_494, _T_495) @[el2_dec_decode_ctl.scala 553:61] + node _T_497 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 553:91] + node i0_exudecode_d = and(_T_496, _T_497) @[el2_dec_decode_ctl.scala 553:89] + node i0_exulegal_decode_d = and(i0_exudecode_d, i0_legal) @[el2_dec_decode_ctl.scala 554:46] + io.dec_pmu_instr_decoded <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 557:28] + node _T_498 = eq(io.dec_i0_decode_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 558:51] + node _T_499 = and(io.dec_ib0_valid_d, _T_498) @[el2_dec_decode_ctl.scala 558:49] + io.dec_pmu_decode_stall <= _T_499 @[el2_dec_decode_ctl.scala 558:27] + node _T_500 = bits(postsync_stall, 0, 0) @[el2_dec_decode_ctl.scala 559:47] + io.dec_pmu_postsync_stall <= _T_500 @[el2_dec_decode_ctl.scala 559:29] + node _T_501 = bits(presync_stall, 0, 0) @[el2_dec_decode_ctl.scala 560:46] + io.dec_pmu_presync_stall <= _T_501 @[el2_dec_decode_ctl.scala 560:29] + node prior_inflight = or(x_d.valid, r_d.valid) @[el2_dec_decode_ctl.scala 564:41] + node prior_inflight_eff = mux(i0_dp.div, x_d.valid, prior_inflight) @[el2_dec_decode_ctl.scala 565:31] + node _T_502 = and(i0_presync, prior_inflight_eff) @[el2_dec_decode_ctl.scala 567:37] + presync_stall <= _T_502 @[el2_dec_decode_ctl.scala 567:22] + reg _T_503 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 568:53] + _T_503 <= ps_stall_in @[el2_dec_decode_ctl.scala 568:53] + postsync_stall <= _T_503 @[el2_dec_decode_ctl.scala 568:18] + node _T_504 = eq(i0_legal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 570:56] + node _T_505 = or(i0_postsync, _T_504) @[el2_dec_decode_ctl.scala 570:54] + node _T_506 = and(io.dec_i0_decode_d, _T_505) @[el2_dec_decode_ctl.scala 570:39] + node _T_507 = and(postsync_stall, x_d.valid) @[el2_dec_decode_ctl.scala 570:88] + node _T_508 = or(_T_506, _T_507) @[el2_dec_decode_ctl.scala 570:69] + ps_stall_in <= _T_508 @[el2_dec_decode_ctl.scala 570:15] + node _T_509 = and(i0_exulegal_decode_d, i0_dp.alu) @[el2_dec_decode_ctl.scala 572:50] + io.dec_i0_alu_decode_d <= _T_509 @[el2_dec_decode_ctl.scala 572:26] + node _T_510 = and(i0_legal_decode_d, i0_dp.lsu) @[el2_dec_decode_ctl.scala 574:40] + lsu_decode_d <= _T_510 @[el2_dec_decode_ctl.scala 574:16] + node _T_511 = and(i0_exulegal_decode_d, i0_dp.mul) @[el2_dec_decode_ctl.scala 575:40] + mul_decode_d <= _T_511 @[el2_dec_decode_ctl.scala 575:16] + node _T_512 = and(i0_exulegal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 576:40] + div_decode_d <= _T_512 @[el2_dec_decode_ctl.scala 576:16] + node _T_513 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 578:45] + node _T_514 = and(r_d.valid, _T_513) @[el2_dec_decode_ctl.scala 578:43] + io.dec_tlu_i0_valid_r <= _T_514 @[el2_dec_decode_ctl.scala 578:29] + d_t.legal <= i0_legal_decode_d @[el2_dec_decode_ctl.scala 581:26] + node _T_515 = and(i0_icaf_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 582:40] + d_t.icaf <= _T_515 @[el2_dec_decode_ctl.scala 582:26] + node _T_516 = and(io.dec_i0_icaf_f1_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 583:50] + d_t.icaf_f1 <= _T_516 @[el2_dec_decode_ctl.scala 583:26] + d_t.icaf_type <= io.dec_i0_icaf_type_d @[el2_dec_decode_ctl.scala 584:26] + node _T_517 = or(i0_dp.fence_i, debug_fence_i) @[el2_dec_decode_ctl.scala 586:44] + node _T_518 = and(_T_517, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 586:61] + d_t.fence_i <= _T_518 @[el2_dec_decode_ctl.scala 586:26] + d_t.pmu_i0_br_unpred <= i0_br_unpred @[el2_dec_decode_ctl.scala 589:26] + d_t.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 590:26] + d_t.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 591:26] wire _T_519 : UInt<1>[4] @[el2_lib.scala 162:48] _T_519[0] <= io.dec_i0_decode_d @[el2_lib.scala 162:48] _T_519[1] <= io.dec_i0_decode_d @[el2_lib.scala 162:48] @@ -3960,15 +3958,15 @@ circuit el2_dec_decode_ctl : node _T_520 = cat(_T_519[0], _T_519[1]) @[Cat.scala 29:58] node _T_521 = cat(_T_520, _T_519[2]) @[Cat.scala 29:58] node _T_522 = cat(_T_521, _T_519[3]) @[Cat.scala 29:58] - node _T_523 = and(io.dec_i0_trigger_match_d, _T_522) @[el2_dec_decode_ctl.scala 597:56] - d_t.i0trigger <= _T_523 @[el2_dec_decode_ctl.scala 597:26] - node _T_524 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 600:33] - inst rvclkhdr_4 of rvclkhdr_5 @[el2_lib.scala 518:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_4.io.en <= _T_524 @[el2_lib.scala 521:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + node _T_523 = and(io.dec_i0_trigger_match_d, _T_522) @[el2_dec_decode_ctl.scala 593:56] + d_t.i0trigger <= _T_523 @[el2_dec_decode_ctl.scala 593:26] + node _T_524 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 596:33] + inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 518:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_5.io.en <= _T_524 @[el2_lib.scala 521:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_525 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 524:33] _T_525.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_525.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -3980,7 +3978,7 @@ circuit el2_dec_decode_ctl : _T_525.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_525.icaf <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_525.legal <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_526 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_4.io.l1clk with : (reset => (reset, _T_525)) @[el2_lib.scala 524:16] + reg _T_526 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_525)) @[el2_lib.scala 524:16] _T_526.pmu_lsu_misaligned <= d_t.pmu_lsu_misaligned @[el2_lib.scala 524:16] _T_526.pmu_divide <= d_t.pmu_divide @[el2_lib.scala 524:16] _T_526.pmu_i0_br_unpred <= d_t.pmu_i0_br_unpred @[el2_lib.scala 524:16] @@ -3991,26 +3989,26 @@ circuit el2_dec_decode_ctl : _T_526.icaf_f1 <= d_t.icaf_f1 @[el2_lib.scala 524:16] _T_526.icaf <= d_t.icaf @[el2_lib.scala 524:16] _T_526.legal <= d_t.legal @[el2_lib.scala 524:16] - x_t.pmu_lsu_misaligned <= _T_526.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 600:7] - x_t.pmu_divide <= _T_526.pmu_divide @[el2_dec_decode_ctl.scala 600:7] - x_t.pmu_i0_br_unpred <= _T_526.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 600:7] - x_t.pmu_i0_itype <= _T_526.pmu_i0_itype @[el2_dec_decode_ctl.scala 600:7] - x_t.i0trigger <= _T_526.i0trigger @[el2_dec_decode_ctl.scala 600:7] - x_t.fence_i <= _T_526.fence_i @[el2_dec_decode_ctl.scala 600:7] - x_t.icaf_type <= _T_526.icaf_type @[el2_dec_decode_ctl.scala 600:7] - x_t.icaf_f1 <= _T_526.icaf_f1 @[el2_dec_decode_ctl.scala 600:7] - x_t.icaf <= _T_526.icaf @[el2_dec_decode_ctl.scala 600:7] - x_t.legal <= _T_526.legal @[el2_dec_decode_ctl.scala 600:7] - x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 602:10] - x_t_in.pmu_divide <= x_t.pmu_divide @[el2_dec_decode_ctl.scala 602:10] - x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 602:10] - x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 602:10] - x_t_in.i0trigger <= x_t.i0trigger @[el2_dec_decode_ctl.scala 602:10] - x_t_in.fence_i <= x_t.fence_i @[el2_dec_decode_ctl.scala 602:10] - x_t_in.icaf_type <= x_t.icaf_type @[el2_dec_decode_ctl.scala 602:10] - x_t_in.icaf_f1 <= x_t.icaf_f1 @[el2_dec_decode_ctl.scala 602:10] - x_t_in.icaf <= x_t.icaf @[el2_dec_decode_ctl.scala 602:10] - x_t_in.legal <= x_t.legal @[el2_dec_decode_ctl.scala 602:10] + x_t.pmu_lsu_misaligned <= _T_526.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 596:7] + x_t.pmu_divide <= _T_526.pmu_divide @[el2_dec_decode_ctl.scala 596:7] + x_t.pmu_i0_br_unpred <= _T_526.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 596:7] + x_t.pmu_i0_itype <= _T_526.pmu_i0_itype @[el2_dec_decode_ctl.scala 596:7] + x_t.i0trigger <= _T_526.i0trigger @[el2_dec_decode_ctl.scala 596:7] + x_t.fence_i <= _T_526.fence_i @[el2_dec_decode_ctl.scala 596:7] + x_t.icaf_type <= _T_526.icaf_type @[el2_dec_decode_ctl.scala 596:7] + x_t.icaf_f1 <= _T_526.icaf_f1 @[el2_dec_decode_ctl.scala 596:7] + x_t.icaf <= _T_526.icaf @[el2_dec_decode_ctl.scala 596:7] + x_t.legal <= _T_526.legal @[el2_dec_decode_ctl.scala 596:7] + x_t_in.pmu_lsu_misaligned <= x_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 598:10] + x_t_in.pmu_divide <= x_t.pmu_divide @[el2_dec_decode_ctl.scala 598:10] + x_t_in.pmu_i0_br_unpred <= x_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 598:10] + x_t_in.pmu_i0_itype <= x_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 598:10] + x_t_in.i0trigger <= x_t.i0trigger @[el2_dec_decode_ctl.scala 598:10] + x_t_in.fence_i <= x_t.fence_i @[el2_dec_decode_ctl.scala 598:10] + x_t_in.icaf_type <= x_t.icaf_type @[el2_dec_decode_ctl.scala 598:10] + x_t_in.icaf_f1 <= x_t.icaf_f1 @[el2_dec_decode_ctl.scala 598:10] + x_t_in.icaf <= x_t.icaf @[el2_dec_decode_ctl.scala 598:10] + x_t_in.legal <= x_t.legal @[el2_dec_decode_ctl.scala 598:10] wire _T_527 : UInt<1>[4] @[el2_lib.scala 162:48] _T_527[0] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 162:48] _T_527[1] <= io.dec_tlu_flush_lower_wb @[el2_lib.scala 162:48] @@ -4019,16 +4017,16 @@ circuit el2_dec_decode_ctl : node _T_528 = cat(_T_527[0], _T_527[1]) @[Cat.scala 29:58] node _T_529 = cat(_T_528, _T_527[2]) @[Cat.scala 29:58] node _T_530 = cat(_T_529, _T_527[3]) @[Cat.scala 29:58] - node _T_531 = not(_T_530) @[el2_dec_decode_ctl.scala 603:39] - node _T_532 = and(x_t.i0trigger, _T_531) @[el2_dec_decode_ctl.scala 603:37] - x_t_in.i0trigger <= _T_532 @[el2_dec_decode_ctl.scala 603:20] - node _T_533 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 605:36] - inst rvclkhdr_5 of rvclkhdr_6 @[el2_lib.scala 518:23] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_5.io.en <= _T_533 @[el2_lib.scala 521:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + node _T_531 = not(_T_530) @[el2_dec_decode_ctl.scala 599:39] + node _T_532 = and(x_t.i0trigger, _T_531) @[el2_dec_decode_ctl.scala 599:37] + x_t_in.i0trigger <= _T_532 @[el2_dec_decode_ctl.scala 599:20] + node _T_533 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 601:36] + inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 518:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_6.io.en <= _T_533 @[el2_lib.scala 521:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_534 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_lib.scala 524:33] _T_534.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_534.pmu_divide <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4040,7 +4038,7 @@ circuit el2_dec_decode_ctl : _T_534.icaf_f1 <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_534.icaf <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_534.legal <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_535 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_5.io.l1clk with : (reset => (reset, _T_534)) @[el2_lib.scala 524:16] + reg _T_535 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_534)) @[el2_lib.scala 524:16] _T_535.pmu_lsu_misaligned <= x_t_in.pmu_lsu_misaligned @[el2_lib.scala 524:16] _T_535.pmu_divide <= x_t_in.pmu_divide @[el2_lib.scala 524:16] _T_535.pmu_i0_br_unpred <= x_t_in.pmu_i0_br_unpred @[el2_lib.scala 524:16] @@ -4051,31 +4049,31 @@ circuit el2_dec_decode_ctl : _T_535.icaf_f1 <= x_t_in.icaf_f1 @[el2_lib.scala 524:16] _T_535.icaf <= x_t_in.icaf @[el2_lib.scala 524:16] _T_535.legal <= x_t_in.legal @[el2_lib.scala 524:16] - r_t.pmu_lsu_misaligned <= _T_535.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 605:7] - r_t.pmu_divide <= _T_535.pmu_divide @[el2_dec_decode_ctl.scala 605:7] - r_t.pmu_i0_br_unpred <= _T_535.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 605:7] - r_t.pmu_i0_itype <= _T_535.pmu_i0_itype @[el2_dec_decode_ctl.scala 605:7] - r_t.i0trigger <= _T_535.i0trigger @[el2_dec_decode_ctl.scala 605:7] - r_t.fence_i <= _T_535.fence_i @[el2_dec_decode_ctl.scala 605:7] - r_t.icaf_type <= _T_535.icaf_type @[el2_dec_decode_ctl.scala 605:7] - r_t.icaf_f1 <= _T_535.icaf_f1 @[el2_dec_decode_ctl.scala 605:7] - r_t.icaf <= _T_535.icaf @[el2_dec_decode_ctl.scala 605:7] - r_t.legal <= _T_535.legal @[el2_dec_decode_ctl.scala 605:7] - reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 606:36] - lsu_trigger_match_r <= io.lsu_trigger_match_m @[el2_dec_decode_ctl.scala 606:36] - reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 607:37] - lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[el2_dec_decode_ctl.scala 607:37] - r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 609:10] - r_t_in.pmu_divide <= r_t.pmu_divide @[el2_dec_decode_ctl.scala 609:10] - r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 609:10] - r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 609:10] - r_t_in.i0trigger <= r_t.i0trigger @[el2_dec_decode_ctl.scala 609:10] - r_t_in.fence_i <= r_t.fence_i @[el2_dec_decode_ctl.scala 609:10] - r_t_in.icaf_type <= r_t.icaf_type @[el2_dec_decode_ctl.scala 609:10] - r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 609:10] - r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 609:10] - r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 609:10] - node _T_536 = or(r_d.bits.i0load, r_d.bits.i0store) @[el2_dec_decode_ctl.scala 611:61] + r_t.pmu_lsu_misaligned <= _T_535.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 601:7] + r_t.pmu_divide <= _T_535.pmu_divide @[el2_dec_decode_ctl.scala 601:7] + r_t.pmu_i0_br_unpred <= _T_535.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 601:7] + r_t.pmu_i0_itype <= _T_535.pmu_i0_itype @[el2_dec_decode_ctl.scala 601:7] + r_t.i0trigger <= _T_535.i0trigger @[el2_dec_decode_ctl.scala 601:7] + r_t.fence_i <= _T_535.fence_i @[el2_dec_decode_ctl.scala 601:7] + r_t.icaf_type <= _T_535.icaf_type @[el2_dec_decode_ctl.scala 601:7] + r_t.icaf_f1 <= _T_535.icaf_f1 @[el2_dec_decode_ctl.scala 601:7] + r_t.icaf <= _T_535.icaf @[el2_dec_decode_ctl.scala 601:7] + r_t.legal <= _T_535.legal @[el2_dec_decode_ctl.scala 601:7] + reg lsu_trigger_match_r : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 602:36] + lsu_trigger_match_r <= io.lsu_trigger_match_m @[el2_dec_decode_ctl.scala 602:36] + reg lsu_pmu_misaligned_r : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 603:37] + lsu_pmu_misaligned_r <= io.lsu_pmu_misaligned_m @[el2_dec_decode_ctl.scala 603:37] + r_t_in.pmu_lsu_misaligned <= r_t.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 605:10] + r_t_in.pmu_divide <= r_t.pmu_divide @[el2_dec_decode_ctl.scala 605:10] + r_t_in.pmu_i0_br_unpred <= r_t.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 605:10] + r_t_in.pmu_i0_itype <= r_t.pmu_i0_itype @[el2_dec_decode_ctl.scala 605:10] + r_t_in.i0trigger <= r_t.i0trigger @[el2_dec_decode_ctl.scala 605:10] + r_t_in.fence_i <= r_t.fence_i @[el2_dec_decode_ctl.scala 605:10] + r_t_in.icaf_type <= r_t.icaf_type @[el2_dec_decode_ctl.scala 605:10] + r_t_in.icaf_f1 <= r_t.icaf_f1 @[el2_dec_decode_ctl.scala 605:10] + r_t_in.icaf <= r_t.icaf @[el2_dec_decode_ctl.scala 605:10] + r_t_in.legal <= r_t.legal @[el2_dec_decode_ctl.scala 605:10] + node _T_536 = or(r_d.bits.i0load, r_d.bits.i0store) @[el2_dec_decode_ctl.scala 607:61] wire _T_537 : UInt<1>[4] @[el2_lib.scala 162:48] _T_537[0] <= _T_536 @[el2_lib.scala 162:48] _T_537[1] <= _T_536 @[el2_lib.scala 162:48] @@ -4084,83 +4082,83 @@ circuit el2_dec_decode_ctl : node _T_538 = cat(_T_537[0], _T_537[1]) @[Cat.scala 29:58] node _T_539 = cat(_T_538, _T_537[2]) @[Cat.scala 29:58] node _T_540 = cat(_T_539, _T_537[3]) @[Cat.scala 29:58] - node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 611:82] - node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 611:105] - r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 611:33] - r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 612:33] - node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 614:35] - when _T_543 : @[el2_dec_decode_ctl.scala 614:43] - wire _T_544 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.pmu_i0_itype <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.i0trigger <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.icaf_type <= UInt<2>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.icaf_f1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.icaf <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - _T_544.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 614:66] - r_t_in.pmu_lsu_misaligned <= _T_544.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 614:51] - r_t_in.pmu_divide <= _T_544.pmu_divide @[el2_dec_decode_ctl.scala 614:51] - r_t_in.pmu_i0_br_unpred <= _T_544.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 614:51] - r_t_in.pmu_i0_itype <= _T_544.pmu_i0_itype @[el2_dec_decode_ctl.scala 614:51] - r_t_in.i0trigger <= _T_544.i0trigger @[el2_dec_decode_ctl.scala 614:51] - r_t_in.fence_i <= _T_544.fence_i @[el2_dec_decode_ctl.scala 614:51] - r_t_in.icaf_type <= _T_544.icaf_type @[el2_dec_decode_ctl.scala 614:51] - r_t_in.icaf_f1 <= _T_544.icaf_f1 @[el2_dec_decode_ctl.scala 614:51] - r_t_in.icaf <= _T_544.icaf @[el2_dec_decode_ctl.scala 614:51] - r_t_in.legal <= _T_544.legal @[el2_dec_decode_ctl.scala 614:51] - skip @[el2_dec_decode_ctl.scala 614:43] - io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 616:39] - io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 616:39] - node _T_545 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 617:58] - io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 617:39] - reg _T_546 : UInt<1>, data_gated_cgc.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 620:52] - _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 620:52] - flush_final_r <= _T_546 @[el2_dec_decode_ctl.scala 620:17] - node _T_547 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 622:46] - node _T_548 = and(io.dec_ib0_valid_d, _T_547) @[el2_dec_decode_ctl.scala 622:44] - node _T_549 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 622:60] - node _T_550 = and(_T_548, _T_549) @[el2_dec_decode_ctl.scala 622:58] - node _T_551 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 622:88] - node _T_552 = and(_T_550, _T_551) @[el2_dec_decode_ctl.scala 622:86] - io.dec_i0_decode_d <= _T_552 @[el2_dec_decode_ctl.scala 622:22] - node _T_553 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 624:16] - i0r.rs1 <= _T_553 @[el2_dec_decode_ctl.scala 624:11] - node _T_554 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 625:16] - i0r.rs2 <= _T_554 @[el2_dec_decode_ctl.scala 625:11] - node _T_555 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 626:16] - i0r.rd <= _T_555 @[el2_dec_decode_ctl.scala 626:11] - node _T_556 = neq(i0r.rs1, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 628:49] - node _T_557 = and(i0_dp.rs1, _T_556) @[el2_dec_decode_ctl.scala 628:38] - io.dec_i0_rs1_en_d <= _T_557 @[el2_dec_decode_ctl.scala 628:24] - node _T_558 = neq(i0r.rs2, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 629:49] - node _T_559 = and(i0_dp.rs2, _T_558) @[el2_dec_decode_ctl.scala 629:38] - io.dec_i0_rs2_en_d <= _T_559 @[el2_dec_decode_ctl.scala 629:24] - node _T_560 = neq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 630:48] - node i0_rd_en_d = and(i0_dp.rd, _T_560) @[el2_dec_decode_ctl.scala 630:37] - io.dec_i0_rs1_d <= i0r.rs1 @[el2_dec_decode_ctl.scala 631:19] - io.dec_i0_rs2_d <= i0r.rs2 @[el2_dec_decode_ctl.scala 632:19] - node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[el2_dec_decode_ctl.scala 634:38] - node _T_561 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 635:27] - node i0_uiimm20 = and(_T_561, i0_dp.imm20) @[el2_dec_decode_ctl.scala 635:38] - node _T_562 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 639:5] + node _T_541 = and(_T_540, lsu_trigger_match_r) @[el2_dec_decode_ctl.scala 607:82] + node _T_542 = or(_T_541, r_t.i0trigger) @[el2_dec_decode_ctl.scala 607:105] + r_t_in.i0trigger <= _T_542 @[el2_dec_decode_ctl.scala 607:33] + r_t_in.pmu_lsu_misaligned <= lsu_pmu_misaligned_r @[el2_dec_decode_ctl.scala 608:33] + node _T_543 = bits(io.dec_tlu_flush_lower_wb, 0, 0) @[el2_dec_decode_ctl.scala 610:35] + when _T_543 : @[el2_dec_decode_ctl.scala 610:43] + wire _T_544 : {legal : UInt<1>, icaf : UInt<1>, icaf_f1 : UInt<1>, icaf_type : UInt<2>, fence_i : UInt<1>, i0trigger : UInt<4>, pmu_i0_itype : UInt<4>, pmu_i0_br_unpred : UInt<1>, pmu_divide : UInt<1>, pmu_lsu_misaligned : UInt<1>} @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_lsu_misaligned <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_divide <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_i0_br_unpred <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.pmu_i0_itype <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.i0trigger <= UInt<4>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.fence_i <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.icaf_type <= UInt<2>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.icaf_f1 <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.icaf <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + _T_544.legal <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 610:66] + r_t_in.pmu_lsu_misaligned <= _T_544.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 610:51] + r_t_in.pmu_divide <= _T_544.pmu_divide @[el2_dec_decode_ctl.scala 610:51] + r_t_in.pmu_i0_br_unpred <= _T_544.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 610:51] + r_t_in.pmu_i0_itype <= _T_544.pmu_i0_itype @[el2_dec_decode_ctl.scala 610:51] + r_t_in.i0trigger <= _T_544.i0trigger @[el2_dec_decode_ctl.scala 610:51] + r_t_in.fence_i <= _T_544.fence_i @[el2_dec_decode_ctl.scala 610:51] + r_t_in.icaf_type <= _T_544.icaf_type @[el2_dec_decode_ctl.scala 610:51] + r_t_in.icaf_f1 <= _T_544.icaf_f1 @[el2_dec_decode_ctl.scala 610:51] + r_t_in.icaf <= _T_544.icaf @[el2_dec_decode_ctl.scala 610:51] + r_t_in.legal <= _T_544.legal @[el2_dec_decode_ctl.scala 610:51] + skip @[el2_dec_decode_ctl.scala 610:43] + io.dec_tlu_packet_r.pmu_lsu_misaligned <= r_t_in.pmu_lsu_misaligned @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.pmu_divide <= r_t_in.pmu_divide @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.pmu_i0_br_unpred <= r_t_in.pmu_i0_br_unpred @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.pmu_i0_itype <= r_t_in.pmu_i0_itype @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.i0trigger <= r_t_in.i0trigger @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.fence_i <= r_t_in.fence_i @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.icaf_type <= r_t_in.icaf_type @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.icaf_f1 <= r_t_in.icaf_f1 @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.icaf <= r_t_in.icaf @[el2_dec_decode_ctl.scala 612:39] + io.dec_tlu_packet_r.legal <= r_t_in.legal @[el2_dec_decode_ctl.scala 612:39] + node _T_545 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 613:58] + io.dec_tlu_packet_r.pmu_divide <= _T_545 @[el2_dec_decode_ctl.scala 613:39] + reg _T_546 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 616:52] + _T_546 <= io.exu_flush_final @[el2_dec_decode_ctl.scala 616:52] + flush_final_r <= _T_546 @[el2_dec_decode_ctl.scala 616:17] + node _T_547 = eq(i0_block_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 618:46] + node _T_548 = and(io.dec_ib0_valid_d, _T_547) @[el2_dec_decode_ctl.scala 618:44] + node _T_549 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 618:60] + node _T_550 = and(_T_548, _T_549) @[el2_dec_decode_ctl.scala 618:58] + node _T_551 = eq(flush_final_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 618:88] + node _T_552 = and(_T_550, _T_551) @[el2_dec_decode_ctl.scala 618:86] + io.dec_i0_decode_d <= _T_552 @[el2_dec_decode_ctl.scala 618:22] + node _T_553 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 620:16] + i0r.rs1 <= _T_553 @[el2_dec_decode_ctl.scala 620:11] + node _T_554 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 621:16] + i0r.rs2 <= _T_554 @[el2_dec_decode_ctl.scala 621:11] + node _T_555 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 622:16] + i0r.rd <= _T_555 @[el2_dec_decode_ctl.scala 622:11] + node _T_556 = neq(i0r.rs1, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 624:49] + node _T_557 = and(i0_dp.rs1, _T_556) @[el2_dec_decode_ctl.scala 624:38] + io.dec_i0_rs1_en_d <= _T_557 @[el2_dec_decode_ctl.scala 624:24] + node _T_558 = neq(i0r.rs2, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 625:49] + node _T_559 = and(i0_dp.rs2, _T_558) @[el2_dec_decode_ctl.scala 625:38] + io.dec_i0_rs2_en_d <= _T_559 @[el2_dec_decode_ctl.scala 625:24] + node _T_560 = neq(i0r.rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 626:48] + node i0_rd_en_d = and(i0_dp.rd, _T_560) @[el2_dec_decode_ctl.scala 626:37] + io.dec_i0_rs1_d <= i0r.rs1 @[el2_dec_decode_ctl.scala 627:19] + io.dec_i0_rs2_d <= i0r.rs2 @[el2_dec_decode_ctl.scala 628:19] + node i0_jalimm20 = and(i0_dp.jal, i0_dp.imm20) @[el2_dec_decode_ctl.scala 630:38] + node _T_561 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 631:27] + node i0_uiimm20 = and(_T_561, i0_dp.imm20) @[el2_dec_decode_ctl.scala 631:38] + node _T_562 = eq(i0_dp.csr_read, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 635:5] node _T_563 = mux(i0_dp.csr_read, io.dec_csr_rddata_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_564 = mux(_T_562, i0_immed_d, UInt<1>("h00")) @[Mux.scala 27:72] node _T_565 = or(_T_563, _T_564) @[Mux.scala 27:72] wire _T_566 : UInt<32> @[Mux.scala 27:72] _T_566 <= _T_565 @[Mux.scala 27:72] - io.dec_i0_immed_d <= _T_566 @[el2_dec_decode_ctl.scala 637:21] - node _T_567 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 642:38] + io.dec_i0_immed_d <= _T_566 @[el2_dec_decode_ctl.scala 633:21] + node _T_567 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 638:38] wire _T_568 : UInt<1>[20] @[el2_lib.scala 162:48] _T_568[0] <= _T_567 @[el2_lib.scala 162:48] _T_568[1] <= _T_567 @[el2_lib.scala 162:48] @@ -4201,7 +4199,7 @@ circuit el2_dec_decode_ctl : node _T_585 = cat(_T_584, _T_568[17]) @[Cat.scala 29:58] node _T_586 = cat(_T_585, _T_568[18]) @[Cat.scala 29:58] node _T_587 = cat(_T_586, _T_568[19]) @[Cat.scala 29:58] - node _T_588 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 642:46] + node _T_588 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 638:46] node _T_589 = cat(_T_587, _T_588) @[Cat.scala 29:58] wire _T_590 : UInt<1>[27] @[el2_lib.scala 162:48] _T_590[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4257,9 +4255,9 @@ circuit el2_dec_decode_ctl : node _T_614 = cat(_T_613, _T_590[24]) @[Cat.scala 29:58] node _T_615 = cat(_T_614, _T_590[25]) @[Cat.scala 29:58] node _T_616 = cat(_T_615, _T_590[26]) @[Cat.scala 29:58] - node _T_617 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 643:43] + node _T_617 = bits(io.dec_i0_instr_d, 24, 20) @[el2_dec_decode_ctl.scala 639:43] node _T_618 = cat(_T_616, _T_617) @[Cat.scala 29:58] - node _T_619 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 644:38] + node _T_619 = bits(io.dec_i0_instr_d, 31, 31) @[el2_dec_decode_ctl.scala 640:38] wire _T_620 : UInt<1>[12] @[el2_lib.scala 162:48] _T_620[0] <= _T_619 @[el2_lib.scala 162:48] _T_620[1] <= _T_619 @[el2_lib.scala 162:48] @@ -4284,14 +4282,14 @@ circuit el2_dec_decode_ctl : node _T_629 = cat(_T_628, _T_620[9]) @[Cat.scala 29:58] node _T_630 = cat(_T_629, _T_620[10]) @[Cat.scala 29:58] node _T_631 = cat(_T_630, _T_620[11]) @[Cat.scala 29:58] - node _T_632 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 644:46] - node _T_633 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 644:56] - node _T_634 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 644:63] + node _T_632 = bits(io.dec_i0_instr_d, 19, 12) @[el2_dec_decode_ctl.scala 640:46] + node _T_633 = bits(io.dec_i0_instr_d, 20, 20) @[el2_dec_decode_ctl.scala 640:56] + node _T_634 = bits(io.dec_i0_instr_d, 30, 21) @[el2_dec_decode_ctl.scala 640:63] node _T_635 = cat(_T_634, UInt<1>("h00")) @[Cat.scala 29:58] node _T_636 = cat(_T_631, _T_632) @[Cat.scala 29:58] node _T_637 = cat(_T_636, _T_633) @[Cat.scala 29:58] node _T_638 = cat(_T_637, _T_635) @[Cat.scala 29:58] - node _T_639 = bits(io.dec_i0_instr_d, 31, 12) @[el2_dec_decode_ctl.scala 645:30] + node _T_639 = bits(io.dec_i0_instr_d, 31, 12) @[el2_dec_decode_ctl.scala 641:30] wire _T_640 : UInt<1>[12] @[el2_lib.scala 162:48] _T_640[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_640[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4317,8 +4315,8 @@ circuit el2_dec_decode_ctl : node _T_650 = cat(_T_649, _T_640[10]) @[Cat.scala 29:58] node _T_651 = cat(_T_650, _T_640[11]) @[Cat.scala 29:58] node _T_652 = cat(_T_639, _T_651) @[Cat.scala 29:58] - node _T_653 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[el2_dec_decode_ctl.scala 646:26] - node _T_654 = bits(_T_653, 0, 0) @[el2_dec_decode_ctl.scala 646:43] + node _T_653 = and(i0_csr_write_only_d, i0_dp.csr_imm) @[el2_dec_decode_ctl.scala 642:26] + node _T_654 = bits(_T_653, 0, 0) @[el2_dec_decode_ctl.scala 642:43] wire _T_655 : UInt<1>[27] @[el2_lib.scala 162:48] _T_655[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_655[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4373,7 +4371,7 @@ circuit el2_dec_decode_ctl : node _T_679 = cat(_T_678, _T_655[24]) @[Cat.scala 29:58] node _T_680 = cat(_T_679, _T_655[25]) @[Cat.scala 29:58] node _T_681 = cat(_T_680, _T_655[26]) @[Cat.scala 29:58] - node _T_682 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 646:72] + node _T_682 = bits(io.dec_i0_instr_d, 19, 15) @[el2_dec_decode_ctl.scala 642:72] node _T_683 = cat(_T_681, _T_682) @[Cat.scala 29:58] node _T_684 = mux(i0_dp.imm12, _T_589, UInt<1>("h00")) @[Mux.scala 27:72] node _T_685 = mux(i0_dp.shimm5, _T_618, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4386,85 +4384,85 @@ circuit el2_dec_decode_ctl : node _T_692 = or(_T_691, _T_688) @[Mux.scala 27:72] wire _T_693 : UInt<32> @[Mux.scala 27:72] _T_693 <= _T_692 @[Mux.scala 27:72] - i0_immed_d <= _T_693 @[el2_dec_decode_ctl.scala 641:14] - node _T_694 = and(io.dec_i0_decode_d, i0_legal) @[el2_dec_decode_ctl.scala 648:46] - i0_legal_decode_d <= _T_694 @[el2_dec_decode_ctl.scala 648:24] - node _T_695 = and(i0_dp.mul, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 650:44] - i0_d_c.mul <= _T_695 @[el2_dec_decode_ctl.scala 650:29] - node _T_696 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 651:44] - i0_d_c.load <= _T_696 @[el2_dec_decode_ctl.scala 651:29] - node _T_697 = and(i0_dp.alu, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 652:44] - i0_d_c.alu <= _T_697 @[el2_dec_decode_ctl.scala 652:29] - node _T_698 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 654:71] + i0_immed_d <= _T_693 @[el2_dec_decode_ctl.scala 637:14] + node _T_694 = and(io.dec_i0_decode_d, i0_legal) @[el2_dec_decode_ctl.scala 644:46] + i0_legal_decode_d <= _T_694 @[el2_dec_decode_ctl.scala 644:24] + node _T_695 = and(i0_dp.mul, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 646:44] + i0_d_c.mul <= _T_695 @[el2_dec_decode_ctl.scala 646:29] + node _T_696 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 647:44] + i0_d_c.load <= _T_696 @[el2_dec_decode_ctl.scala 647:29] + node _T_697 = and(i0_dp.alu, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 648:44] + i0_d_c.alu <= _T_697 @[el2_dec_decode_ctl.scala 648:29] + node _T_698 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 650:71] reg i0_x_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] when _T_698 : @[Reg.scala 16:19] i0_x_c.alu <= i0_d_c.alu @[Reg.scala 16:23] i0_x_c.load <= i0_d_c.load @[Reg.scala 16:23] i0_x_c.mul <= i0_d_c.mul @[Reg.scala 16:23] skip @[Reg.scala 16:19] - node _T_699 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 655:71] + node _T_699 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 651:71] reg i0_r_c : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>}, io.active_clk @[Reg.scala 15:16] when _T_699 : @[Reg.scala 16:19] i0_r_c.alu <= i0_x_c.alu @[Reg.scala 16:23] i0_r_c.load <= i0_x_c.load @[Reg.scala 16:23] i0_r_c.mul <= i0_x_c.mul @[Reg.scala 16:23] skip @[Reg.scala 16:19] - node _T_700 = bits(i0_pipe_en, 3, 1) @[el2_dec_decode_ctl.scala 656:83] - reg _T_701 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 656:72] - _T_701 <= _T_700 @[el2_dec_decode_ctl.scala 656:72] + node _T_700 = bits(i0_pipe_en, 3, 1) @[el2_dec_decode_ctl.scala 652:83] + reg _T_701 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 652:72] + _T_701 <= _T_700 @[el2_dec_decode_ctl.scala 652:72] node _T_702 = cat(io.dec_i0_decode_d, _T_701) @[Cat.scala 29:58] - i0_pipe_en <= _T_702 @[el2_dec_decode_ctl.scala 656:14] - node _T_703 = bits(i0_pipe_en, 3, 2) @[el2_dec_decode_ctl.scala 658:43] - node _T_704 = orr(_T_703) @[el2_dec_decode_ctl.scala 658:49] - node _T_705 = or(_T_704, io.clk_override) @[el2_dec_decode_ctl.scala 658:53] - i0_x_ctl_en <= _T_705 @[el2_dec_decode_ctl.scala 658:29] - node _T_706 = bits(i0_pipe_en, 2, 1) @[el2_dec_decode_ctl.scala 659:43] - node _T_707 = orr(_T_706) @[el2_dec_decode_ctl.scala 659:49] - node _T_708 = or(_T_707, io.clk_override) @[el2_dec_decode_ctl.scala 659:53] - i0_r_ctl_en <= _T_708 @[el2_dec_decode_ctl.scala 659:29] - node _T_709 = bits(i0_pipe_en, 1, 0) @[el2_dec_decode_ctl.scala 660:43] - node _T_710 = orr(_T_709) @[el2_dec_decode_ctl.scala 660:49] - node _T_711 = or(_T_710, io.clk_override) @[el2_dec_decode_ctl.scala 660:53] - i0_wb_ctl_en <= _T_711 @[el2_dec_decode_ctl.scala 660:29] - node _T_712 = bits(i0_pipe_en, 3, 3) @[el2_dec_decode_ctl.scala 661:44] - node _T_713 = or(_T_712, io.clk_override) @[el2_dec_decode_ctl.scala 661:50] - i0_x_data_en <= _T_713 @[el2_dec_decode_ctl.scala 661:29] - node _T_714 = bits(i0_pipe_en, 2, 2) @[el2_dec_decode_ctl.scala 662:44] - node _T_715 = or(_T_714, io.clk_override) @[el2_dec_decode_ctl.scala 662:50] - i0_r_data_en <= _T_715 @[el2_dec_decode_ctl.scala 662:29] - node _T_716 = bits(i0_pipe_en, 1, 1) @[el2_dec_decode_ctl.scala 663:44] - node _T_717 = or(_T_716, io.clk_override) @[el2_dec_decode_ctl.scala 663:50] - i0_wb_data_en <= _T_717 @[el2_dec_decode_ctl.scala 663:29] - node _T_718 = bits(i0_pipe_en, 0, 0) @[el2_dec_decode_ctl.scala 664:44] - node _T_719 = or(_T_718, io.clk_override) @[el2_dec_decode_ctl.scala 664:50] - i0_wb1_data_en <= _T_719 @[el2_dec_decode_ctl.scala 664:29] + i0_pipe_en <= _T_702 @[el2_dec_decode_ctl.scala 652:14] + node _T_703 = bits(i0_pipe_en, 3, 2) @[el2_dec_decode_ctl.scala 654:43] + node _T_704 = orr(_T_703) @[el2_dec_decode_ctl.scala 654:49] + node _T_705 = or(_T_704, io.clk_override) @[el2_dec_decode_ctl.scala 654:53] + i0_x_ctl_en <= _T_705 @[el2_dec_decode_ctl.scala 654:29] + node _T_706 = bits(i0_pipe_en, 2, 1) @[el2_dec_decode_ctl.scala 655:43] + node _T_707 = orr(_T_706) @[el2_dec_decode_ctl.scala 655:49] + node _T_708 = or(_T_707, io.clk_override) @[el2_dec_decode_ctl.scala 655:53] + i0_r_ctl_en <= _T_708 @[el2_dec_decode_ctl.scala 655:29] + node _T_709 = bits(i0_pipe_en, 1, 0) @[el2_dec_decode_ctl.scala 656:43] + node _T_710 = orr(_T_709) @[el2_dec_decode_ctl.scala 656:49] + node _T_711 = or(_T_710, io.clk_override) @[el2_dec_decode_ctl.scala 656:53] + i0_wb_ctl_en <= _T_711 @[el2_dec_decode_ctl.scala 656:29] + node _T_712 = bits(i0_pipe_en, 3, 3) @[el2_dec_decode_ctl.scala 657:44] + node _T_713 = or(_T_712, io.clk_override) @[el2_dec_decode_ctl.scala 657:50] + i0_x_data_en <= _T_713 @[el2_dec_decode_ctl.scala 657:29] + node _T_714 = bits(i0_pipe_en, 2, 2) @[el2_dec_decode_ctl.scala 658:44] + node _T_715 = or(_T_714, io.clk_override) @[el2_dec_decode_ctl.scala 658:50] + i0_r_data_en <= _T_715 @[el2_dec_decode_ctl.scala 658:29] + node _T_716 = bits(i0_pipe_en, 1, 1) @[el2_dec_decode_ctl.scala 659:44] + node _T_717 = or(_T_716, io.clk_override) @[el2_dec_decode_ctl.scala 659:50] + i0_wb_data_en <= _T_717 @[el2_dec_decode_ctl.scala 659:29] + node _T_718 = bits(i0_pipe_en, 0, 0) @[el2_dec_decode_ctl.scala 660:44] + node _T_719 = or(_T_718, io.clk_override) @[el2_dec_decode_ctl.scala 660:50] + i0_wb1_data_en <= _T_719 @[el2_dec_decode_ctl.scala 660:29] node _T_720 = cat(i0_x_data_en, i0_r_data_en) @[Cat.scala 29:58] - io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 666:27] + io.dec_data_en <= _T_720 @[el2_dec_decode_ctl.scala 662:27] node _T_721 = cat(i0_x_ctl_en, i0_r_ctl_en) @[Cat.scala 29:58] - io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 667:27] - d_d.bits.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 669:34] - node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:50] - d_d.bits.i0v <= _T_722 @[el2_dec_decode_ctl.scala 670:34] - d_d.valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 671:27] - node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:50] - d_d.bits.i0load <= _T_723 @[el2_dec_decode_ctl.scala 673:34] - node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 674:50] - d_d.bits.i0store <= _T_724 @[el2_dec_decode_ctl.scala 674:34] - node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 675:50] - d_d.bits.i0div <= _T_725 @[el2_dec_decode_ctl.scala 675:34] - node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 677:61] - d_d.bits.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 677:34] - node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 678:58] - d_d.bits.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 678:34] - node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 679:40] - d_d.bits.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 679:34] - node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 681:34] - inst rvclkhdr_6 of rvclkhdr_7 @[el2_lib.scala 518:23] - rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_6.io.en <= _T_729 @[el2_lib.scala 521:17] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + io.dec_ctl_en <= _T_721 @[el2_dec_decode_ctl.scala 663:27] + d_d.bits.i0rd <= i0r.rd @[el2_dec_decode_ctl.scala 665:34] + node _T_722 = and(i0_rd_en_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 666:50] + d_d.bits.i0v <= _T_722 @[el2_dec_decode_ctl.scala 666:34] + d_d.valid <= io.dec_i0_decode_d @[el2_dec_decode_ctl.scala 667:27] + node _T_723 = and(i0_dp.load, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 669:50] + d_d.bits.i0load <= _T_723 @[el2_dec_decode_ctl.scala 669:34] + node _T_724 = and(i0_dp.store, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 670:50] + d_d.bits.i0store <= _T_724 @[el2_dec_decode_ctl.scala 670:34] + node _T_725 = and(i0_dp.div, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 671:50] + d_d.bits.i0div <= _T_725 @[el2_dec_decode_ctl.scala 671:34] + node _T_726 = and(io.dec_csr_wen_unq_d, i0_legal_decode_d) @[el2_dec_decode_ctl.scala 673:61] + d_d.bits.csrwen <= _T_726 @[el2_dec_decode_ctl.scala 673:34] + node _T_727 = and(i0_csr_write_only_d, io.dec_i0_decode_d) @[el2_dec_decode_ctl.scala 674:58] + d_d.bits.csrwonly <= _T_727 @[el2_dec_decode_ctl.scala 674:34] + node _T_728 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 675:40] + d_d.bits.csrwaddr <= _T_728 @[el2_dec_decode_ctl.scala 675:34] + node _T_729 = bits(i0_x_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 677:34] + inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 518:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_7.io.en <= _T_729 @[el2_lib.scala 521:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_730 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] _T_730.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] _T_730.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4475,7 +4473,7 @@ circuit el2_dec_decode_ctl : _T_730.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_730.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] _T_730.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_731 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_6.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] + reg _T_731 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_730)) @[el2_lib.scala 524:16] _T_731.bits.csrwaddr <= d_d.bits.csrwaddr @[el2_lib.scala 524:16] _T_731.bits.csrwonly <= d_d.bits.csrwonly @[el2_lib.scala 524:16] _T_731.bits.csrwen <= d_d.bits.csrwen @[el2_lib.scala 524:16] @@ -4485,42 +4483,42 @@ circuit el2_dec_decode_ctl : _T_731.bits.i0load <= d_d.bits.i0load @[el2_lib.scala 524:16] _T_731.bits.i0rd <= d_d.bits.i0rd @[el2_lib.scala 524:16] _T_731.valid <= d_d.valid @[el2_lib.scala 524:16] - x_d.bits.csrwaddr <= _T_731.bits.csrwaddr @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.csrwonly <= _T_731.bits.csrwonly @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.csrwen <= _T_731.bits.csrwen @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0v <= _T_731.bits.i0v @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0div <= _T_731.bits.i0div @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0store <= _T_731.bits.i0store @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0load <= _T_731.bits.i0load @[el2_dec_decode_ctl.scala 681:7] - x_d.bits.i0rd <= _T_731.bits.i0rd @[el2_dec_decode_ctl.scala 681:7] - x_d.valid <= _T_731.valid @[el2_dec_decode_ctl.scala 681:7] - wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 682:20] - x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.csrwen <= x_d.bits.csrwen @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0v <= x_d.bits.i0v @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0div <= x_d.bits.i0div @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0store <= x_d.bits.i0store @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0load <= x_d.bits.i0load @[el2_dec_decode_ctl.scala 683:10] - x_d_in.bits.i0rd <= x_d.bits.i0rd @[el2_dec_decode_ctl.scala 683:10] - x_d_in.valid <= x_d.valid @[el2_dec_decode_ctl.scala 683:10] - node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:49] - node _T_733 = and(x_d.bits.i0v, _T_732) @[el2_dec_decode_ctl.scala 684:47] - node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 684:78] - node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 684:76] - x_d_in.bits.i0v <= _T_735 @[el2_dec_decode_ctl.scala 684:27] - node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 685:35] - node _T_737 = and(x_d.valid, _T_736) @[el2_dec_decode_ctl.scala 685:33] - node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 685:64] - node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 685:62] - x_d_in.valid <= _T_739 @[el2_dec_decode_ctl.scala 685:20] - node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 687:36] - inst rvclkhdr_7 of rvclkhdr_8 @[el2_lib.scala 518:23] - rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_7.io.en <= _T_740 @[el2_lib.scala 521:17] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + x_d.bits.csrwaddr <= _T_731.bits.csrwaddr @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwonly <= _T_731.bits.csrwonly @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.csrwen <= _T_731.bits.csrwen @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0v <= _T_731.bits.i0v @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0div <= _T_731.bits.i0div @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0store <= _T_731.bits.i0store @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0load <= _T_731.bits.i0load @[el2_dec_decode_ctl.scala 677:7] + x_d.bits.i0rd <= _T_731.bits.i0rd @[el2_dec_decode_ctl.scala 677:7] + x_d.valid <= _T_731.valid @[el2_dec_decode_ctl.scala 677:7] + wire x_d_in : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_dec_decode_ctl.scala 678:20] + x_d_in.bits.csrwaddr <= x_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwonly <= x_d.bits.csrwonly @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.csrwen <= x_d.bits.csrwen @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0v <= x_d.bits.i0v @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0div <= x_d.bits.i0div @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0store <= x_d.bits.i0store @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0load <= x_d.bits.i0load @[el2_dec_decode_ctl.scala 679:10] + x_d_in.bits.i0rd <= x_d.bits.i0rd @[el2_dec_decode_ctl.scala 679:10] + x_d_in.valid <= x_d.valid @[el2_dec_decode_ctl.scala 679:10] + node _T_732 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:49] + node _T_733 = and(x_d.bits.i0v, _T_732) @[el2_dec_decode_ctl.scala 680:47] + node _T_734 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 680:78] + node _T_735 = and(_T_733, _T_734) @[el2_dec_decode_ctl.scala 680:76] + x_d_in.bits.i0v <= _T_735 @[el2_dec_decode_ctl.scala 680:27] + node _T_736 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:35] + node _T_737 = and(x_d.valid, _T_736) @[el2_dec_decode_ctl.scala 681:33] + node _T_738 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 681:64] + node _T_739 = and(_T_737, _T_738) @[el2_dec_decode_ctl.scala 681:62] + x_d_in.valid <= _T_739 @[el2_dec_decode_ctl.scala 681:20] + node _T_740 = bits(i0_r_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 683:36] + inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 518:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_8.io.en <= _T_740 @[el2_lib.scala 521:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_741 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] _T_741.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] _T_741.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4531,7 +4529,7 @@ circuit el2_dec_decode_ctl : _T_741.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_741.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] _T_741.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_742 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_7.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] + reg _T_742 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_741)) @[el2_lib.scala 524:16] _T_742.bits.csrwaddr <= x_d_in.bits.csrwaddr @[el2_lib.scala 524:16] _T_742.bits.csrwonly <= x_d_in.bits.csrwonly @[el2_lib.scala 524:16] _T_742.bits.csrwen <= x_d_in.bits.csrwen @[el2_lib.scala 524:16] @@ -4541,44 +4539,44 @@ circuit el2_dec_decode_ctl : _T_742.bits.i0load <= x_d_in.bits.i0load @[el2_lib.scala 524:16] _T_742.bits.i0rd <= x_d_in.bits.i0rd @[el2_lib.scala 524:16] _T_742.valid <= x_d_in.valid @[el2_lib.scala 524:16] - r_d.bits.csrwaddr <= _T_742.bits.csrwaddr @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.csrwonly <= _T_742.bits.csrwonly @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.csrwen <= _T_742.bits.csrwen @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0v <= _T_742.bits.i0v @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0div <= _T_742.bits.i0div @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0store <= _T_742.bits.i0store @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0load <= _T_742.bits.i0load @[el2_dec_decode_ctl.scala 687:7] - r_d.bits.i0rd <= _T_742.bits.i0rd @[el2_dec_decode_ctl.scala 687:7] - r_d.valid <= _T_742.valid @[el2_dec_decode_ctl.scala 687:7] - r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.csrwen <= r_d.bits.csrwen @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0v <= r_d.bits.i0v @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0div <= r_d.bits.i0div @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0store <= r_d.bits.i0store @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0load <= r_d.bits.i0load @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 688:10] - r_d_in.valid <= r_d.valid @[el2_dec_decode_ctl.scala 688:10] - r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 689:22] - node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 691:51] - node _T_744 = and(r_d.bits.i0v, _T_743) @[el2_dec_decode_ctl.scala 691:49] - r_d_in.bits.i0v <= _T_744 @[el2_dec_decode_ctl.scala 691:27] - node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 692:37] - node _T_746 = and(r_d.valid, _T_745) @[el2_dec_decode_ctl.scala 692:35] - r_d_in.valid <= _T_746 @[el2_dec_decode_ctl.scala 692:20] - node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 693:51] - node _T_748 = and(r_d.bits.i0load, _T_747) @[el2_dec_decode_ctl.scala 693:49] - r_d_in.bits.i0load <= _T_748 @[el2_dec_decode_ctl.scala 693:27] - node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 694:51] - node _T_750 = and(r_d.bits.i0store, _T_749) @[el2_dec_decode_ctl.scala 694:49] - r_d_in.bits.i0store <= _T_750 @[el2_dec_decode_ctl.scala 694:27] - node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 696:37] - inst rvclkhdr_8 of rvclkhdr_9 @[el2_lib.scala 518:23] - rvclkhdr_8.clock <= clock - rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[el2_lib.scala 520:18] - rvclkhdr_8.io.en <= _T_751 @[el2_lib.scala 521:17] - rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] + r_d.bits.csrwaddr <= _T_742.bits.csrwaddr @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwonly <= _T_742.bits.csrwonly @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.csrwen <= _T_742.bits.csrwen @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0v <= _T_742.bits.i0v @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0div <= _T_742.bits.i0div @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0store <= _T_742.bits.i0store @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0load <= _T_742.bits.i0load @[el2_dec_decode_ctl.scala 683:7] + r_d.bits.i0rd <= _T_742.bits.i0rd @[el2_dec_decode_ctl.scala 683:7] + r_d.valid <= _T_742.valid @[el2_dec_decode_ctl.scala 683:7] + r_d_in.bits.csrwaddr <= r_d.bits.csrwaddr @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwonly <= r_d.bits.csrwonly @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.csrwen <= r_d.bits.csrwen @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0v <= r_d.bits.i0v @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0div <= r_d.bits.i0div @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0store <= r_d.bits.i0store @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0load <= r_d.bits.i0load @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 684:10] + r_d_in.valid <= r_d.valid @[el2_dec_decode_ctl.scala 684:10] + r_d_in.bits.i0rd <= r_d.bits.i0rd @[el2_dec_decode_ctl.scala 685:22] + node _T_743 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 687:51] + node _T_744 = and(r_d.bits.i0v, _T_743) @[el2_dec_decode_ctl.scala 687:49] + r_d_in.bits.i0v <= _T_744 @[el2_dec_decode_ctl.scala 687:27] + node _T_745 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 688:37] + node _T_746 = and(r_d.valid, _T_745) @[el2_dec_decode_ctl.scala 688:35] + r_d_in.valid <= _T_746 @[el2_dec_decode_ctl.scala 688:20] + node _T_747 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 689:51] + node _T_748 = and(r_d.bits.i0load, _T_747) @[el2_dec_decode_ctl.scala 689:49] + r_d_in.bits.i0load <= _T_748 @[el2_dec_decode_ctl.scala 689:27] + node _T_749 = eq(io.dec_tlu_flush_lower_wb, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 690:51] + node _T_750 = and(r_d.bits.i0store, _T_749) @[el2_dec_decode_ctl.scala 690:49] + r_d_in.bits.i0store <= _T_750 @[el2_dec_decode_ctl.scala 690:27] + node _T_751 = bits(i0_wb_ctl_en, 0, 0) @[el2_dec_decode_ctl.scala 692:37] + inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 518:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[el2_lib.scala 520:18] + rvclkhdr_9.io.en <= _T_751 @[el2_lib.scala 521:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 522:24] wire _T_752 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}} @[el2_lib.scala 524:33] _T_752.bits.csrwaddr <= UInt<12>("h00") @[el2_lib.scala 524:33] _T_752.bits.csrwonly <= UInt<1>("h00") @[el2_lib.scala 524:33] @@ -4589,7 +4587,7 @@ circuit el2_dec_decode_ctl : _T_752.bits.i0load <= UInt<1>("h00") @[el2_lib.scala 524:33] _T_752.bits.i0rd <= UInt<5>("h00") @[el2_lib.scala 524:33] _T_752.valid <= UInt<1>("h00") @[el2_lib.scala 524:33] - reg _T_753 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_8.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] + reg _T_753 : {valid : UInt<1>, bits : {i0rd : UInt<5>, i0load : UInt<1>, i0store : UInt<1>, i0div : UInt<1>, i0v : UInt<1>, csrwen : UInt<1>, csrwonly : UInt<1>, csrwaddr : UInt<12>}}, rvclkhdr_9.io.l1clk with : (reset => (reset, _T_752)) @[el2_lib.scala 524:16] _T_753.bits.csrwaddr <= r_d_in.bits.csrwaddr @[el2_lib.scala 524:16] _T_753.bits.csrwonly <= r_d_in.bits.csrwonly @[el2_lib.scala 524:16] _T_753.bits.csrwen <= r_d_in.bits.csrwen @[el2_lib.scala 524:16] @@ -4599,46 +4597,46 @@ circuit el2_dec_decode_ctl : _T_753.bits.i0load <= r_d_in.bits.i0load @[el2_lib.scala 524:16] _T_753.bits.i0rd <= r_d_in.bits.i0rd @[el2_lib.scala 524:16] _T_753.valid <= r_d_in.valid @[el2_lib.scala 524:16] - wbd.bits.csrwaddr <= _T_753.bits.csrwaddr @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.csrwonly <= _T_753.bits.csrwonly @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.csrwen <= _T_753.bits.csrwen @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0v <= _T_753.bits.i0v @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0div <= _T_753.bits.i0div @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0store <= _T_753.bits.i0store @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0load <= _T_753.bits.i0load @[el2_dec_decode_ctl.scala 696:7] - wbd.bits.i0rd <= _T_753.bits.i0rd @[el2_dec_decode_ctl.scala 696:7] - wbd.valid <= _T_753.valid @[el2_dec_decode_ctl.scala 696:7] - io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[el2_dec_decode_ctl.scala 698:27] - node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 699:47] - node _T_755 = and(r_d_in.bits.i0v, _T_754) @[el2_dec_decode_ctl.scala 699:45] - i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 699:25] - node _T_756 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 700:49] - node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 700:47] - node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 700:70] - node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 700:68] - io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 700:32] - io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 701:26] - node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 703:57] - inst rvclkhdr_9 of rvclkhdr_10 @[el2_lib.scala 508:23] - rvclkhdr_9.clock <= clock - rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_9.io.en <= _T_760 @[el2_lib.scala 511:17] - rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_result_r_raw : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + wbd.bits.csrwaddr <= _T_753.bits.csrwaddr @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwonly <= _T_753.bits.csrwonly @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.csrwen <= _T_753.bits.csrwen @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0v <= _T_753.bits.i0v @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0div <= _T_753.bits.i0div @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0store <= _T_753.bits.i0store @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0load <= _T_753.bits.i0load @[el2_dec_decode_ctl.scala 692:7] + wbd.bits.i0rd <= _T_753.bits.i0rd @[el2_dec_decode_ctl.scala 692:7] + wbd.valid <= _T_753.valid @[el2_dec_decode_ctl.scala 692:7] + io.dec_i0_waddr_r <= r_d_in.bits.i0rd @[el2_dec_decode_ctl.scala 694:27] + node _T_754 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 695:47] + node _T_755 = and(r_d_in.bits.i0v, _T_754) @[el2_dec_decode_ctl.scala 695:45] + i0_wen_r <= _T_755 @[el2_dec_decode_ctl.scala 695:25] + node _T_756 = eq(r_d_in.bits.i0div, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:49] + node _T_757 = and(i0_wen_r, _T_756) @[el2_dec_decode_ctl.scala 696:47] + node _T_758 = eq(i0_load_kill_wen_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 696:70] + node _T_759 = and(_T_757, _T_758) @[el2_dec_decode_ctl.scala 696:68] + io.dec_i0_wen_r <= _T_759 @[el2_dec_decode_ctl.scala 696:32] + io.dec_i0_wdata_r <= i0_result_corr_r @[el2_dec_decode_ctl.scala 697:26] + node _T_760 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 699:57] + inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 508:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_10.io.en <= _T_760 @[el2_lib.scala 511:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg i0_result_r_raw : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] i0_result_r_raw <= i0_result_x @[el2_lib.scala 514:16] - node _T_761 = and(x_d.bits.i0v, x_d.bits.i0load) @[el2_dec_decode_ctl.scala 709:47] - node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 709:66] - node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 709:32] - i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 709:26] - i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 710:26] - node _T_764 = and(r_d.bits.i0v, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 714:42] - node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 714:61] - node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 714:27] - i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 714:21] - node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 715:54] - node _T_768 = and(io.i0_ap.predict_nt, _T_767) @[el2_dec_decode_ctl.scala 715:52] - node _T_769 = bits(_T_768, 0, 0) @[el2_dec_decode_ctl.scala 715:66] + node _T_761 = and(x_d.bits.i0v, x_d.bits.i0load) @[el2_dec_decode_ctl.scala 705:47] + node _T_762 = bits(_T_761, 0, 0) @[el2_dec_decode_ctl.scala 705:66] + node _T_763 = mux(_T_762, io.lsu_result_m, io.exu_i0_result_x) @[el2_dec_decode_ctl.scala 705:32] + i0_result_x <= _T_763 @[el2_dec_decode_ctl.scala 705:26] + i0_result_r <= i0_result_r_raw @[el2_dec_decode_ctl.scala 706:26] + node _T_764 = and(r_d.bits.i0v, r_d.bits.i0load) @[el2_dec_decode_ctl.scala 710:42] + node _T_765 = bits(_T_764, 0, 0) @[el2_dec_decode_ctl.scala 710:61] + node _T_766 = mux(_T_765, io.lsu_result_corr_r, i0_result_r_raw) @[el2_dec_decode_ctl.scala 710:27] + i0_result_corr_r <= _T_766 @[el2_dec_decode_ctl.scala 710:21] + node _T_767 = eq(i0_dp.jal, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 711:54] + node _T_768 = and(io.i0_ap.predict_nt, _T_767) @[el2_dec_decode_ctl.scala 711:52] + node _T_769 = bits(_T_768, 0, 0) @[el2_dec_decode_ctl.scala 711:66] wire _T_770 : UInt<1>[10] @[el2_lib.scala 162:48] _T_770[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_770[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4661,11 +4659,11 @@ circuit el2_dec_decode_ctl : node _T_779 = cat(_T_778, _T_770[9]) @[Cat.scala 29:58] node _T_780 = cat(_T_779, io.dec_i0_pc4_d) @[Cat.scala 29:58] node _T_781 = cat(_T_780, i0_ap_pc2) @[Cat.scala 29:58] - node _T_782 = mux(_T_769, i0_br_offset, _T_781) @[el2_dec_decode_ctl.scala 715:30] - io.dec_i0_br_immed_d <= _T_782 @[el2_dec_decode_ctl.scala 715:24] + node _T_782 = mux(_T_769, i0_br_offset, _T_781) @[el2_dec_decode_ctl.scala 711:30] + io.dec_i0_br_immed_d <= _T_782 @[el2_dec_decode_ctl.scala 711:24] wire last_br_immed_d : UInt<12> last_br_immed_d <= UInt<1>("h00") - node _T_783 = bits(io.i0_ap.predict_nt, 0, 0) @[el2_dec_decode_ctl.scala 717:48] + node _T_783 = bits(io.i0_ap.predict_nt, 0, 0) @[el2_dec_decode_ctl.scala 713:48] wire _T_784 : UInt<1>[10] @[el2_lib.scala 162:48] _T_784[0] <= UInt<1>("h00") @[el2_lib.scala 162:48] _T_784[1] <= UInt<1>("h00") @[el2_lib.scala 162:48] @@ -4688,141 +4686,141 @@ circuit el2_dec_decode_ctl : node _T_793 = cat(_T_792, _T_784[9]) @[Cat.scala 29:58] node _T_794 = cat(_T_793, io.dec_i0_pc4_d) @[Cat.scala 29:58] node _T_795 = cat(_T_794, i0_ap_pc2) @[Cat.scala 29:58] - node _T_796 = mux(_T_783, _T_795, i0_br_offset) @[el2_dec_decode_ctl.scala 717:25] - last_br_immed_d <= _T_796 @[el2_dec_decode_ctl.scala 717:19] + node _T_796 = mux(_T_783, _T_795, i0_br_offset) @[el2_dec_decode_ctl.scala 713:25] + last_br_immed_d <= _T_796 @[el2_dec_decode_ctl.scala 713:19] wire last_br_immed_x : UInt<12> last_br_immed_x <= UInt<1>("h00") - node _T_797 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 719:58] - inst rvclkhdr_10 of rvclkhdr_11 @[el2_lib.scala 508:23] - rvclkhdr_10.clock <= clock - rvclkhdr_10.reset <= reset - rvclkhdr_10.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_10.io.en <= _T_797 @[el2_lib.scala 511:17] - rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_798 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + node _T_797 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 715:58] + inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 508:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_11.io.en <= _T_797 @[el2_lib.scala 511:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg _T_798 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] _T_798 <= last_br_immed_d @[el2_lib.scala 514:16] - last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 719:19] - node _T_799 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 723:45] - node _T_800 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 723:76] - node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 723:58] - node _T_801 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 725:48] - node _T_802 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 725:77] - node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 725:60] - node _T_804 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 726:21] - node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 726:33] - node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 725:94] - node _T_807 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 727:21] - node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 727:33] - node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 727:60] - node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 726:62] - node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 731:51] - node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 732:26] - node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 732:24] - node _T_813 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 732:56] - node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 732:39] - node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 732:77] - node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 731:65] - node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 734:53] - io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 734:29] - node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 735:55] - node _T_817 = eq(io.exu_div_wren, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 737:62] - node _T_818 = and(io.dec_div_active, _T_817) @[el2_dec_decode_ctl.scala 737:60] - node _T_819 = eq(nonblock_div_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 737:81] - node _T_820 = and(_T_818, _T_819) @[el2_dec_decode_ctl.scala 737:79] - node div_active_in = or(i0_div_decode_d, _T_820) @[el2_dec_decode_ctl.scala 737:39] - reg _T_821 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 739:54] - _T_821 <= div_active_in @[el2_dec_decode_ctl.scala 739:54] - io.dec_div_active <= _T_821 @[el2_dec_decode_ctl.scala 739:21] - node _T_822 = and(io.dec_i0_rs1_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 742:49] - node _T_823 = eq(io.div_waddr_wb, i0r.rs1) @[el2_dec_decode_ctl.scala 742:88] - node _T_824 = and(_T_822, _T_823) @[el2_dec_decode_ctl.scala 742:69] - node _T_825 = and(io.dec_i0_rs2_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 743:25] - node _T_826 = eq(io.div_waddr_wb, i0r.rs2) @[el2_dec_decode_ctl.scala 743:64] - node _T_827 = and(_T_825, _T_826) @[el2_dec_decode_ctl.scala 743:45] - node _T_828 = or(_T_824, _T_827) @[el2_dec_decode_ctl.scala 742:102] - i0_nonblock_div_stall <= _T_828 @[el2_dec_decode_ctl.scala 742:26] - node _T_829 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 745:59] + last_br_immed_x <= _T_798 @[el2_dec_decode_ctl.scala 715:19] + node _T_799 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 719:45] + node _T_800 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 719:76] + node div_e1_to_r = or(_T_799, _T_800) @[el2_dec_decode_ctl.scala 719:58] + node _T_801 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 721:48] + node _T_802 = eq(x_d.bits.i0rd, UInt<5>("h00")) @[el2_dec_decode_ctl.scala 721:77] + node _T_803 = and(_T_801, _T_802) @[el2_dec_decode_ctl.scala 721:60] + node _T_804 = and(x_d.bits.i0div, x_d.valid) @[el2_dec_decode_ctl.scala 722:21] + node _T_805 = and(_T_804, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 722:33] + node _T_806 = or(_T_803, _T_805) @[el2_dec_decode_ctl.scala 721:94] + node _T_807 = and(r_d.bits.i0div, r_d.valid) @[el2_dec_decode_ctl.scala 723:21] + node _T_808 = and(_T_807, io.dec_tlu_flush_lower_r) @[el2_dec_decode_ctl.scala 723:33] + node _T_809 = and(_T_808, io.dec_tlu_i0_kill_writeb_r) @[el2_dec_decode_ctl.scala 723:60] + node div_flush = or(_T_806, _T_809) @[el2_dec_decode_ctl.scala 722:62] + node _T_810 = and(io.dec_div_active, div_flush) @[el2_dec_decode_ctl.scala 727:51] + node _T_811 = eq(div_e1_to_r, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 728:26] + node _T_812 = and(io.dec_div_active, _T_811) @[el2_dec_decode_ctl.scala 728:24] + node _T_813 = eq(r_d.bits.i0rd, io.div_waddr_wb) @[el2_dec_decode_ctl.scala 728:56] + node _T_814 = and(_T_812, _T_813) @[el2_dec_decode_ctl.scala 728:39] + node _T_815 = and(_T_814, i0_wen_r) @[el2_dec_decode_ctl.scala 728:77] + node nonblock_div_cancel = or(_T_810, _T_815) @[el2_dec_decode_ctl.scala 727:65] + node _T_816 = bits(nonblock_div_cancel, 0, 0) @[el2_dec_decode_ctl.scala 730:53] + io.dec_div_cancel <= _T_816 @[el2_dec_decode_ctl.scala 730:29] + node i0_div_decode_d = and(i0_legal_decode_d, i0_dp.div) @[el2_dec_decode_ctl.scala 731:55] + node _T_817 = eq(io.exu_div_wren, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 733:62] + node _T_818 = and(io.dec_div_active, _T_817) @[el2_dec_decode_ctl.scala 733:60] + node _T_819 = eq(nonblock_div_cancel, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 733:81] + node _T_820 = and(_T_818, _T_819) @[el2_dec_decode_ctl.scala 733:79] + node div_active_in = or(i0_div_decode_d, _T_820) @[el2_dec_decode_ctl.scala 733:39] + reg _T_821 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_dec_decode_ctl.scala 735:54] + _T_821 <= div_active_in @[el2_dec_decode_ctl.scala 735:54] + io.dec_div_active <= _T_821 @[el2_dec_decode_ctl.scala 735:21] + node _T_822 = and(io.dec_i0_rs1_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 738:49] + node _T_823 = eq(io.div_waddr_wb, i0r.rs1) @[el2_dec_decode_ctl.scala 738:88] + node _T_824 = and(_T_822, _T_823) @[el2_dec_decode_ctl.scala 738:69] + node _T_825 = and(io.dec_i0_rs2_en_d, io.dec_div_active) @[el2_dec_decode_ctl.scala 739:25] + node _T_826 = eq(io.div_waddr_wb, i0r.rs2) @[el2_dec_decode_ctl.scala 739:64] + node _T_827 = and(_T_825, _T_826) @[el2_dec_decode_ctl.scala 739:45] + node _T_828 = or(_T_824, _T_827) @[el2_dec_decode_ctl.scala 738:102] + i0_nonblock_div_stall <= _T_828 @[el2_dec_decode_ctl.scala 738:26] + node _T_829 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 741:59] reg _T_830 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_829 : @[Reg.scala 28:19] _T_830 <= i0r.rd @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.div_waddr_wb <= _T_830 @[el2_dec_decode_ctl.scala 745:19] - node _T_831 = bits(i0_inst_d, 24, 7) @[el2_dec_decode_ctl.scala 752:34] - node _T_832 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 752:57] - inst rvclkhdr_11 of rvclkhdr_12 @[el2_lib.scala 508:23] - rvclkhdr_11.clock <= clock - rvclkhdr_11.reset <= reset - rvclkhdr_11.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_11.io.en <= _T_832 @[el2_lib.scala 511:17] - rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg div_inst : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - div_inst <= _T_831 @[el2_lib.scala 514:16] - node _T_833 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 753:49] - inst rvclkhdr_12 of rvclkhdr_13 @[el2_lib.scala 508:23] + io.div_waddr_wb <= _T_830 @[el2_dec_decode_ctl.scala 741:19] + node _T_831 = bits(i0_inst_d, 24, 7) @[el2_dec_decode_ctl.scala 748:34] + node _T_832 = bits(i0_div_decode_d, 0, 0) @[el2_dec_decode_ctl.scala 748:57] + inst rvclkhdr_12 of rvclkhdr_12 @[el2_lib.scala 508:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_12.io.en <= _T_833 @[el2_lib.scala 511:17] + rvclkhdr_12.io.en <= _T_832 @[el2_lib.scala 511:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_inst_x : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_inst_x <= i0_inst_d @[el2_lib.scala 514:16] - node _T_834 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 754:49] - inst rvclkhdr_13 of rvclkhdr_14 @[el2_lib.scala 508:23] + reg div_inst : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + div_inst <= _T_831 @[el2_lib.scala 514:16] + node _T_833 = bits(i0_x_data_en, 0, 0) @[el2_dec_decode_ctl.scala 749:49] + inst rvclkhdr_13 of rvclkhdr_13 @[el2_lib.scala 508:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_13.io.en <= _T_834 @[el2_lib.scala 511:17] + rvclkhdr_13.io.en <= _T_833 @[el2_lib.scala 511:17] rvclkhdr_13.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_inst_r : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_inst_r <= i0_inst_x @[el2_lib.scala 514:16] - node _T_835 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 756:50] - inst rvclkhdr_14 of rvclkhdr_15 @[el2_lib.scala 508:23] + reg i0_inst_x : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_inst_x <= i0_inst_d @[el2_lib.scala 514:16] + node _T_834 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 750:49] + inst rvclkhdr_14 of rvclkhdr_14 @[el2_lib.scala 508:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_14.io.en <= _T_835 @[el2_lib.scala 511:17] + rvclkhdr_14.io.en <= _T_834 @[el2_lib.scala 511:17] rvclkhdr_14.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_inst_wb : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_inst_wb <= i0_inst_r @[el2_lib.scala 514:16] - node _T_836 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 757:53] - inst rvclkhdr_15 of rvclkhdr_16 @[el2_lib.scala 508:23] + reg i0_inst_r : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_inst_r <= i0_inst_x @[el2_lib.scala 514:16] + node _T_835 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 752:50] + inst rvclkhdr_15 of rvclkhdr_15 @[el2_lib.scala 508:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_15.io.en <= _T_836 @[el2_lib.scala 511:17] + rvclkhdr_15.io.en <= _T_835 @[el2_lib.scala 511:17] rvclkhdr_15.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_837 : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_837 <= i0_inst_wb @[el2_lib.scala 514:16] - io.dec_i0_inst_wb1 <= _T_837 @[el2_dec_decode_ctl.scala 757:22] - node _T_838 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 758:53] - inst rvclkhdr_16 of rvclkhdr_17 @[el2_lib.scala 508:23] + reg i0_inst_wb : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_inst_wb <= i0_inst_r @[el2_lib.scala 514:16] + node _T_836 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 753:53] + inst rvclkhdr_16 of rvclkhdr_16 @[el2_lib.scala 508:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_16.io.en <= _T_838 @[el2_lib.scala 511:17] + rvclkhdr_16.io.en <= _T_836 @[el2_lib.scala 511:17] rvclkhdr_16.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg i0_pc_wb : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - i0_pc_wb <= io.dec_tlu_i0_pc_r @[el2_lib.scala 514:16] - node _T_839 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 760:49] - inst rvclkhdr_17 of rvclkhdr_18 @[el2_lib.scala 508:23] + reg _T_837 : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_837 <= i0_inst_wb @[el2_lib.scala 514:16] + io.dec_i0_inst_wb1 <= _T_837 @[el2_dec_decode_ctl.scala 753:22] + node _T_838 = bits(i0_wb_data_en, 0, 0) @[el2_dec_decode_ctl.scala 754:53] + inst rvclkhdr_17 of rvclkhdr_17 @[el2_lib.scala 508:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_17.io.en <= _T_839 @[el2_lib.scala 511:17] + rvclkhdr_17.io.en <= _T_838 @[el2_lib.scala 511:17] rvclkhdr_17.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg _T_840 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] - _T_840 <= i0_pc_wb @[el2_lib.scala 514:16] - io.dec_i0_pc_wb1 <= _T_840 @[el2_dec_decode_ctl.scala 760:20] - node _T_841 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 761:56] - inst rvclkhdr_18 of rvclkhdr_19 @[el2_lib.scala 508:23] + reg i0_pc_wb : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + i0_pc_wb <= io.dec_tlu_i0_pc_r @[el2_lib.scala 514:16] + node _T_839 = bits(i0_wb1_data_en, 0, 0) @[el2_dec_decode_ctl.scala 756:49] + inst rvclkhdr_18 of rvclkhdr_18 @[el2_lib.scala 508:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset rvclkhdr_18.io.clk <= clock @[el2_lib.scala 510:18] - rvclkhdr_18.io.en <= _T_841 @[el2_lib.scala 511:17] + rvclkhdr_18.io.en <= _T_839 @[el2_lib.scala 511:17] rvclkhdr_18.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] - reg dec_i0_pc_r : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + reg _T_840 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] + _T_840 <= i0_pc_wb @[el2_lib.scala 514:16] + io.dec_i0_pc_wb1 <= _T_840 @[el2_dec_decode_ctl.scala 756:20] + node _T_841 = bits(i0_r_data_en, 0, 0) @[el2_dec_decode_ctl.scala 757:56] + inst rvclkhdr_19 of rvclkhdr_19 @[el2_lib.scala 508:23] + rvclkhdr_19.clock <= clock + rvclkhdr_19.reset <= reset + rvclkhdr_19.io.clk <= clock @[el2_lib.scala 510:18] + rvclkhdr_19.io.en <= _T_841 @[el2_lib.scala 511:17] + rvclkhdr_19.io.scan_mode <= io.scan_mode @[el2_lib.scala 512:24] + reg dec_i0_pc_r : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 514:16] dec_i0_pc_r <= io.exu_i0_pc_x @[el2_lib.scala 514:16] - io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[el2_dec_decode_ctl.scala 763:27] + io.dec_tlu_i0_pc_r <= dec_i0_pc_r @[el2_dec_decode_ctl.scala 759:27] node _T_842 = cat(io.exu_i0_pc_x, UInt<1>("h00")) @[Cat.scala 29:58] node _T_843 = cat(last_br_immed_x, UInt<1>("h00")) @[Cat.scala 29:58] node _T_844 = bits(_T_842, 12, 1) @[el2_lib.scala 208:24] @@ -4858,124 +4856,124 @@ circuit el2_dec_decode_ctl : node _T_873 = bits(_T_846, 11, 0) @[el2_lib.scala 214:94] node _T_874 = cat(_T_872, _T_873) @[Cat.scala 29:58] node temp_pred_correct_npc_x = cat(_T_874, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 768:51] - io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 768:25] - node _T_876 = and(io.dec_i0_rs1_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 772:48] - node _T_877 = eq(x_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 772:80] - node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 772:63] - node _T_878 = and(io.dec_i0_rs1_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 773:48] - node _T_879 = eq(r_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 773:80] - node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 773:63] - node _T_880 = and(io.dec_i0_rs2_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 775:48] - node _T_881 = eq(x_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 775:80] - node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 775:63] - node _T_882 = and(io.dec_i0_rs2_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 776:48] - node _T_883 = eq(r_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 776:80] - node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 776:63] - node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 778:44] - node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 778:81] - wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 778:109] - _T_886.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 778:109] - _T_886.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 778:109] - _T_886.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 778:109] - node _T_887 = mux(_T_885, i0_r_c, _T_886) @[el2_dec_decode_ctl.scala 778:61] - node _T_888 = mux(_T_884, i0_x_c, _T_887) @[el2_dec_decode_ctl.scala 778:24] - i0_rs1_class_d.alu <= _T_888.alu @[el2_dec_decode_ctl.scala 778:18] - i0_rs1_class_d.load <= _T_888.load @[el2_dec_decode_ctl.scala 778:18] - i0_rs1_class_d.mul <= _T_888.mul @[el2_dec_decode_ctl.scala 778:18] - node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 779:44] - node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 779:83] - node _T_891 = mux(_T_890, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 779:63] - node _T_892 = mux(_T_889, UInt<2>("h01"), _T_891) @[el2_dec_decode_ctl.scala 779:24] - i0_rs1_depth_d <= _T_892 @[el2_dec_decode_ctl.scala 779:18] - node _T_893 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 780:44] - node _T_894 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 780:81] - wire _T_895 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 780:109] - _T_895.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 780:109] - _T_895.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 780:109] - _T_895.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 780:109] - node _T_896 = mux(_T_894, i0_r_c, _T_895) @[el2_dec_decode_ctl.scala 780:61] - node _T_897 = mux(_T_893, i0_x_c, _T_896) @[el2_dec_decode_ctl.scala 780:24] - i0_rs2_class_d.alu <= _T_897.alu @[el2_dec_decode_ctl.scala 780:18] - i0_rs2_class_d.load <= _T_897.load @[el2_dec_decode_ctl.scala 780:18] - i0_rs2_class_d.mul <= _T_897.mul @[el2_dec_decode_ctl.scala 780:18] - node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 781:44] - node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 781:83] - node _T_900 = mux(_T_899, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 781:63] - node _T_901 = mux(_T_898, UInt<2>("h01"), _T_900) @[el2_dec_decode_ctl.scala 781:24] - i0_rs2_depth_d <= _T_901 @[el2_dec_decode_ctl.scala 781:18] - i0_load_block_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 791:21] - node _T_902 = or(i0_dp.load, i0_dp.store) @[el2_dec_decode_ctl.scala 792:43] - node _T_903 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 792:74] - node _T_904 = and(_T_902, _T_903) @[el2_dec_decode_ctl.scala 792:58] - node _T_905 = and(_T_904, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 792:78] - load_ldst_bypass_d <= _T_905 @[el2_dec_decode_ctl.scala 792:27] - node _T_906 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 793:59] - node _T_907 = and(i0_dp.store, _T_906) @[el2_dec_decode_ctl.scala 793:43] - node _T_908 = and(_T_907, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 793:63] - store_data_bypass_d <= _T_908 @[el2_dec_decode_ctl.scala 793:25] - store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 794:25] - node _T_909 = and(io.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 798:62] - node _T_910 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[el2_dec_decode_ctl.scala 798:119] - node i0_rs1_nonblock_load_bypass_en_d = and(_T_909, _T_910) @[el2_dec_decode_ctl.scala 798:89] - node _T_911 = and(io.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 800:62] - node _T_912 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[el2_dec_decode_ctl.scala 800:119] - node i0_rs2_nonblock_load_bypass_en_d = and(_T_911, _T_912) @[el2_dec_decode_ctl.scala 800:89] - node _T_913 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 803:41] - node _T_914 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 803:66] - node _T_915 = and(_T_913, _T_914) @[el2_dec_decode_ctl.scala 803:45] - node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 803:104] - node _T_917 = and(_T_916, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 803:108] - node _T_918 = bits(i0_rs1_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 803:149] - node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 803:175] - node _T_920 = or(_T_919, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 803:196] - node _T_921 = and(_T_918, _T_920) @[el2_dec_decode_ctl.scala 803:153] + node _T_875 = bits(temp_pred_correct_npc_x, 31, 1) @[el2_dec_decode_ctl.scala 764:51] + io.pred_correct_npc_x <= _T_875 @[el2_dec_decode_ctl.scala 764:25] + node _T_876 = and(io.dec_i0_rs1_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 768:48] + node _T_877 = eq(x_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 768:80] + node i0_rs1_depend_i0_x = and(_T_876, _T_877) @[el2_dec_decode_ctl.scala 768:63] + node _T_878 = and(io.dec_i0_rs1_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 769:48] + node _T_879 = eq(r_d.bits.i0rd, i0r.rs1) @[el2_dec_decode_ctl.scala 769:80] + node i0_rs1_depend_i0_r = and(_T_878, _T_879) @[el2_dec_decode_ctl.scala 769:63] + node _T_880 = and(io.dec_i0_rs2_en_d, x_d.bits.i0v) @[el2_dec_decode_ctl.scala 771:48] + node _T_881 = eq(x_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 771:80] + node i0_rs2_depend_i0_x = and(_T_880, _T_881) @[el2_dec_decode_ctl.scala 771:63] + node _T_882 = and(io.dec_i0_rs2_en_d, r_d.bits.i0v) @[el2_dec_decode_ctl.scala 772:48] + node _T_883 = eq(r_d.bits.i0rd, i0r.rs2) @[el2_dec_decode_ctl.scala 772:80] + node i0_rs2_depend_i0_r = and(_T_882, _T_883) @[el2_dec_decode_ctl.scala 772:63] + node _T_884 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 774:44] + node _T_885 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 774:81] + wire _T_886 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 774:109] + _T_886.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 774:109] + _T_886.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 774:109] + _T_886.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 774:109] + node _T_887 = mux(_T_885, i0_r_c, _T_886) @[el2_dec_decode_ctl.scala 774:61] + node _T_888 = mux(_T_884, i0_x_c, _T_887) @[el2_dec_decode_ctl.scala 774:24] + i0_rs1_class_d.alu <= _T_888.alu @[el2_dec_decode_ctl.scala 774:18] + i0_rs1_class_d.load <= _T_888.load @[el2_dec_decode_ctl.scala 774:18] + i0_rs1_class_d.mul <= _T_888.mul @[el2_dec_decode_ctl.scala 774:18] + node _T_889 = bits(i0_rs1_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 775:44] + node _T_890 = bits(i0_rs1_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 775:83] + node _T_891 = mux(_T_890, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 775:63] + node _T_892 = mux(_T_889, UInt<2>("h01"), _T_891) @[el2_dec_decode_ctl.scala 775:24] + i0_rs1_depth_d <= _T_892 @[el2_dec_decode_ctl.scala 775:18] + node _T_893 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 776:44] + node _T_894 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 776:81] + wire _T_895 : {mul : UInt<1>, load : UInt<1>, alu : UInt<1>} @[el2_dec_decode_ctl.scala 776:109] + _T_895.alu <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 776:109] + _T_895.load <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 776:109] + _T_895.mul <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 776:109] + node _T_896 = mux(_T_894, i0_r_c, _T_895) @[el2_dec_decode_ctl.scala 776:61] + node _T_897 = mux(_T_893, i0_x_c, _T_896) @[el2_dec_decode_ctl.scala 776:24] + i0_rs2_class_d.alu <= _T_897.alu @[el2_dec_decode_ctl.scala 776:18] + i0_rs2_class_d.load <= _T_897.load @[el2_dec_decode_ctl.scala 776:18] + i0_rs2_class_d.mul <= _T_897.mul @[el2_dec_decode_ctl.scala 776:18] + node _T_898 = bits(i0_rs2_depend_i0_x, 0, 0) @[el2_dec_decode_ctl.scala 777:44] + node _T_899 = bits(i0_rs2_depend_i0_r, 0, 0) @[el2_dec_decode_ctl.scala 777:83] + node _T_900 = mux(_T_899, UInt<2>("h02"), UInt<1>("h00")) @[el2_dec_decode_ctl.scala 777:63] + node _T_901 = mux(_T_898, UInt<2>("h01"), _T_900) @[el2_dec_decode_ctl.scala 777:24] + i0_rs2_depth_d <= _T_901 @[el2_dec_decode_ctl.scala 777:18] + i0_load_block_d <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 787:21] + node _T_902 = or(i0_dp.load, i0_dp.store) @[el2_dec_decode_ctl.scala 788:43] + node _T_903 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 788:74] + node _T_904 = and(_T_902, _T_903) @[el2_dec_decode_ctl.scala 788:58] + node _T_905 = and(_T_904, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 788:78] + load_ldst_bypass_d <= _T_905 @[el2_dec_decode_ctl.scala 788:27] + node _T_906 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 789:59] + node _T_907 = and(i0_dp.store, _T_906) @[el2_dec_decode_ctl.scala 789:43] + node _T_908 = and(_T_907, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 789:63] + store_data_bypass_d <= _T_908 @[el2_dec_decode_ctl.scala 789:25] + store_data_bypass_m <= UInt<1>("h00") @[el2_dec_decode_ctl.scala 790:25] + node _T_909 = and(io.dec_i0_rs1_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 794:62] + node _T_910 = eq(io.dec_nonblock_load_waddr, i0r.rs1) @[el2_dec_decode_ctl.scala 794:119] + node i0_rs1_nonblock_load_bypass_en_d = and(_T_909, _T_910) @[el2_dec_decode_ctl.scala 794:89] + node _T_911 = and(io.dec_i0_rs2_en_d, io.dec_nonblock_load_wen) @[el2_dec_decode_ctl.scala 796:62] + node _T_912 = eq(io.dec_nonblock_load_waddr, i0r.rs2) @[el2_dec_decode_ctl.scala 796:119] + node i0_rs2_nonblock_load_bypass_en_d = and(_T_911, _T_912) @[el2_dec_decode_ctl.scala 796:89] + node _T_913 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 799:41] + node _T_914 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 799:66] + node _T_915 = and(_T_913, _T_914) @[el2_dec_decode_ctl.scala 799:45] + node _T_916 = bits(i0_rs1_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 799:104] + node _T_917 = and(_T_916, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 799:108] + node _T_918 = bits(i0_rs1_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 799:149] + node _T_919 = or(i0_rs1_class_d.alu, i0_rs1_class_d.mul) @[el2_dec_decode_ctl.scala 799:175] + node _T_920 = or(_T_919, i0_rs1_class_d.load) @[el2_dec_decode_ctl.scala 799:196] + node _T_921 = and(_T_918, _T_920) @[el2_dec_decode_ctl.scala 799:153] node _T_922 = cat(_T_915, _T_917) @[Cat.scala 29:58] node _T_923 = cat(_T_922, _T_921) @[Cat.scala 29:58] - i0_rs1bypass <= _T_923 @[el2_dec_decode_ctl.scala 803:18] - node _T_924 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 805:41] - node _T_925 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 805:67] - node _T_926 = and(_T_924, _T_925) @[el2_dec_decode_ctl.scala 805:45] - node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 805:105] - node _T_928 = and(_T_927, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 805:109] - node _T_929 = bits(i0_rs2_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 805:149] - node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 805:175] - node _T_931 = or(_T_930, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 805:196] - node _T_932 = and(_T_929, _T_931) @[el2_dec_decode_ctl.scala 805:153] + i0_rs1bypass <= _T_923 @[el2_dec_decode_ctl.scala 799:18] + node _T_924 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 801:41] + node _T_925 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 801:67] + node _T_926 = and(_T_924, _T_925) @[el2_dec_decode_ctl.scala 801:45] + node _T_927 = bits(i0_rs2_depth_d, 0, 0) @[el2_dec_decode_ctl.scala 801:105] + node _T_928 = and(_T_927, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 801:109] + node _T_929 = bits(i0_rs2_depth_d, 1, 1) @[el2_dec_decode_ctl.scala 801:149] + node _T_930 = or(i0_rs2_class_d.alu, i0_rs2_class_d.mul) @[el2_dec_decode_ctl.scala 801:175] + node _T_931 = or(_T_930, i0_rs2_class_d.load) @[el2_dec_decode_ctl.scala 801:196] + node _T_932 = and(_T_929, _T_931) @[el2_dec_decode_ctl.scala 801:153] node _T_933 = cat(_T_926, _T_928) @[Cat.scala 29:58] node _T_934 = cat(_T_933, _T_932) @[Cat.scala 29:58] - i0_rs2bypass <= _T_934 @[el2_dec_decode_ctl.scala 805:18] - node _T_935 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:54] - node _T_936 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 807:71] - node _T_937 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 807:89] - node _T_938 = or(_T_936, _T_937) @[el2_dec_decode_ctl.scala 807:75] - node _T_939 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 807:109] - node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 807:96] - node _T_941 = and(_T_940, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 807:113] - node _T_942 = or(_T_938, _T_941) @[el2_dec_decode_ctl.scala 807:93] + i0_rs2bypass <= _T_934 @[el2_dec_decode_ctl.scala 801:18] + node _T_935 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 803:54] + node _T_936 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 803:71] + node _T_937 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 803:89] + node _T_938 = or(_T_936, _T_937) @[el2_dec_decode_ctl.scala 803:75] + node _T_939 = bits(i0_rs1bypass, 2, 2) @[el2_dec_decode_ctl.scala 803:109] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 803:96] + node _T_941 = and(_T_940, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 803:113] + node _T_942 = or(_T_938, _T_941) @[el2_dec_decode_ctl.scala 803:93] node _T_943 = cat(_T_935, _T_942) @[Cat.scala 29:58] - io.dec_i0_rs1_bypass_en_d <= _T_943 @[el2_dec_decode_ctl.scala 807:34] - node _T_944 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 808:54] - node _T_945 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 808:71] - node _T_946 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 808:89] - node _T_947 = or(_T_945, _T_946) @[el2_dec_decode_ctl.scala 808:75] - node _T_948 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 808:109] - node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 808:96] - node _T_950 = and(_T_949, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 808:113] - node _T_951 = or(_T_947, _T_950) @[el2_dec_decode_ctl.scala 808:93] + io.dec_i0_rs1_bypass_en_d <= _T_943 @[el2_dec_decode_ctl.scala 803:34] + node _T_944 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 804:54] + node _T_945 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 804:71] + node _T_946 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 804:89] + node _T_947 = or(_T_945, _T_946) @[el2_dec_decode_ctl.scala 804:75] + node _T_948 = bits(i0_rs2bypass, 2, 2) @[el2_dec_decode_ctl.scala 804:109] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 804:96] + node _T_950 = and(_T_949, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 804:113] + node _T_951 = or(_T_947, _T_950) @[el2_dec_decode_ctl.scala 804:93] node _T_952 = cat(_T_944, _T_951) @[Cat.scala 29:58] - io.dec_i0_rs2_bypass_en_d <= _T_952 @[el2_dec_decode_ctl.scala 808:34] - node _T_953 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 811:17] - node _T_954 = bits(_T_953, 0, 0) @[el2_dec_decode_ctl.scala 811:21] - node _T_955 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 812:17] - node _T_956 = bits(_T_955, 0, 0) @[el2_dec_decode_ctl.scala 812:21] - node _T_957 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 813:19] - node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 813:6] - node _T_959 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 813:38] - node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 813:25] - node _T_961 = and(_T_958, _T_960) @[el2_dec_decode_ctl.scala 813:23] - node _T_962 = and(_T_961, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 813:42] - node _T_963 = bits(_T_962, 0, 0) @[el2_dec_decode_ctl.scala 813:78] + io.dec_i0_rs2_bypass_en_d <= _T_952 @[el2_dec_decode_ctl.scala 804:34] + node _T_953 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 808:17] + node _T_954 = bits(_T_953, 0, 0) @[el2_dec_decode_ctl.scala 808:21] + node _T_955 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 809:17] + node _T_956 = bits(_T_955, 0, 0) @[el2_dec_decode_ctl.scala 809:21] + node _T_957 = bits(i0_rs1bypass, 1, 1) @[el2_dec_decode_ctl.scala 810:19] + node _T_958 = eq(_T_957, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 810:6] + node _T_959 = bits(i0_rs1bypass, 0, 0) @[el2_dec_decode_ctl.scala 810:38] + node _T_960 = eq(_T_959, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 810:25] + node _T_961 = and(_T_958, _T_960) @[el2_dec_decode_ctl.scala 810:23] + node _T_962 = and(_T_961, i0_rs1_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 810:42] + node _T_963 = bits(_T_962, 0, 0) @[el2_dec_decode_ctl.scala 810:78] node _T_964 = mux(_T_954, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] node _T_965 = mux(_T_956, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_966 = mux(_T_963, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4983,18 +4981,18 @@ circuit el2_dec_decode_ctl : node _T_968 = or(_T_967, _T_966) @[Mux.scala 27:72] wire _T_969 : UInt<32> @[Mux.scala 27:72] _T_969 <= _T_968 @[Mux.scala 27:72] - io.dec_i0_rs1_bypass_data_d <= _T_969 @[el2_dec_decode_ctl.scala 810:31] - node _T_970 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 816:17] - node _T_971 = bits(_T_970, 0, 0) @[el2_dec_decode_ctl.scala 816:21] - node _T_972 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 817:17] - node _T_973 = bits(_T_972, 0, 0) @[el2_dec_decode_ctl.scala 817:21] - node _T_974 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 818:19] - node _T_975 = eq(_T_974, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 818:6] - node _T_976 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 818:38] - node _T_977 = eq(_T_976, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 818:25] - node _T_978 = and(_T_975, _T_977) @[el2_dec_decode_ctl.scala 818:23] - node _T_979 = and(_T_978, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 818:42] - node _T_980 = bits(_T_979, 0, 0) @[el2_dec_decode_ctl.scala 818:78] + io.dec_i0_rs1_bypass_data_d <= _T_969 @[el2_dec_decode_ctl.scala 807:31] + node _T_970 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 813:17] + node _T_971 = bits(_T_970, 0, 0) @[el2_dec_decode_ctl.scala 813:21] + node _T_972 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 814:17] + node _T_973 = bits(_T_972, 0, 0) @[el2_dec_decode_ctl.scala 814:21] + node _T_974 = bits(i0_rs2bypass, 1, 1) @[el2_dec_decode_ctl.scala 815:19] + node _T_975 = eq(_T_974, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 815:6] + node _T_976 = bits(i0_rs2bypass, 0, 0) @[el2_dec_decode_ctl.scala 815:38] + node _T_977 = eq(_T_976, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 815:25] + node _T_978 = and(_T_975, _T_977) @[el2_dec_decode_ctl.scala 815:23] + node _T_979 = and(_T_978, i0_rs2_nonblock_load_bypass_en_d) @[el2_dec_decode_ctl.scala 815:42] + node _T_980 = bits(_T_979, 0, 0) @[el2_dec_decode_ctl.scala 815:78] node _T_981 = mux(_T_971, io.lsu_result_m, UInt<1>("h00")) @[Mux.scala 27:72] node _T_982 = mux(_T_973, i0_result_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_983 = mux(_T_980, io.lsu_nonblock_load_data, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5002,31 +5000,31 @@ circuit el2_dec_decode_ctl : node _T_985 = or(_T_984, _T_983) @[Mux.scala 27:72] wire _T_986 : UInt<32> @[Mux.scala 27:72] _T_986 <= _T_985 @[Mux.scala 27:72] - io.dec_i0_rs2_bypass_data_d <= _T_986 @[el2_dec_decode_ctl.scala 815:31] - node _T_987 = or(i0_dp_raw.load, i0_dp_raw.store) @[el2_dec_decode_ctl.scala 820:68] - node _T_988 = and(io.dec_ib0_valid_d, _T_987) @[el2_dec_decode_ctl.scala 820:50] - node _T_989 = eq(io.dma_dccm_stall_any, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 820:89] - node _T_990 = and(_T_988, _T_989) @[el2_dec_decode_ctl.scala 820:87] - node _T_991 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 820:114] - node _T_992 = and(_T_990, _T_991) @[el2_dec_decode_ctl.scala 820:112] - node _T_993 = or(_T_992, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 820:131] - io.dec_lsu_valid_raw_d <= _T_993 @[el2_dec_decode_ctl.scala 820:26] - node _T_994 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 822:6] - node _T_995 = and(_T_994, i0_dp.lsu) @[el2_dec_decode_ctl.scala 822:27] - node _T_996 = and(_T_995, i0_dp.load) @[el2_dec_decode_ctl.scala 822:39] - node _T_997 = bits(_T_996, 0, 0) @[el2_dec_decode_ctl.scala 822:53] - node _T_998 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 822:70] - node _T_999 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 823:6] - node _T_1000 = and(_T_999, i0_dp.lsu) @[el2_dec_decode_ctl.scala 823:27] - node _T_1001 = and(_T_1000, i0_dp.store) @[el2_dec_decode_ctl.scala 823:39] - node _T_1002 = bits(_T_1001, 0, 0) @[el2_dec_decode_ctl.scala 823:54] - node _T_1003 = bits(io.dec_i0_instr_d, 31, 25) @[el2_dec_decode_ctl.scala 823:74] - node _T_1004 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 823:84] + io.dec_i0_rs2_bypass_data_d <= _T_986 @[el2_dec_decode_ctl.scala 812:31] + node _T_987 = or(i0_dp_raw.load, i0_dp_raw.store) @[el2_dec_decode_ctl.scala 817:68] + node _T_988 = and(io.dec_ib0_valid_d, _T_987) @[el2_dec_decode_ctl.scala 817:50] + node _T_989 = eq(io.dma_dccm_stall_any, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:89] + node _T_990 = and(_T_988, _T_989) @[el2_dec_decode_ctl.scala 817:87] + node _T_991 = eq(i0_block_raw_d, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 817:114] + node _T_992 = and(_T_990, _T_991) @[el2_dec_decode_ctl.scala 817:112] + node _T_993 = or(_T_992, io.dec_extint_stall) @[el2_dec_decode_ctl.scala 817:131] + io.dec_lsu_valid_raw_d <= _T_993 @[el2_dec_decode_ctl.scala 817:26] + node _T_994 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 819:6] + node _T_995 = and(_T_994, i0_dp.lsu) @[el2_dec_decode_ctl.scala 819:27] + node _T_996 = and(_T_995, i0_dp.load) @[el2_dec_decode_ctl.scala 819:39] + node _T_997 = bits(_T_996, 0, 0) @[el2_dec_decode_ctl.scala 819:53] + node _T_998 = bits(io.dec_i0_instr_d, 31, 20) @[el2_dec_decode_ctl.scala 819:70] + node _T_999 = eq(io.dec_extint_stall, UInt<1>("h00")) @[el2_dec_decode_ctl.scala 820:6] + node _T_1000 = and(_T_999, i0_dp.lsu) @[el2_dec_decode_ctl.scala 820:27] + node _T_1001 = and(_T_1000, i0_dp.store) @[el2_dec_decode_ctl.scala 820:39] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_dec_decode_ctl.scala 820:54] + node _T_1003 = bits(io.dec_i0_instr_d, 31, 25) @[el2_dec_decode_ctl.scala 820:74] + node _T_1004 = bits(io.dec_i0_instr_d, 11, 7) @[el2_dec_decode_ctl.scala 820:84] node _T_1005 = cat(_T_1003, _T_1004) @[Cat.scala 29:58] node _T_1006 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1007 = mux(_T_1002, _T_1005, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1008 = or(_T_1006, _T_1007) @[Mux.scala 27:72] wire _T_1009 : UInt<12> @[Mux.scala 27:72] _T_1009 <= _T_1008 @[Mux.scala 27:72] - io.dec_lsu_offset_d <= _T_1009 @[el2_dec_decode_ctl.scala 821:23] + io.dec_lsu_offset_d <= _T_1009 @[el2_dec_decode_ctl.scala 818:23] diff --git a/el2_dec_decode_ctl.v b/el2_dec_decode_ctl.v index 027dbf26..c6cb93b1 100644 --- a/el2_dec_decode_ctl.v +++ b/el2_dec_decode_ctl.v @@ -1009,65 +1009,61 @@ module el2_dec_decode_ctl( reg [31:0] _RAND_89; reg [31:0] _RAND_90; `endif // RANDOMIZE_REG_INIT - wire data_gated_cgc_io_l1clk; // @[el2_dec_decode_ctl.scala 222:29] - wire data_gated_cgc_io_clk; // @[el2_dec_decode_ctl.scala 222:29] - wire data_gated_cgc_io_en; // @[el2_dec_decode_ctl.scala 222:29] - wire data_gated_cgc_io_scan_mode; // @[el2_dec_decode_ctl.scala 222:29] - wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 396:22] - wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 396:22] - wire rvclkhdr_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 508:23] + wire rvclkhdr_io_l1clk; // @[el2_lib.scala 483:22] + wire rvclkhdr_io_clk; // @[el2_lib.scala 483:22] + wire rvclkhdr_io_en; // @[el2_lib.scala 483:22] + wire rvclkhdr_io_scan_mode; // @[el2_lib.scala 483:22] + wire [31:0] i0_dec_io_ins; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 392:22] + wire i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 392:22] wire rvclkhdr_1_io_l1clk; // @[el2_lib.scala 508:23] wire rvclkhdr_1_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_1_io_en; // @[el2_lib.scala 508:23] @@ -1080,10 +1076,10 @@ module el2_dec_decode_ctl( wire rvclkhdr_3_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_3_io_en; // @[el2_lib.scala 508:23] wire rvclkhdr_3_io_scan_mode; // @[el2_lib.scala 508:23] - wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 518:23] - wire rvclkhdr_4_io_clk; // @[el2_lib.scala 518:23] - wire rvclkhdr_4_io_en; // @[el2_lib.scala 518:23] - wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 518:23] + wire rvclkhdr_4_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_4_io_scan_mode; // @[el2_lib.scala 508:23] wire rvclkhdr_5_io_l1clk; // @[el2_lib.scala 518:23] wire rvclkhdr_5_io_clk; // @[el2_lib.scala 518:23] wire rvclkhdr_5_io_en; // @[el2_lib.scala 518:23] @@ -1100,10 +1096,10 @@ module el2_dec_decode_ctl( wire rvclkhdr_8_io_clk; // @[el2_lib.scala 518:23] wire rvclkhdr_8_io_en; // @[el2_lib.scala 518:23] wire rvclkhdr_8_io_scan_mode; // @[el2_lib.scala 518:23] - wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_9_io_clk; // @[el2_lib.scala 508:23] - wire rvclkhdr_9_io_en; // @[el2_lib.scala 508:23] - wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 508:23] + wire rvclkhdr_9_io_l1clk; // @[el2_lib.scala 518:23] + wire rvclkhdr_9_io_clk; // @[el2_lib.scala 518:23] + wire rvclkhdr_9_io_en; // @[el2_lib.scala 518:23] + wire rvclkhdr_9_io_scan_mode; // @[el2_lib.scala 518:23] wire rvclkhdr_10_io_l1clk; // @[el2_lib.scala 508:23] wire rvclkhdr_10_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_10_io_en; // @[el2_lib.scala 508:23] @@ -1140,555 +1136,559 @@ module el2_dec_decode_ctl( wire rvclkhdr_18_io_clk; // @[el2_lib.scala 508:23] wire rvclkhdr_18_io_en; // @[el2_lib.scala 508:23] wire rvclkhdr_18_io_scan_mode; // @[el2_lib.scala 508:23] - reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 503:29] + wire rvclkhdr_19_io_l1clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_19_io_clk; // @[el2_lib.scala 508:23] + wire rvclkhdr_19_io_en; // @[el2_lib.scala 508:23] + wire rvclkhdr_19_io_scan_mode; // @[el2_lib.scala 508:23] + reg tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 499:55] wire _T_1 = io_dec_tlu_wr_pause_r ^ tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 211:51] - reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 504:29] + reg tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 500:55] wire _T_2 = tlu_wr_pause_r1 ^ tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 212:32] wire _T_3 = _T_1 | _T_2; // @[el2_dec_decode_ctl.scala 211:73] wire _T_4 = io_dec_tlu_flush_extint ^ io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 213:32] wire _T_5 = _T_3 | _T_4; // @[el2_dec_decode_ctl.scala 212:56] - reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 404:56] - wire _T_279 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 403:73] - wire _T_280 = leak1_i1_stall & _T_279; // @[el2_dec_decode_ctl.scala 403:71] - wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_280; // @[el2_dec_decode_ctl.scala 403:53] + reg leak1_i1_stall; // @[el2_dec_decode_ctl.scala 400:56] + wire _T_280 = ~io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 399:73] + wire _T_281 = leak1_i1_stall & _T_280; // @[el2_dec_decode_ctl.scala 399:71] + wire leak1_i1_stall_in = io_dec_tlu_flush_leak_one_r | _T_281; // @[el2_dec_decode_ctl.scala 399:53] wire _T_6 = leak1_i1_stall_in ^ leak1_i1_stall; // @[el2_dec_decode_ctl.scala 214:32] wire _T_7 = _T_5 | _T_6; // @[el2_dec_decode_ctl.scala 213:56] - wire _T_283 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 406:45] - reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 407:56] - wire _T_285 = leak1_i0_stall & _T_279; // @[el2_dec_decode_ctl.scala 406:81] - wire leak1_i0_stall_in = _T_283 | _T_285; // @[el2_dec_decode_ctl.scala 406:63] + wire _T_284 = io_dec_i0_decode_d & leak1_i1_stall; // @[el2_dec_decode_ctl.scala 402:45] + reg leak1_i0_stall; // @[el2_dec_decode_ctl.scala 403:56] + wire _T_286 = leak1_i0_stall & _T_280; // @[el2_dec_decode_ctl.scala 402:81] + wire leak1_i0_stall_in = _T_284 | _T_286; // @[el2_dec_decode_ctl.scala 402:63] wire _T_8 = leak1_i0_stall_in ^ leak1_i0_stall; // @[el2_dec_decode_ctl.scala 215:32] wire _T_9 = _T_7 | _T_8; // @[el2_dec_decode_ctl.scala 214:56] - reg pause_stall; // @[el2_dec_decode_ctl.scala 501:50] - wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 500:44] - wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 499:49] - wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 499:47] + reg pause_stall; // @[el2_dec_decode_ctl.scala 497:50] + wire _T_412 = io_dec_tlu_wr_pause_r | pause_stall; // @[el2_dec_decode_ctl.scala 496:44] + wire _T_408 = ~io_dec_tlu_flush_pause_r; // @[el2_dec_decode_ctl.scala 495:49] + wire _T_409 = io_dec_tlu_flush_lower_r & _T_408; // @[el2_dec_decode_ctl.scala 495:47] reg [31:0] write_csr_data; // @[el2_lib.scala 514:16] - wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 499:109] - wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 499:91] - wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 499:76] - wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 500:61] - wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 500:59] + wire _T_410 = write_csr_data == 32'h0; // @[el2_dec_decode_ctl.scala 495:109] + wire _T_411 = pause_stall & _T_410; // @[el2_dec_decode_ctl.scala 495:91] + wire clear_pause = _T_409 | _T_411; // @[el2_dec_decode_ctl.scala 495:76] + wire _T_413 = ~clear_pause; // @[el2_dec_decode_ctl.scala 496:61] + wire pause_state_in = _T_412 & _T_413; // @[el2_dec_decode_ctl.scala 496:59] wire _T_10 = pause_state_in ^ pause_stall; // @[el2_dec_decode_ctl.scala 216:32] wire _T_11 = _T_9 | _T_10; // @[el2_dec_decode_ctl.scala 215:56] - wire _T_17 = ~leak1_i1_stall; // @[el2_dec_decode_ctl.scala 230:62] - wire i0_brp_valid = io_dec_i0_brp_valid & _T_17; // @[el2_dec_decode_ctl.scala 230:60] - wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire [20:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21],1'h0}; // @[Cat.scala 29:58] - wire _T_298 = i0_pcall_imm[20:13] == 8'hff; // @[el2_dec_decode_ctl.scala 412:79] - wire _T_300 = i0_pcall_imm[20:13] == 8'h0; // @[el2_dec_decode_ctl.scala 412:112] - wire i0_pcall_12b_offset = i0_pcall_imm[12] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 412:33] - wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 413:47] - wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 626:16] - wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 413:76] - wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 413:98] - wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 413:89] - wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 413:65] - wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 415:38] - wire _T_19 = i0_dp_raw_condbr | i0_pcall_raw; // @[el2_dec_decode_ctl.scala 241:75] - wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 414:67] - wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 414:65] - wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 417:38] - wire _T_20 = _T_19 | i0_pja_raw; // @[el2_dec_decode_ctl.scala 241:90] - wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 421:37] - wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 421:65] - wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 421:55] - wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 624:16] - wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 421:89] - wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 421:111] - wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 421:101] - wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 421:79] - wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 422:32] - wire _T_21 = _T_20 | i0_pret_raw; // @[el2_dec_decode_ctl.scala 241:103] - wire _T_22 = ~_T_21; // @[el2_dec_decode_ctl.scala 241:56] - wire i0_notbr_error = i0_brp_valid & _T_22; // @[el2_dec_decode_ctl.scala 241:54] - wire _T_30 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[el2_dec_decode_ctl.scala 246:62] - wire _T_24 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[el2_dec_decode_ctl.scala 244:47] - wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 419:41] + wire _T_18 = ~leak1_i1_stall; // @[el2_dec_decode_ctl.scala 226:62] + wire i0_brp_valid = io_dec_i0_brp_valid & _T_18; // @[el2_dec_decode_ctl.scala 226:60] + wire i0_dp_raw_condbr = i0_dec_io_out_condbr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_jal = i0_dec_io_out_jal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire [19:0] i0_pcall_imm = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[19:12],io_dec_i0_instr_d[20],io_dec_i0_instr_d[30:21]}; // @[Cat.scala 29:58] + wire _T_298 = i0_pcall_imm[19:12] == 8'hff; // @[el2_dec_decode_ctl.scala 408:79] + wire _T_300 = i0_pcall_imm[19:12] == 8'h0; // @[el2_dec_decode_ctl.scala 408:112] + wire i0_pcall_12b_offset = i0_pcall_imm[11] ? _T_298 : _T_300; // @[el2_dec_decode_ctl.scala 408:33] + wire i0_dp_raw_imm20 = i0_dec_io_out_imm20; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire _T_301 = i0_pcall_12b_offset & i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 409:47] + wire [4:0] i0r_rd = io_dec_i0_instr_d[11:7]; // @[el2_dec_decode_ctl.scala 622:16] + wire _T_302 = i0r_rd == 5'h1; // @[el2_dec_decode_ctl.scala 409:76] + wire _T_303 = i0r_rd == 5'h5; // @[el2_dec_decode_ctl.scala 409:98] + wire _T_304 = _T_302 | _T_303; // @[el2_dec_decode_ctl.scala 409:89] + wire i0_pcall_case = _T_301 & _T_304; // @[el2_dec_decode_ctl.scala 409:65] + wire i0_pcall_raw = i0_dp_raw_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 411:38] + wire _T_20 = i0_dp_raw_condbr | i0_pcall_raw; // @[el2_dec_decode_ctl.scala 237:75] + wire _T_309 = ~_T_304; // @[el2_dec_decode_ctl.scala 410:67] + wire i0_pja_case = _T_301 & _T_309; // @[el2_dec_decode_ctl.scala 410:65] + wire i0_pja_raw = i0_dp_raw_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 413:38] + wire _T_21 = _T_20 | i0_pja_raw; // @[el2_dec_decode_ctl.scala 237:90] + wire i0_dp_raw_imm12 = i0_dec_io_out_imm12; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire _T_325 = i0_dp_raw_jal & i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 417:37] + wire _T_326 = i0r_rd == 5'h0; // @[el2_dec_decode_ctl.scala 417:65] + wire _T_327 = _T_325 & _T_326; // @[el2_dec_decode_ctl.scala 417:55] + wire [4:0] i0r_rs1 = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 620:16] + wire _T_328 = i0r_rs1 == 5'h1; // @[el2_dec_decode_ctl.scala 417:89] + wire _T_329 = i0r_rs1 == 5'h5; // @[el2_dec_decode_ctl.scala 417:111] + wire _T_330 = _T_328 | _T_329; // @[el2_dec_decode_ctl.scala 417:101] + wire i0_pret_case = _T_327 & _T_330; // @[el2_dec_decode_ctl.scala 417:79] + wire i0_pret_raw = i0_dp_raw_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 418:32] + wire _T_22 = _T_21 | i0_pret_raw; // @[el2_dec_decode_ctl.scala 237:103] + wire _T_23 = ~_T_22; // @[el2_dec_decode_ctl.scala 237:56] + wire i0_notbr_error = i0_brp_valid & _T_23; // @[el2_dec_decode_ctl.scala 237:54] + wire _T_31 = io_dec_i0_brp_bits_br_error | i0_notbr_error; // @[el2_dec_decode_ctl.scala 242:62] + wire _T_25 = i0_brp_valid & io_dec_i0_brp_bits_hist[1]; // @[el2_dec_decode_ctl.scala 240:47] + wire _T_314 = i0_pcall_raw | i0_pja_raw; // @[el2_dec_decode_ctl.scala 415:41] wire [11:0] _T_323 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[7],io_dec_i0_instr_d[30:25],io_dec_i0_instr_d[11:8]}; // @[Cat.scala 29:58] - wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 419:26] - wire _T_25 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[el2_dec_decode_ctl.scala 244:106] - wire _T_26 = _T_24 & _T_25; // @[el2_dec_decode_ctl.scala 244:76] - wire _T_27 = ~i0_pret_raw; // @[el2_dec_decode_ctl.scala 244:126] - wire i0_br_toffset_error = _T_26 & _T_27; // @[el2_dec_decode_ctl.scala 244:124] - wire _T_31 = _T_30 | i0_br_toffset_error; // @[el2_dec_decode_ctl.scala 246:79] - wire _T_28 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[el2_dec_decode_ctl.scala 245:47] - wire i0_ret_error = _T_28 & _T_27; // @[el2_dec_decode_ctl.scala 245:72] - wire i0_br_error = _T_31 | i0_ret_error; // @[el2_dec_decode_ctl.scala 246:101] - wire _T_38 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[el2_dec_decode_ctl.scala 251:47] - wire i0_br_error_all = _T_38 & _T_17; // @[el2_dec_decode_ctl.scala 251:84] - wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[el2_dec_decode_ctl.scala 260:36] - wire _T_40 = i0_br_error_all | i0_icaf_d; // @[el2_dec_decode_ctl.scala 264:25] - wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_postsync = _T_40 | i0_dp_raw_postsync; // @[el2_dec_decode_ctl.scala 264:50] - wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 530:36] - wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 522:48] - wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 530:60] - wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_write = _T_40 ? 1'h0 : i0_dp_raw_csr_write; // @[el2_dec_decode_ctl.scala 264:50] - wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 461:42] - wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 461:40] - wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_read = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 264:50] - wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 466:41] - wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 466:39] - wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 530:112] - wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 530:99] - wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 530:76] - wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_legal = _T_40 | i0_dp_raw_legal; // @[el2_dec_decode_ctl.scala 264:50] - wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 532:34] - wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 534:40] - wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 534:51] - wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 534:37] - wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 574:56] - wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 574:54] - wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 574:39] - reg postsync_stall; // @[el2_dec_decode_ctl.scala 572:53] + wire [11:0] i0_br_offset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[el2_dec_decode_ctl.scala 415:26] + wire _T_26 = io_dec_i0_brp_bits_toffset != i0_br_offset; // @[el2_dec_decode_ctl.scala 240:106] + wire _T_27 = _T_25 & _T_26; // @[el2_dec_decode_ctl.scala 240:76] + wire _T_28 = ~i0_pret_raw; // @[el2_dec_decode_ctl.scala 240:126] + wire i0_br_toffset_error = _T_27 & _T_28; // @[el2_dec_decode_ctl.scala 240:124] + wire _T_32 = _T_31 | i0_br_toffset_error; // @[el2_dec_decode_ctl.scala 242:79] + wire _T_29 = i0_brp_valid & io_dec_i0_brp_bits_ret; // @[el2_dec_decode_ctl.scala 241:47] + wire i0_ret_error = _T_29 & _T_28; // @[el2_dec_decode_ctl.scala 241:72] + wire i0_br_error = _T_32 | i0_ret_error; // @[el2_dec_decode_ctl.scala 242:101] + wire _T_39 = i0_br_error | io_dec_i0_brp_bits_br_start_error; // @[el2_dec_decode_ctl.scala 247:47] + wire i0_br_error_all = _T_39 & _T_18; // @[el2_dec_decode_ctl.scala 247:84] + wire i0_icaf_d = io_dec_i0_icaf_d | io_dec_i0_dbecc_d; // @[el2_dec_decode_ctl.scala 256:36] + wire _T_41 = i0_br_error_all | i0_icaf_d; // @[el2_dec_decode_ctl.scala 260:25] + wire i0_dp_raw_postsync = i0_dec_io_out_postsync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_postsync = _T_41 | i0_dp_raw_postsync; // @[el2_dec_decode_ctl.scala 260:50] + wire _T_439 = i0_dp_postsync | io_dec_tlu_postsync_d; // @[el2_dec_decode_ctl.scala 526:36] + wire debug_fence_i = io_dec_debug_fence_d & io_dbg_cmd_wrdata[0]; // @[el2_dec_decode_ctl.scala 518:48] + wire _T_440 = _T_439 | debug_fence_i; // @[el2_dec_decode_ctl.scala 526:60] + wire i0_dp_raw_csr_write = i0_dec_io_out_csr_write; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_write = _T_41 ? 1'h0 : i0_dp_raw_csr_write; // @[el2_dec_decode_ctl.scala 260:50] + wire _T_343 = ~io_dec_debug_fence_d; // @[el2_dec_decode_ctl.scala 457:42] + wire i0_csr_write = i0_dp_csr_write & _T_343; // @[el2_dec_decode_ctl.scala 457:40] + wire i0_dp_raw_csr_read = i0_dec_io_out_csr_read; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_read = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 260:50] + wire _T_347 = ~i0_dp_csr_read; // @[el2_dec_decode_ctl.scala 462:41] + wire i0_csr_write_only_d = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 462:39] + wire _T_442 = io_dec_i0_instr_d[31:20] == 12'h7c2; // @[el2_dec_decode_ctl.scala 526:112] + wire _T_443 = i0_csr_write_only_d & _T_442; // @[el2_dec_decode_ctl.scala 526:99] + wire i0_postsync = _T_440 | _T_443; // @[el2_dec_decode_ctl.scala 526:76] + wire i0_dp_raw_legal = i0_dec_io_out_legal; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_legal = _T_41 | i0_dp_raw_legal; // @[el2_dec_decode_ctl.scala 260:50] + wire any_csr_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 528:34] + wire _T_444 = ~any_csr_d; // @[el2_dec_decode_ctl.scala 530:40] + wire _T_445 = _T_444 | io_dec_csr_legal_d; // @[el2_dec_decode_ctl.scala 530:51] + wire i0_legal = i0_dp_legal & _T_445; // @[el2_dec_decode_ctl.scala 530:37] + wire _T_504 = ~i0_legal; // @[el2_dec_decode_ctl.scala 570:56] + wire _T_505 = i0_postsync | _T_504; // @[el2_dec_decode_ctl.scala 570:54] + wire _T_506 = io_dec_i0_decode_d & _T_505; // @[el2_dec_decode_ctl.scala 570:39] + reg postsync_stall; // @[el2_dec_decode_ctl.scala 568:53] reg x_d_valid; // @[el2_lib.scala 524:16] - wire _T_507 = postsync_stall & x_d_valid; // @[el2_dec_decode_ctl.scala 574:88] - wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 574:69] + wire _T_507 = postsync_stall & x_d_valid; // @[el2_dec_decode_ctl.scala 570:88] + wire ps_stall_in = _T_506 | _T_507; // @[el2_dec_decode_ctl.scala 570:69] wire _T_12 = ps_stall_in ^ postsync_stall; // @[el2_dec_decode_ctl.scala 217:32] wire _T_13 = _T_11 | _T_12; // @[el2_dec_decode_ctl.scala 216:56] - reg flush_final_r; // @[el2_dec_decode_ctl.scala 620:52] + reg flush_final_r; // @[el2_dec_decode_ctl.scala 616:52] wire _T_14 = io_exu_flush_final ^ flush_final_r; // @[el2_dec_decode_ctl.scala 218:32] wire _T_15 = _T_13 | _T_14; // @[el2_dec_decode_ctl.scala 217:56] - wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 538:47] - reg illegal_lockout; // @[el2_dec_decode_ctl.scala 542:54] - wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 541:40] - wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 541:61] - wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 541:59] + wire shift_illegal = io_dec_i0_decode_d & _T_504; // @[el2_dec_decode_ctl.scala 534:47] + reg illegal_lockout; // @[el2_dec_decode_ctl.scala 538:54] + wire _T_466 = shift_illegal | illegal_lockout; // @[el2_dec_decode_ctl.scala 537:40] + wire _T_467 = ~flush_final_r; // @[el2_dec_decode_ctl.scala 537:61] + wire illegal_lockout_in = _T_466 & _T_467; // @[el2_dec_decode_ctl.scala 537:59] wire _T_16 = illegal_lockout_in ^ illegal_lockout; // @[el2_dec_decode_ctl.scala 219:32] - wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 648:46] - wire _T_32 = i0_br_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 247:72] - wire _T_35 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 248:94] - wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_pm_alu = _T_40 ? 1'h0 : i0_dp_raw_pm_alu; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_fence_i = _T_40 ? 1'h0 : i0_dp_raw_fence_i; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_fence = _T_40 ? 1'h0 : i0_dp_raw_fence; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_div = _T_40 ? 1'h0 : i0_dp_raw_div; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_mul = _T_40 ? 1'h0 : i0_dp_raw_mul; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_mret = _T_40 ? 1'h0 : i0_dp_raw_mret; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_ecall = _T_40 ? 1'h0 : i0_dp_raw_ecall; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_ebreak = _T_40 ? 1'h0 : i0_dp_raw_ebreak; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_presync = _T_40 ? 1'h0 : i0_dp_raw_presync; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_set = _T_40 ? 1'h0 : i0_dp_raw_csr_set; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_csr_clr = _T_40 ? 1'h0 : i0_dp_raw_csr_clr; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_word = _T_40 ? 1'h0 : i0_dp_raw_word; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_half = _T_40 ? 1'h0 : i0_dp_raw_half; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_by = _T_40 ? 1'h0 : i0_dp_raw_by; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_jal = _T_40 ? 1'h0 : i0_dp_raw_jal; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_condbr = _T_40 ? 1'h0 : i0_dp_raw_condbr; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_lsu = _T_40 ? 1'h0 : i0_dp_raw_lsu; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_store = _T_40 ? 1'h0 : i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_load = _T_40 ? 1'h0 : i0_dp_raw_load; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_imm20 = _T_40 ? 1'h0 : i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_shimm5 = _T_40 ? 1'h0 : i0_dp_raw_shimm5; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_rd = _T_40 ? 1'h0 : i0_dp_raw_rd; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_imm12 = _T_40 ? 1'h0 : i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_rs2 = _T_40 | i0_dp_raw_rs2; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_rs1 = _T_40 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 398:12] - wire i0_dp_alu = _T_40 | i0_dp_raw_alu; // @[el2_dec_decode_ctl.scala 264:50] - wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 416:38] - wire _T_43 = i0_dp_condbr | i0_pcall; // @[el2_dec_decode_ctl.scala 278:38] - wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 418:38] - wire _T_44 = _T_43 | i0_pja; // @[el2_dec_decode_ctl.scala 278:49] - wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 423:32] - wire i0_predict_br = _T_44 | i0_pret; // @[el2_dec_decode_ctl.scala 278:58] - wire _T_46 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[el2_dec_decode_ctl.scala 280:55] - wire _T_47 = ~_T_46; // @[el2_dec_decode_ctl.scala 280:26] - wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 282:20] - wire cam_data_reset = io_lsu_nonblock_load_data_valid | io_lsu_nonblock_load_data_error; // @[el2_dec_decode_ctl.scala 315:63] - reg [2:0] cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire [2:0] _GEN_123 = {{1'd0}, io_lsu_nonblock_load_data_tag}; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_93 = _GEN_123 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_94 = cam_data_reset & _T_93; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_0 = _T_94 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_50 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 307:78] - reg [2:0] cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_119 = _GEN_123 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_120 = cam_data_reset & _T_119; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_1 = _T_120 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_53 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 307:78] - wire _T_56 = cam_0_valid & _T_53; // @[el2_dec_decode_ctl.scala 307:126] - wire [1:0] _T_58 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 307:158] - reg [2:0] cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_145 = _GEN_123 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_146 = cam_data_reset & _T_145; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_2 = _T_146 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_59 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 307:78] - wire _T_62 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 307:126] - wire _T_65 = _T_62 & _T_59; // @[el2_dec_decode_ctl.scala 307:126] - wire [2:0] _T_67 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 307:158] - reg [2:0] cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_171 = _GEN_123 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 326:67] - wire _T_172 = cam_data_reset & _T_171; // @[el2_dec_decode_ctl.scala 326:45] - reg cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 351:47] - wire cam_data_reset_val_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 326:88] - wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 330:39] - wire _T_68 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 307:78] - wire _T_74 = _T_62 & cam_2_valid; // @[el2_dec_decode_ctl.scala 307:126] - wire _T_77 = _T_74 & _T_68; // @[el2_dec_decode_ctl.scala 307:126] - wire [3:0] _T_79 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 307:158] - wire _T_80 = _T_50 & io_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] - wire [1:0] _T_81 = _T_56 ? _T_58 : 2'h0; // @[Mux.scala 27:72] - wire [2:0] _T_82 = _T_65 ? _T_67 : 3'h0; // @[Mux.scala 27:72] - wire [3:0] _T_83 = _T_77 ? _T_79 : 4'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_127 = {{1'd0}, _T_80}; // @[Mux.scala 27:72] - wire [1:0] _T_84 = _GEN_127 | _T_81; // @[Mux.scala 27:72] - wire [2:0] _GEN_128 = {{1'd0}, _T_84}; // @[Mux.scala 27:72] - wire [2:0] _T_85 = _GEN_128 | _T_82; // @[Mux.scala 27:72] - wire [3:0] _GEN_129 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] - wire [3:0] cam_wen = _GEN_129 | _T_83; // @[Mux.scala 27:72] + wire i0_legal_decode_d = io_dec_i0_decode_d & i0_legal; // @[el2_dec_decode_ctl.scala 644:46] + wire _T_33 = i0_br_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 243:72] + wire _T_36 = io_dec_i0_brp_bits_br_start_error & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 244:94] + wire i0_dp_raw_pm_alu = i0_dec_io_out_pm_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_pm_alu = _T_41 ? 1'h0 : i0_dp_raw_pm_alu; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_fence_i = i0_dec_io_out_fence_i; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_fence_i = _T_41 ? 1'h0 : i0_dp_raw_fence_i; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_fence = i0_dec_io_out_fence; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_fence = _T_41 ? 1'h0 : i0_dp_raw_fence; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rem = i0_dec_io_out_rem; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_div = i0_dec_io_out_div; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_div = _T_41 ? 1'h0 : i0_dp_raw_div; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_low = i0_dec_io_out_low; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_rs2_sign = i0_dec_io_out_rs2_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_rs1_sign = i0_dec_io_out_rs1_sign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_mul = i0_dec_io_out_mul; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_mul = _T_41 ? 1'h0 : i0_dp_raw_mul; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_mret = i0_dec_io_out_mret; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_mret = _T_41 ? 1'h0 : i0_dp_raw_mret; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_ecall = i0_dec_io_out_ecall; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_ecall = _T_41 ? 1'h0 : i0_dp_raw_ecall; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_ebreak = i0_dec_io_out_ebreak; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_ebreak = _T_41 ? 1'h0 : i0_dp_raw_ebreak; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_presync = i0_dec_io_out_presync; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_presync = _T_41 ? 1'h0 : i0_dp_raw_presync; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_csr_imm = i0_dec_io_out_csr_imm; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_csr_set = i0_dec_io_out_csr_set; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_set = _T_41 ? 1'h0 : i0_dp_raw_csr_set; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_csr_clr = i0_dec_io_out_csr_clr; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_csr_clr = _T_41 ? 1'h0 : i0_dp_raw_csr_clr; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_word = i0_dec_io_out_word; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_word = _T_41 ? 1'h0 : i0_dp_raw_word; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_half = i0_dec_io_out_half; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_half = _T_41 ? 1'h0 : i0_dp_raw_half; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_by = i0_dec_io_out_by; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_by = _T_41 ? 1'h0 : i0_dp_raw_by; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_jal = _T_41 ? 1'h0 : i0_dp_raw_jal; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_blt = i0_dec_io_out_blt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_bge = i0_dec_io_out_bge; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_bne = i0_dec_io_out_bne; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_beq = i0_dec_io_out_beq; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_condbr = _T_41 ? 1'h0 : i0_dp_raw_condbr; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_unsign = i0_dec_io_out_unsign; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_slt = i0_dec_io_out_slt; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_srl = i0_dec_io_out_srl; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_sra = i0_dec_io_out_sra; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_sll = i0_dec_io_out_sll; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_lxor = i0_dec_io_out_lxor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_lor = i0_dec_io_out_lor; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_land = i0_dec_io_out_land; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_sub = i0_dec_io_out_sub; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_add = i0_dec_io_out_add; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_raw_lsu = i0_dec_io_out_lsu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_lsu = _T_41 ? 1'h0 : i0_dp_raw_lsu; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_store = i0_dec_io_out_store; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_store = _T_41 ? 1'h0 : i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_load = i0_dec_io_out_load; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_load = _T_41 ? 1'h0 : i0_dp_raw_load; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_pc = i0_dec_io_out_pc; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_imm20 = _T_41 ? 1'h0 : i0_dp_raw_imm20; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_shimm5 = i0_dec_io_out_shimm5; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_shimm5 = _T_41 ? 1'h0 : i0_dp_raw_shimm5; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rd = i0_dec_io_out_rd; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_rd = _T_41 ? 1'h0 : i0_dp_raw_rd; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_imm12 = _T_41 ? 1'h0 : i0_dp_raw_imm12; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rs2 = i0_dec_io_out_rs2; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_rs2 = _T_41 | i0_dp_raw_rs2; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_rs1 = i0_dec_io_out_rs1; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_rs1 = _T_41 | i0_dp_raw_rs1; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_dp_raw_alu = i0_dec_io_out_alu; // @[el2_dec_decode_ctl.scala 156:22 el2_dec_decode_ctl.scala 394:12] + wire i0_dp_alu = _T_41 | i0_dp_raw_alu; // @[el2_dec_decode_ctl.scala 260:50] + wire i0_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 412:38] + wire _T_44 = i0_dp_condbr | i0_pcall; // @[el2_dec_decode_ctl.scala 274:38] + wire i0_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 414:38] + wire _T_45 = _T_44 | i0_pja; // @[el2_dec_decode_ctl.scala 274:49] + wire i0_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 419:32] + wire i0_predict_br = _T_45 | i0_pret; // @[el2_dec_decode_ctl.scala 274:58] + wire _T_47 = io_dec_i0_brp_bits_hist[1] & i0_brp_valid; // @[el2_dec_decode_ctl.scala 276:55] + wire _T_48 = ~_T_47; // @[el2_dec_decode_ctl.scala 276:26] + wire i0_ap_pc2 = ~io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 278:20] + wire cam_data_reset = io_lsu_nonblock_load_data_valid | io_lsu_nonblock_load_data_error; // @[el2_dec_decode_ctl.scala 311:63] + reg [2:0] cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire [2:0] _GEN_123 = {{1'd0}, io_lsu_nonblock_load_data_tag}; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_94 = _GEN_123 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_95 = cam_data_reset & _T_94; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_0 = _T_95 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_0_valid = cam_data_reset_val_0 ? 1'h0 : cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_51 = ~cam_0_valid; // @[el2_dec_decode_ctl.scala 303:78] + reg [2:0] cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_120 = _GEN_123 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_121 = cam_data_reset & _T_120; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_1 = _T_121 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_1_valid = cam_data_reset_val_1 ? 1'h0 : cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_54 = ~cam_1_valid; // @[el2_dec_decode_ctl.scala 303:78] + wire _T_57 = cam_0_valid & _T_54; // @[el2_dec_decode_ctl.scala 303:126] + wire [1:0] _T_59 = {io_lsu_nonblock_load_valid_m, 1'h0}; // @[el2_dec_decode_ctl.scala 303:158] + reg [2:0] cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_146 = _GEN_123 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_147 = cam_data_reset & _T_146; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_2 = _T_147 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_2_valid = cam_data_reset_val_2 ? 1'h0 : cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_60 = ~cam_2_valid; // @[el2_dec_decode_ctl.scala 303:78] + wire _T_63 = cam_0_valid & cam_1_valid; // @[el2_dec_decode_ctl.scala 303:126] + wire _T_66 = _T_63 & _T_60; // @[el2_dec_decode_ctl.scala 303:126] + wire [2:0] _T_68 = {io_lsu_nonblock_load_valid_m, 2'h0}; // @[el2_dec_decode_ctl.scala 303:158] + reg [2:0] cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_172 = _GEN_123 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 322:67] + wire _T_173 = cam_data_reset & _T_172; // @[el2_dec_decode_ctl.scala 322:45] + reg cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 347:47] + wire cam_data_reset_val_3 = _T_173 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 322:88] + wire cam_3_valid = cam_data_reset_val_3 ? 1'h0 : cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 326:39] + wire _T_69 = ~cam_3_valid; // @[el2_dec_decode_ctl.scala 303:78] + wire _T_75 = _T_63 & cam_2_valid; // @[el2_dec_decode_ctl.scala 303:126] + wire _T_78 = _T_75 & _T_69; // @[el2_dec_decode_ctl.scala 303:126] + wire [3:0] _T_80 = {io_lsu_nonblock_load_valid_m, 3'h0}; // @[el2_dec_decode_ctl.scala 303:158] + wire _T_81 = _T_51 & io_lsu_nonblock_load_valid_m; // @[Mux.scala 27:72] + wire [1:0] _T_82 = _T_57 ? _T_59 : 2'h0; // @[Mux.scala 27:72] + wire [2:0] _T_83 = _T_66 ? _T_68 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_84 = _T_78 ? _T_80 : 4'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_127 = {{1'd0}, _T_81}; // @[Mux.scala 27:72] + wire [1:0] _T_85 = _GEN_127 | _T_82; // @[Mux.scala 27:72] + wire [2:0] _GEN_128 = {{1'd0}, _T_85}; // @[Mux.scala 27:72] + wire [2:0] _T_86 = _GEN_128 | _T_83; // @[Mux.scala 27:72] + wire [3:0] _GEN_129 = {{1'd0}, _T_86}; // @[Mux.scala 27:72] + wire [3:0] cam_wen = _GEN_129 | _T_84; // @[Mux.scala 27:72] reg x_d_bits_i0load; // @[el2_lib.scala 524:16] reg [4:0] x_d_bits_i0rd; // @[el2_lib.scala 524:16] - wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 318:31] - reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 656:72] + wire [4:0] nonblock_load_rd = x_d_bits_i0load ? x_d_bits_i0rd : 5'h0; // @[el2_dec_decode_ctl.scala 314:31] + reg [2:0] _T_701; // @[el2_dec_decode_ctl.scala 652:72] wire [3:0] i0_pipe_en = {io_dec_i0_decode_d,_T_701}; // @[Cat.scala 29:58] - wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 659:49] - wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 659:53] + wire _T_707 = |i0_pipe_en[2:1]; // @[el2_dec_decode_ctl.scala 655:49] + wire i0_r_ctl_en = _T_707 | io_clk_override; // @[el2_dec_decode_ctl.scala 655:53] reg nonblock_load_valid_m_delay; // @[Reg.scala 27:20] reg r_d_bits_i0load; // @[el2_lib.scala 524:16] - wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 323:56] - wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_90 = _GEN_130 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_91 = io_lsu_nonblock_load_inv_r & _T_90; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_0 = _T_91 & cam_0_valid; // @[el2_dec_decode_ctl.scala 325:87] + wire i0_load_kill_wen_r = nonblock_load_valid_m_delay & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 319:56] + wire [2:0] _GEN_130 = {{1'd0}, io_lsu_nonblock_load_inv_tag_r}; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_91 = _GEN_130 == cam_raw_0_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_92 = io_lsu_nonblock_load_inv_r & _T_91; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_0 = _T_92 & cam_0_valid; // @[el2_dec_decode_ctl.scala 321:87] reg r_d_bits_i0v; // @[el2_lib.scala 524:16] - wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 691:51] - wire r_d_in_bits_i0v = r_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 691:49] - wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 699:47] - wire i0_wen_r = r_d_in_bits_i0v & _T_754; // @[el2_dec_decode_ctl.scala 699:45] + wire _T_743 = ~io_dec_tlu_flush_lower_wb; // @[el2_dec_decode_ctl.scala 687:51] + wire r_d_in_bits_i0v = r_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 687:49] + wire _T_754 = ~io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 695:47] + wire i0_wen_r = r_d_in_bits_i0v & _T_754; // @[el2_dec_decode_ctl.scala 695:45] reg [4:0] r_d_bits_i0rd; // @[el2_lib.scala 524:16] - reg [4:0] cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_102 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_103 = i0_wen_r & _T_102; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_105 = _T_103 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_106 = cam_inv_reset_val_0 | _T_105; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_52 = _T_106 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_55 = _T_106 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_109 = nonblock_load_valid_m_delay & _T_90; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_111 = _T_109 & cam_0_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_0 = _T_93 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_116 = _GEN_130 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_117 = io_lsu_nonblock_load_inv_r & _T_116; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_1 = _T_117 & cam_1_valid; // @[el2_dec_decode_ctl.scala 325:87] - reg [4:0] cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_128 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_129 = i0_wen_r & _T_128; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_131 = _T_129 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_132 = cam_inv_reset_val_1 | _T_131; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_63 = _T_132 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_66 = _T_132 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_135 = nonblock_load_valid_m_delay & _T_116; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_137 = _T_135 & cam_1_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_1 = _T_119 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_142 = _GEN_130 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_143 = io_lsu_nonblock_load_inv_r & _T_142; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_2 = _T_143 & cam_2_valid; // @[el2_dec_decode_ctl.scala 325:87] - reg [4:0] cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_154 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_155 = i0_wen_r & _T_154; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_157 = _T_155 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_158 = cam_inv_reset_val_2 | _T_157; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_74 = _T_158 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_77 = _T_158 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_161 = nonblock_load_valid_m_delay & _T_142; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_163 = _T_161 & cam_2_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_2 = _T_145 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_168 = _GEN_130 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 325:66] - wire _T_169 = io_lsu_nonblock_load_inv_r & _T_168; // @[el2_dec_decode_ctl.scala 325:45] - wire cam_inv_reset_val_3 = _T_169 & cam_3_valid; // @[el2_dec_decode_ctl.scala 325:87] - reg [4:0] cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_180 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 338:85] - wire _T_181 = i0_wen_r & _T_180; // @[el2_dec_decode_ctl.scala 338:64] - reg cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 351:47] - wire _T_183 = _T_181 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 338:105] - wire _T_184 = cam_inv_reset_val_3 | _T_183; // @[el2_dec_decode_ctl.scala 338:44] - wire _GEN_85 = _T_184 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_88 = _T_184 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 338:131] - wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 333:28] - wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 333:28] - wire _T_187 = nonblock_load_valid_m_delay & _T_168; // @[el2_dec_decode_ctl.scala 343:44] - wire _T_189 = _T_187 & cam_3_valid; // @[el2_dec_decode_ctl.scala 343:100] - wire nonblock_load_write_3 = _T_171 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 352:71] - wire _T_194 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 357:49] - wire nonblock_load_cancel = _T_194 & i0_wen_r; // @[el2_dec_decode_ctl.scala 357:81] - wire _T_195 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 358:95] - wire _T_196 = _T_195 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 358:95] - wire _T_197 = _T_196 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 358:95] - wire _T_199 = io_lsu_nonblock_load_data_valid & _T_197; // @[el2_dec_decode_ctl.scala 358:64] - wire _T_200 = ~nonblock_load_cancel; // @[el2_dec_decode_ctl.scala 358:109] - wire _T_202 = nonblock_load_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:54] - wire _T_203 = _T_202 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 359:66] - wire _T_204 = _T_203 & io_dec_i0_rs1_en_d; // @[el2_dec_decode_ctl.scala 359:97] - wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 625:16] - wire _T_205 = nonblock_load_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:137] - wire _T_206 = _T_205 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 359:149] - wire _T_207 = _T_206 & io_dec_i0_rs2_en_d; // @[el2_dec_decode_ctl.scala 359:180] - wire i0_nonblock_boundary_stall = _T_204 | _T_207; // @[el2_dec_decode_ctl.scala 359:118] - wire [4:0] _T_209 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_210 = _T_209 & cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_211 = io_dec_i0_rs1_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_212 = cam_raw_0_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_213 = _T_211 & _T_212; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_214 = io_dec_i0_rs2_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_215 = cam_raw_0_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_216 = _T_214 & _T_215; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_218 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_219 = _T_218 & cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_220 = io_dec_i0_rs1_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_221 = cam_raw_1_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_222 = _T_220 & _T_221; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_223 = io_dec_i0_rs2_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_224 = cam_raw_1_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_225 = _T_223 & _T_224; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_227 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_228 = _T_227 & cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_229 = io_dec_i0_rs1_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_230 = cam_raw_2_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_231 = _T_229 & _T_230; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_232 = io_dec_i0_rs2_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_233 = cam_raw_2_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_234 = _T_232 & _T_233; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_236 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] - wire [4:0] _T_237 = _T_236 & cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 363:88] - wire _T_238 = io_dec_i0_rs1_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 363:126] - wire _T_239 = cam_raw_3_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 363:159] - wire _T_240 = _T_238 & _T_239; // @[el2_dec_decode_ctl.scala 363:141] - wire _T_241 = io_dec_i0_rs2_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 363:192] - wire _T_242 = cam_raw_3_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 363:225] - wire _T_243 = _T_241 & _T_242; // @[el2_dec_decode_ctl.scala 363:207] - wire [4:0] _T_244 = _T_210 | _T_219; // @[el2_dec_decode_ctl.scala 364:69] - wire [4:0] _T_245 = _T_244 | _T_228; // @[el2_dec_decode_ctl.scala 364:69] - wire _T_246 = _T_213 | _T_222; // @[el2_dec_decode_ctl.scala 364:102] - wire _T_247 = _T_246 | _T_231; // @[el2_dec_decode_ctl.scala 364:102] - wire ld_stall_1 = _T_247 | _T_240; // @[el2_dec_decode_ctl.scala 364:102] - wire _T_248 = _T_216 | _T_225; // @[el2_dec_decode_ctl.scala 364:134] - wire _T_249 = _T_248 | _T_234; // @[el2_dec_decode_ctl.scala 364:134] - wire ld_stall_2 = _T_249 | _T_243; // @[el2_dec_decode_ctl.scala 364:134] - wire _T_250 = ld_stall_1 | ld_stall_2; // @[el2_dec_decode_ctl.scala 366:38] - wire i0_nonblock_load_stall = _T_250 | i0_nonblock_boundary_stall; // @[el2_dec_decode_ctl.scala 366:51] - wire _T_252 = ~i0_predict_br; // @[el2_dec_decode_ctl.scala 375:34] - wire [3:0] _T_254 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 459:36] - wire _T_255 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 387:16] - wire _T_257 = ~csr_read; // @[el2_dec_decode_ctl.scala 388:6] - wire _T_258 = _T_257 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 388:16] - wire _T_260 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 389:18] - wire _T_261 = csr_read & _T_260; // @[el2_dec_decode_ctl.scala 389:16] - wire [3:0] _T_263 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] - wire [3:0] _T_264 = i0_dp_load ? 4'h2 : _T_263; // @[Mux.scala 98:16] - wire [3:0] _T_265 = i0_dp_store ? 4'h3 : _T_264; // @[Mux.scala 98:16] - wire [3:0] _T_266 = i0_dp_pm_alu ? 4'h4 : _T_265; // @[Mux.scala 98:16] - wire [3:0] _T_267 = _T_261 ? 4'h5 : _T_266; // @[Mux.scala 98:16] - wire [3:0] _T_268 = _T_258 ? 4'h6 : _T_267; // @[Mux.scala 98:16] - wire [3:0] _T_269 = _T_255 ? 4'h7 : _T_268; // @[Mux.scala 98:16] - wire [3:0] _T_270 = i0_dp_ebreak ? 4'h8 : _T_269; // @[Mux.scala 98:16] - wire [3:0] _T_271 = i0_dp_ecall ? 4'h9 : _T_270; // @[Mux.scala 98:16] - wire [3:0] _T_272 = i0_dp_fence ? 4'ha : _T_271; // @[Mux.scala 98:16] - wire [3:0] _T_273 = i0_dp_fence_i ? 4'hb : _T_272; // @[Mux.scala 98:16] - wire [3:0] _T_274 = i0_dp_mret ? 4'hc : _T_273; // @[Mux.scala 98:16] - wire [3:0] _T_275 = i0_dp_condbr ? 4'hd : _T_274; // @[Mux.scala 98:16] - wire [3:0] _T_276 = i0_dp_jal ? 4'he : _T_275; // @[Mux.scala 98:16] - reg lsu_idle; // @[el2_dec_decode_ctl.scala 400:45] - wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 424:35] - wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 424:32] - wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 424:52] - wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 424:50] - wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 424:67] - reg _T_339; // @[el2_dec_decode_ctl.scala 436:58] - wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 578:40] - wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 792:43] + reg [4:0] cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_103 = r_d_bits_i0rd == cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_104 = i0_wen_r & _T_103; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_106 = _T_104 & cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_107 = cam_inv_reset_val_0 | _T_106; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_52 = _T_107 ? 1'h0 : cam_0_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_55 = _T_107 ? 1'h0 : cam_raw_0_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_56 = cam_wen[0] | _GEN_52; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_57 = cam_wen[0] ? 1'h0 : _GEN_55; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_110 = nonblock_load_valid_m_delay & _T_91; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_112 = _T_110 & cam_0_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_0 = _T_94 & cam_raw_0_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_117 = _GEN_130 == cam_raw_1_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_118 = io_lsu_nonblock_load_inv_r & _T_117; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_1 = _T_118 & cam_1_valid; // @[el2_dec_decode_ctl.scala 321:87] + reg [4:0] cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_129 = r_d_bits_i0rd == cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_130 = i0_wen_r & _T_129; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_132 = _T_130 & cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_133 = cam_inv_reset_val_1 | _T_132; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_63 = _T_133 ? 1'h0 : cam_1_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_66 = _T_133 ? 1'h0 : cam_raw_1_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_67 = cam_wen[1] | _GEN_63; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_68 = cam_wen[1] ? 1'h0 : _GEN_66; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_136 = nonblock_load_valid_m_delay & _T_117; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_138 = _T_136 & cam_1_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_1 = _T_120 & cam_raw_1_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_143 = _GEN_130 == cam_raw_2_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_144 = io_lsu_nonblock_load_inv_r & _T_143; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_2 = _T_144 & cam_2_valid; // @[el2_dec_decode_ctl.scala 321:87] + reg [4:0] cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_155 = r_d_bits_i0rd == cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_156 = i0_wen_r & _T_155; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_158 = _T_156 & cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_159 = cam_inv_reset_val_2 | _T_158; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_74 = _T_159 ? 1'h0 : cam_2_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_77 = _T_159 ? 1'h0 : cam_raw_2_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_78 = cam_wen[2] | _GEN_74; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_79 = cam_wen[2] ? 1'h0 : _GEN_77; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_162 = nonblock_load_valid_m_delay & _T_143; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_164 = _T_162 & cam_2_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_2 = _T_146 & cam_raw_2_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_169 = _GEN_130 == cam_raw_3_bits_tag; // @[el2_dec_decode_ctl.scala 321:66] + wire _T_170 = io_lsu_nonblock_load_inv_r & _T_169; // @[el2_dec_decode_ctl.scala 321:45] + wire cam_inv_reset_val_3 = _T_170 & cam_3_valid; // @[el2_dec_decode_ctl.scala 321:87] + reg [4:0] cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_181 = r_d_bits_i0rd == cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 334:85] + wire _T_182 = i0_wen_r & _T_181; // @[el2_dec_decode_ctl.scala 334:64] + reg cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 347:47] + wire _T_184 = _T_182 & cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:105] + wire _T_185 = cam_inv_reset_val_3 | _T_184; // @[el2_dec_decode_ctl.scala 334:44] + wire _GEN_85 = _T_185 ? 1'h0 : cam_3_valid; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_88 = _T_185 ? 1'h0 : cam_raw_3_bits_wb; // @[el2_dec_decode_ctl.scala 334:131] + wire _GEN_89 = cam_wen[3] | _GEN_85; // @[el2_dec_decode_ctl.scala 329:28] + wire _GEN_90 = cam_wen[3] ? 1'h0 : _GEN_88; // @[el2_dec_decode_ctl.scala 329:28] + wire _T_188 = nonblock_load_valid_m_delay & _T_169; // @[el2_dec_decode_ctl.scala 339:44] + wire _T_190 = _T_188 & cam_3_valid; // @[el2_dec_decode_ctl.scala 339:100] + wire nonblock_load_write_3 = _T_172 & cam_raw_3_valid; // @[el2_dec_decode_ctl.scala 348:71] + wire _T_195 = r_d_bits_i0rd == io_dec_nonblock_load_waddr; // @[el2_dec_decode_ctl.scala 353:49] + wire nonblock_load_cancel = _T_195 & i0_wen_r; // @[el2_dec_decode_ctl.scala 353:81] + wire _T_196 = nonblock_load_write_0 | nonblock_load_write_1; // @[el2_dec_decode_ctl.scala 354:95] + wire _T_197 = _T_196 | nonblock_load_write_2; // @[el2_dec_decode_ctl.scala 354:95] + wire _T_198 = _T_197 | nonblock_load_write_3; // @[el2_dec_decode_ctl.scala 354:95] + wire _T_200 = io_lsu_nonblock_load_data_valid & _T_198; // @[el2_dec_decode_ctl.scala 354:64] + wire _T_201 = ~nonblock_load_cancel; // @[el2_dec_decode_ctl.scala 354:109] + wire _T_203 = nonblock_load_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 355:54] + wire _T_204 = _T_203 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 355:66] + wire _T_205 = _T_204 & io_dec_i0_rs1_en_d; // @[el2_dec_decode_ctl.scala 355:97] + wire [4:0] i0r_rs2 = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 621:16] + wire _T_206 = nonblock_load_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 355:137] + wire _T_207 = _T_206 & io_lsu_nonblock_load_valid_m; // @[el2_dec_decode_ctl.scala 355:149] + wire _T_208 = _T_207 & io_dec_i0_rs2_en_d; // @[el2_dec_decode_ctl.scala 355:180] + wire i0_nonblock_boundary_stall = _T_205 | _T_208; // @[el2_dec_decode_ctl.scala 355:118] + wire [4:0] _T_210 = nonblock_load_write_0 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_211 = _T_210 & cam_raw_0_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_212 = io_dec_i0_rs1_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_213 = cam_raw_0_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_214 = _T_212 & _T_213; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_215 = io_dec_i0_rs2_en_d & cam_0_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_216 = cam_raw_0_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_217 = _T_215 & _T_216; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_219 = nonblock_load_write_1 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_220 = _T_219 & cam_raw_1_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_221 = io_dec_i0_rs1_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_222 = cam_raw_1_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_223 = _T_221 & _T_222; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_224 = io_dec_i0_rs2_en_d & cam_1_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_225 = cam_raw_1_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_226 = _T_224 & _T_225; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_228 = nonblock_load_write_2 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_229 = _T_228 & cam_raw_2_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_230 = io_dec_i0_rs1_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_231 = cam_raw_2_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_232 = _T_230 & _T_231; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_233 = io_dec_i0_rs2_en_d & cam_2_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_234 = cam_raw_2_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_235 = _T_233 & _T_234; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_237 = nonblock_load_write_3 ? 5'h1f : 5'h0; // @[Bitwise.scala 72:12] + wire [4:0] _T_238 = _T_237 & cam_raw_3_bits_rd; // @[el2_dec_decode_ctl.scala 359:88] + wire _T_239 = io_dec_i0_rs1_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 359:126] + wire _T_240 = cam_raw_3_bits_rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 359:159] + wire _T_241 = _T_239 & _T_240; // @[el2_dec_decode_ctl.scala 359:141] + wire _T_242 = io_dec_i0_rs2_en_d & cam_3_valid; // @[el2_dec_decode_ctl.scala 359:192] + wire _T_243 = cam_raw_3_bits_rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 359:225] + wire _T_244 = _T_242 & _T_243; // @[el2_dec_decode_ctl.scala 359:207] + wire [4:0] _T_245 = _T_211 | _T_220; // @[el2_dec_decode_ctl.scala 360:69] + wire [4:0] _T_246 = _T_245 | _T_229; // @[el2_dec_decode_ctl.scala 360:69] + wire _T_247 = _T_214 | _T_223; // @[el2_dec_decode_ctl.scala 360:102] + wire _T_248 = _T_247 | _T_232; // @[el2_dec_decode_ctl.scala 360:102] + wire ld_stall_1 = _T_248 | _T_241; // @[el2_dec_decode_ctl.scala 360:102] + wire _T_249 = _T_217 | _T_226; // @[el2_dec_decode_ctl.scala 360:134] + wire _T_250 = _T_249 | _T_235; // @[el2_dec_decode_ctl.scala 360:134] + wire ld_stall_2 = _T_250 | _T_244; // @[el2_dec_decode_ctl.scala 360:134] + wire _T_251 = ld_stall_1 | ld_stall_2; // @[el2_dec_decode_ctl.scala 362:38] + wire i0_nonblock_load_stall = _T_251 | i0_nonblock_boundary_stall; // @[el2_dec_decode_ctl.scala 362:51] + wire _T_253 = ~i0_predict_br; // @[el2_dec_decode_ctl.scala 371:34] + wire [3:0] _T_255 = i0_legal_decode_d ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire csr_read = i0_dp_csr_read & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 455:36] + wire _T_256 = csr_read & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 383:16] + wire _T_258 = ~csr_read; // @[el2_dec_decode_ctl.scala 384:6] + wire _T_259 = _T_258 & io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 384:16] + wire _T_261 = ~io_dec_csr_wen_unq_d; // @[el2_dec_decode_ctl.scala 385:18] + wire _T_262 = csr_read & _T_261; // @[el2_dec_decode_ctl.scala 385:16] + wire [3:0] _T_264 = i0_dp_mul ? 4'h1 : 4'h0; // @[Mux.scala 98:16] + wire [3:0] _T_265 = i0_dp_load ? 4'h2 : _T_264; // @[Mux.scala 98:16] + wire [3:0] _T_266 = i0_dp_store ? 4'h3 : _T_265; // @[Mux.scala 98:16] + wire [3:0] _T_267 = i0_dp_pm_alu ? 4'h4 : _T_266; // @[Mux.scala 98:16] + wire [3:0] _T_268 = _T_262 ? 4'h5 : _T_267; // @[Mux.scala 98:16] + wire [3:0] _T_269 = _T_259 ? 4'h6 : _T_268; // @[Mux.scala 98:16] + wire [3:0] _T_270 = _T_256 ? 4'h7 : _T_269; // @[Mux.scala 98:16] + wire [3:0] _T_271 = i0_dp_ebreak ? 4'h8 : _T_270; // @[Mux.scala 98:16] + wire [3:0] _T_272 = i0_dp_ecall ? 4'h9 : _T_271; // @[Mux.scala 98:16] + wire [3:0] _T_273 = i0_dp_fence ? 4'ha : _T_272; // @[Mux.scala 98:16] + wire [3:0] _T_274 = i0_dp_fence_i ? 4'hb : _T_273; // @[Mux.scala 98:16] + wire [3:0] _T_275 = i0_dp_mret ? 4'hc : _T_274; // @[Mux.scala 98:16] + wire [3:0] _T_276 = i0_dp_condbr ? 4'hd : _T_275; // @[Mux.scala 98:16] + wire [3:0] _T_277 = i0_dp_jal ? 4'he : _T_276; // @[Mux.scala 98:16] + reg lsu_idle; // @[el2_dec_decode_ctl.scala 396:45] + wire _T_333 = ~i0_pcall_case; // @[el2_dec_decode_ctl.scala 420:35] + wire _T_334 = i0_dp_jal & _T_333; // @[el2_dec_decode_ctl.scala 420:32] + wire _T_335 = ~i0_pja_case; // @[el2_dec_decode_ctl.scala 420:52] + wire _T_336 = _T_334 & _T_335; // @[el2_dec_decode_ctl.scala 420:50] + wire _T_337 = ~i0_pret_case; // @[el2_dec_decode_ctl.scala 420:67] + reg _T_339; // @[el2_dec_decode_ctl.scala 432:58] + wire lsu_decode_d = i0_legal_decode_d & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 574:40] + wire _T_902 = i0_dp_load | i0_dp_store; // @[el2_dec_decode_ctl.scala 788:43] reg x_d_bits_i0v; // @[el2_lib.scala 524:16] - wire _T_876 = io_dec_i0_rs1_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 772:48] - wire _T_877 = x_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 772:80] - wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 772:63] - wire _T_878 = io_dec_i0_rs1_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 773:48] - wire _T_879 = r_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 773:80] - wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 773:63] - wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 779:63] - wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 779:24] - wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 792:58] + wire _T_876 = io_dec_i0_rs1_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 768:48] + wire _T_877 = x_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 768:80] + wire i0_rs1_depend_i0_x = _T_876 & _T_877; // @[el2_dec_decode_ctl.scala 768:63] + wire _T_878 = io_dec_i0_rs1_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 769:48] + wire _T_879 = r_d_bits_i0rd == i0r_rs1; // @[el2_dec_decode_ctl.scala 769:80] + wire i0_rs1_depend_i0_r = _T_878 & _T_879; // @[el2_dec_decode_ctl.scala 769:63] + wire [1:0] _T_891 = i0_rs1_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 775:63] + wire [1:0] i0_rs1_depth_d = i0_rs1_depend_i0_x ? 2'h1 : _T_891; // @[el2_dec_decode_ctl.scala 775:24] + wire _T_904 = _T_902 & i0_rs1_depth_d[0]; // @[el2_dec_decode_ctl.scala 788:58] reg i0_x_c_load; // @[Reg.scala 15:16] reg i0_r_c_load; // @[Reg.scala 15:16] - wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 778:61] - wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 778:24] - wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 792:78] - wire _T_880 = io_dec_i0_rs2_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 775:48] - wire _T_881 = x_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 775:80] - wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 775:63] - wire _T_882 = io_dec_i0_rs2_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 776:48] - wire _T_883 = r_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 776:80] - wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 776:63] - wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 781:63] - wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 781:24] - wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 793:43] - wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 780:61] - wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 780:24] - wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 793:63] - wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 467:42] + wire _T_887_load = i0_rs1_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 774:61] + wire i0_rs1_class_d_load = i0_rs1_depend_i0_x ? i0_x_c_load : _T_887_load; // @[el2_dec_decode_ctl.scala 774:24] + wire load_ldst_bypass_d = _T_904 & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 788:78] + wire _T_880 = io_dec_i0_rs2_en_d & x_d_bits_i0v; // @[el2_dec_decode_ctl.scala 771:48] + wire _T_881 = x_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 771:80] + wire i0_rs2_depend_i0_x = _T_880 & _T_881; // @[el2_dec_decode_ctl.scala 771:63] + wire _T_882 = io_dec_i0_rs2_en_d & r_d_bits_i0v; // @[el2_dec_decode_ctl.scala 772:48] + wire _T_883 = r_d_bits_i0rd == i0r_rs2; // @[el2_dec_decode_ctl.scala 772:80] + wire i0_rs2_depend_i0_r = _T_882 & _T_883; // @[el2_dec_decode_ctl.scala 772:63] + wire [1:0] _T_900 = i0_rs2_depend_i0_r ? 2'h2 : 2'h0; // @[el2_dec_decode_ctl.scala 777:63] + wire [1:0] i0_rs2_depth_d = i0_rs2_depend_i0_x ? 2'h1 : _T_900; // @[el2_dec_decode_ctl.scala 777:24] + wire _T_907 = i0_dp_store & i0_rs2_depth_d[0]; // @[el2_dec_decode_ctl.scala 789:43] + wire _T_896_load = i0_rs2_depend_i0_r & i0_r_c_load; // @[el2_dec_decode_ctl.scala 776:61] + wire i0_rs2_class_d_load = i0_rs2_depend_i0_x ? i0_x_c_load : _T_896_load; // @[el2_dec_decode_ctl.scala 776:24] + wire store_data_bypass_d = _T_907 & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 789:63] + wire _T_349 = i0_dp_csr_clr | i0_dp_csr_set; // @[el2_dec_decode_ctl.scala 463:42] reg r_d_bits_csrwen; // @[el2_lib.scala 524:16] reg r_d_valid; // @[el2_lib.scala 524:16] - wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[el2_dec_decode_ctl.scala 475:39] + wire _T_352 = r_d_bits_csrwen & r_d_valid; // @[el2_dec_decode_ctl.scala 471:39] reg [11:0] r_d_bits_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 478:50] - wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 478:85] - wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 478:64] - wire _T_358 = _T_357 & r_d_bits_csrwen; // @[el2_dec_decode_ctl.scala 478:100] - wire _T_359 = _T_358 & r_d_valid; // @[el2_dec_decode_ctl.scala 478:118] - wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 478:132] - reg csr_read_x; // @[el2_dec_decode_ctl.scala 480:52] - reg csr_clr_x; // @[el2_dec_decode_ctl.scala 481:51] - reg csr_set_x; // @[el2_dec_decode_ctl.scala 482:51] - reg csr_write_x; // @[el2_dec_decode_ctl.scala 483:53] - reg csr_imm_x; // @[el2_dec_decode_ctl.scala 484:51] - wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 661:50] + wire _T_355 = r_d_bits_csrwaddr == 12'h300; // @[el2_dec_decode_ctl.scala 474:50] + wire _T_356 = r_d_bits_csrwaddr == 12'h304; // @[el2_dec_decode_ctl.scala 474:85] + wire _T_357 = _T_355 | _T_356; // @[el2_dec_decode_ctl.scala 474:64] + wire _T_358 = _T_357 & r_d_bits_csrwen; // @[el2_dec_decode_ctl.scala 474:100] + wire _T_359 = _T_358 & r_d_valid; // @[el2_dec_decode_ctl.scala 474:118] + wire _T_360 = ~io_dec_tlu_i0_kill_writeb_wb; // @[el2_dec_decode_ctl.scala 474:132] + reg csr_read_x; // @[el2_dec_decode_ctl.scala 476:52] + reg csr_clr_x; // @[el2_dec_decode_ctl.scala 477:51] + reg csr_set_x; // @[el2_dec_decode_ctl.scala 478:51] + reg csr_write_x; // @[el2_dec_decode_ctl.scala 479:53] + reg csr_imm_x; // @[el2_dec_decode_ctl.scala 480:51] + wire i0_x_data_en = i0_pipe_en[3] | io_clk_override; // @[el2_dec_decode_ctl.scala 657:50] reg [4:0] csrimm_x; // @[el2_lib.scala 514:16] reg [31:0] csr_rddata_x; // @[el2_lib.scala 514:16] wire [31:0] _T_394 = {27'h0,csrimm_x}; // @[Cat.scala 29:58] - wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 492:5] + wire _T_396 = ~csr_imm_x; // @[el2_dec_decode_ctl.scala 488:5] wire [31:0] _T_397 = csr_imm_x ? _T_394 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_398 = _T_396 ? io_exu_csr_rs1_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] csr_mask_x = _T_397 | _T_398; // @[Mux.scala 27:72] - wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 495:38] - wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 495:35] - wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 496:35] + wire [31:0] _T_400 = ~csr_mask_x; // @[el2_dec_decode_ctl.scala 491:38] + wire [31:0] _T_401 = csr_rddata_x & _T_400; // @[el2_dec_decode_ctl.scala 491:35] + wire [31:0] _T_402 = csr_rddata_x | csr_mask_x; // @[el2_dec_decode_ctl.scala 492:35] wire [31:0] _T_403 = csr_clr_x ? _T_401 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_404 = csr_set_x ? _T_402 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_405 = csr_write_x ? csr_mask_x : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_406 = _T_403 | _T_404; // @[Mux.scala 27:72] wire [31:0] write_csr_data_x = _T_406 | _T_405; // @[Mux.scala 27:72] - wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 506:44] - wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 506:64] - wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 506:61] - wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 509:59] - wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 511:34] - wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 511:46] - wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 511:61] - wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 511:75] + wire _T_418 = ~tlu_wr_pause_r1; // @[el2_dec_decode_ctl.scala 502:44] + wire _T_419 = ~tlu_wr_pause_r2; // @[el2_dec_decode_ctl.scala 502:64] + wire _T_420 = _T_418 & _T_419; // @[el2_dec_decode_ctl.scala 502:61] + wire [31:0] _T_423 = write_csr_data - 32'h1; // @[el2_dec_decode_ctl.scala 505:59] + wire _T_425 = csr_clr_x | csr_set_x; // @[el2_dec_decode_ctl.scala 507:34] + wire _T_426 = _T_425 | csr_write_x; // @[el2_dec_decode_ctl.scala 507:46] + wire _T_427 = _T_426 & csr_read_x; // @[el2_dec_decode_ctl.scala 507:61] + wire _T_428 = _T_427 | io_dec_tlu_wr_pause_r; // @[el2_dec_decode_ctl.scala 507:75] reg r_d_bits_csrwonly; // @[el2_lib.scala 524:16] - wire _T_764 = r_d_bits_i0v & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 714:42] + wire _T_764 = r_d_bits_i0v & r_d_bits_i0load; // @[el2_dec_decode_ctl.scala 710:42] reg [31:0] i0_result_r_raw; // @[el2_lib.scala 514:16] - wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 714:27] + wire [31:0] i0_result_corr_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 710:27] reg x_d_bits_csrwonly; // @[el2_lib.scala 524:16] - wire _T_432 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[el2_dec_decode_ctl.scala 520:43] + wire _T_432 = x_d_bits_csrwonly | r_d_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:43] reg wbd_bits_csrwonly; // @[el2_lib.scala 524:16] - wire prior_csr_write = _T_432 | wbd_bits_csrwonly; // @[el2_dec_decode_ctl.scala 520:63] - wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 523:48] - wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 524:40] - wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 527:34] - wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 527:57] - wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 527:73] - wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 527:91] + wire prior_csr_write = _T_432 | wbd_bits_csrwonly; // @[el2_dec_decode_ctl.scala 516:63] + wire debug_fence_raw = io_dec_debug_fence_d & io_dbg_cmd_wrdata[1]; // @[el2_dec_decode_ctl.scala 519:48] + wire debug_fence = debug_fence_raw | debug_fence_i; // @[el2_dec_decode_ctl.scala 520:40] + wire _T_436 = i0_dp_presync | io_dec_tlu_presync_d; // @[el2_dec_decode_ctl.scala 523:34] + wire _T_437 = _T_436 | debug_fence_i; // @[el2_dec_decode_ctl.scala 523:57] + wire _T_438 = _T_437 | debug_fence_raw; // @[el2_dec_decode_ctl.scala 523:73] + wire i0_presync = _T_438 | io_dec_tlu_pipelining_disable; // @[el2_dec_decode_ctl.scala 523:91] wire [31:0] _T_462 = {16'h0,io_ifu_i0_cinst}; // @[Cat.scala 29:58] - wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 539:44] + wire _T_464 = ~illegal_lockout; // @[el2_dec_decode_ctl.scala 535:44] reg [31:0] _T_465; // @[el2_lib.scala 514:16] - wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 543:42] - wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 545:40] - wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 545:59] - wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 545:81] - wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 545:95] - wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 546:20] - wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 546:45] - wire prior_inflight = x_d_valid | r_d_valid; // @[el2_dec_decode_ctl.scala 568:41] - wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[el2_dec_decode_ctl.scala 569:31] - wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 571:37] - wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 546:62] - wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 547:19] - wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 547:36] - wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 547:34] - wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 546:79] - wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 547:47] - wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 742:49] - wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 742:88] - wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 742:69] - wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 743:25] - wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 743:64] - wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 743:45] - wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 742:102] - wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 548:21] - wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 548:45] - wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 550:65] - wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 550:39] - wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 551:63] - wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 551:38] - wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 552:38] - wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 552:57] - wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 556:46] - wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 556:44] - wire _T_490 = _T_488 & _T_279; // @[el2_dec_decode_ctl.scala 556:61] - wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 557:46] - wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 557:44] - wire _T_496 = _T_494 & _T_279; // @[el2_dec_decode_ctl.scala 557:61] - wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 557:89] - wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 558:46] - wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 562:51] - wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 590:44] + wire i0_div_prior_div_stall = i0_dp_div & io_dec_div_active; // @[el2_dec_decode_ctl.scala 539:42] + wire _T_470 = i0_dp_csr_read & prior_csr_write; // @[el2_dec_decode_ctl.scala 541:40] + wire _T_471 = _T_470 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 541:59] + wire _T_472 = _T_471 | pause_stall; // @[el2_dec_decode_ctl.scala 541:81] + wire _T_473 = _T_472 | leak1_i0_stall; // @[el2_dec_decode_ctl.scala 541:95] + wire _T_474 = _T_473 | io_dec_tlu_debug_stall; // @[el2_dec_decode_ctl.scala 542:20] + wire _T_475 = _T_474 | postsync_stall; // @[el2_dec_decode_ctl.scala 542:45] + wire prior_inflight = x_d_valid | r_d_valid; // @[el2_dec_decode_ctl.scala 564:41] + wire prior_inflight_eff = i0_dp_div ? x_d_valid : prior_inflight; // @[el2_dec_decode_ctl.scala 565:31] + wire presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 567:37] + wire _T_476 = _T_475 | presync_stall; // @[el2_dec_decode_ctl.scala 542:62] + wire _T_477 = i0_dp_fence | debug_fence; // @[el2_dec_decode_ctl.scala 543:19] + wire _T_478 = ~lsu_idle; // @[el2_dec_decode_ctl.scala 543:36] + wire _T_479 = _T_477 & _T_478; // @[el2_dec_decode_ctl.scala 543:34] + wire _T_480 = _T_476 | _T_479; // @[el2_dec_decode_ctl.scala 542:79] + wire _T_481 = _T_480 | i0_nonblock_load_stall; // @[el2_dec_decode_ctl.scala 543:47] + wire _T_822 = io_dec_i0_rs1_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 738:49] + wire _T_823 = io_div_waddr_wb == i0r_rs1; // @[el2_dec_decode_ctl.scala 738:88] + wire _T_824 = _T_822 & _T_823; // @[el2_dec_decode_ctl.scala 738:69] + wire _T_825 = io_dec_i0_rs2_en_d & io_dec_div_active; // @[el2_dec_decode_ctl.scala 739:25] + wire _T_826 = io_div_waddr_wb == i0r_rs2; // @[el2_dec_decode_ctl.scala 739:64] + wire _T_827 = _T_825 & _T_826; // @[el2_dec_decode_ctl.scala 739:45] + wire i0_nonblock_div_stall = _T_824 | _T_827; // @[el2_dec_decode_ctl.scala 738:102] + wire _T_483 = _T_481 | i0_nonblock_div_stall; // @[el2_dec_decode_ctl.scala 544:21] + wire i0_block_raw_d = _T_483 | i0_div_prior_div_stall; // @[el2_dec_decode_ctl.scala 544:45] + wire _T_484 = io_lsu_store_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 546:65] + wire i0_store_stall_d = i0_dp_store & _T_484; // @[el2_dec_decode_ctl.scala 546:39] + wire _T_485 = io_lsu_load_stall_any | io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 547:63] + wire i0_load_stall_d = i0_dp_load & _T_485; // @[el2_dec_decode_ctl.scala 547:38] + wire _T_486 = i0_block_raw_d | i0_store_stall_d; // @[el2_dec_decode_ctl.scala 548:38] + wire i0_block_d = _T_486 | i0_load_stall_d; // @[el2_dec_decode_ctl.scala 548:57] + wire _T_487 = ~i0_block_d; // @[el2_dec_decode_ctl.scala 552:46] + wire _T_488 = io_dec_ib0_valid_d & _T_487; // @[el2_dec_decode_ctl.scala 552:44] + wire _T_490 = _T_488 & _T_280; // @[el2_dec_decode_ctl.scala 552:61] + wire _T_493 = ~i0_block_raw_d; // @[el2_dec_decode_ctl.scala 553:46] + wire _T_494 = io_dec_ib0_valid_d & _T_493; // @[el2_dec_decode_ctl.scala 553:44] + wire _T_496 = _T_494 & _T_280; // @[el2_dec_decode_ctl.scala 553:61] + wire i0_exudecode_d = _T_496 & _T_467; // @[el2_dec_decode_ctl.scala 553:89] + wire i0_exulegal_decode_d = i0_exudecode_d & i0_legal; // @[el2_dec_decode_ctl.scala 554:46] + wire _T_498 = ~io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 558:51] + wire _T_517 = i0_dp_fence_i | debug_fence_i; // @[el2_dec_decode_ctl.scala 586:44] wire [3:0] _T_522 = {io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d,io_dec_i0_decode_d}; // @[Cat.scala 29:58] - wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 658:49] - wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 658:53] + wire _T_704 = |i0_pipe_en[3:2]; // @[el2_dec_decode_ctl.scala 654:49] + wire i0_x_ctl_en = _T_704 | io_clk_override; // @[el2_dec_decode_ctl.scala 654:53] reg x_t_legal; // @[el2_lib.scala 524:16] reg x_t_icaf; // @[el2_lib.scala 524:16] reg x_t_icaf_f1; // @[el2_lib.scala 524:16] @@ -1698,7 +1698,7 @@ module el2_dec_decode_ctl( reg [3:0] x_t_pmu_i0_itype; // @[el2_lib.scala 524:16] reg x_t_pmu_i0_br_unpred; // @[el2_lib.scala 524:16] wire [3:0] _T_530 = {io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb,io_dec_tlu_flush_lower_wb}; // @[Cat.scala 29:58] - wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 603:39] + wire [3:0] _T_531 = ~_T_530; // @[el2_dec_decode_ctl.scala 599:39] reg r_t_legal; // @[el2_lib.scala 524:16] reg r_t_icaf; // @[el2_lib.scala 524:16] reg r_t_icaf_f1; // @[el2_lib.scala 524:16] @@ -1707,22 +1707,22 @@ module el2_dec_decode_ctl( reg [3:0] r_t_i0trigger; // @[el2_lib.scala 524:16] reg [3:0] r_t_pmu_i0_itype; // @[el2_lib.scala 524:16] reg r_t_pmu_i0_br_unpred; // @[el2_lib.scala 524:16] - reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 606:36] - reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 607:37] + reg [3:0] lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 602:36] + reg lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 603:37] reg r_d_bits_i0store; // @[el2_lib.scala 524:16] - wire _T_536 = r_d_bits_i0load | r_d_bits_i0store; // @[el2_dec_decode_ctl.scala 611:61] + wire _T_536 = r_d_bits_i0load | r_d_bits_i0store; // @[el2_dec_decode_ctl.scala 607:61] wire [3:0] _T_540 = {_T_536,_T_536,_T_536,_T_536}; // @[Cat.scala 29:58] - wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 611:82] - wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 611:105] + wire [3:0] _T_541 = _T_540 & lsu_trigger_match_r; // @[el2_dec_decode_ctl.scala 607:82] + wire [3:0] _T_542 = _T_541 | r_t_i0trigger; // @[el2_dec_decode_ctl.scala 607:105] reg r_d_bits_i0div; // @[el2_lib.scala 524:16] - wire _T_545 = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 617:58] - wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 628:49] - wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 629:49] - wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 630:48] - wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 630:37] - wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 634:38] - wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 635:27] - wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 635:38] + wire _T_545 = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 613:58] + wire _T_556 = i0r_rs1 != 5'h0; // @[el2_dec_decode_ctl.scala 624:49] + wire _T_558 = i0r_rs2 != 5'h0; // @[el2_dec_decode_ctl.scala 625:49] + wire _T_560 = i0r_rd != 5'h0; // @[el2_dec_decode_ctl.scala 626:48] + wire i0_rd_en_d = i0_dp_rd & _T_560; // @[el2_dec_decode_ctl.scala 626:37] + wire i0_jalimm20 = i0_dp_jal & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 630:38] + wire _T_561 = ~i0_dp_jal; // @[el2_dec_decode_ctl.scala 631:27] + wire i0_uiimm20 = _T_561 & i0_dp_imm20; // @[el2_dec_decode_ctl.scala 631:38] wire [31:0] _T_563 = i0_dp_csr_read ? io_dec_csr_rddata_d : 32'h0; // @[Mux.scala 27:72] wire [9:0] _T_577 = {io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] wire [18:0] _T_586 = {_T_577,io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31],io_dec_i0_instr_d[31]}; // @[Cat.scala 29:58] @@ -1737,55 +1737,55 @@ module el2_dec_decode_ctl( wire [31:0] _T_652 = {io_dec_i0_instr_d[31:12],12'h0}; // @[Cat.scala 29:58] wire [31:0] _T_687 = i0_uiimm20 ? _T_652 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_691 = _T_690 | _T_687; // @[Mux.scala 27:72] - wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 646:26] + wire _T_653 = i0_csr_write_only_d & i0_dp_csr_imm; // @[el2_dec_decode_ctl.scala 642:26] wire [31:0] _T_683 = {27'h0,i0r_rs1}; // @[Cat.scala 29:58] wire [31:0] _T_688 = _T_653 ? _T_683 : 32'h0; // @[Mux.scala 27:72] wire [31:0] i0_immed_d = _T_691 | _T_688; // @[Mux.scala 27:72] wire [31:0] _T_564 = _T_347 ? i0_immed_d : 32'h0; // @[Mux.scala 27:72] - wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 650:44] - wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 651:44] - wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 652:44] + wire i0_d_c_mul = i0_dp_mul & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 646:44] + wire i0_d_c_load = i0_dp_load & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 647:44] + wire i0_d_c_alu = i0_dp_alu & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 648:44] reg i0_x_c_mul; // @[Reg.scala 15:16] reg i0_x_c_alu; // @[Reg.scala 15:16] reg i0_r_c_mul; // @[Reg.scala 15:16] reg i0_r_c_alu; // @[Reg.scala 15:16] - wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 660:49] - wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 662:50] + wire _T_710 = |i0_pipe_en[1:0]; // @[el2_dec_decode_ctl.scala 656:49] + wire i0_r_data_en = i0_pipe_en[2] | io_clk_override; // @[el2_dec_decode_ctl.scala 658:50] reg x_d_bits_i0store; // @[el2_lib.scala 524:16] reg x_d_bits_i0div; // @[el2_lib.scala 524:16] reg x_d_bits_csrwen; // @[el2_lib.scala 524:16] reg [11:0] x_d_bits_csrwaddr; // @[el2_lib.scala 524:16] - wire _T_733 = x_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 684:47] - wire _T_737 = x_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 685:33] - wire _T_756 = ~r_d_bits_i0div; // @[el2_dec_decode_ctl.scala 700:49] - wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 700:47] - wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 700:70] - wire _T_761 = x_d_bits_i0v & x_d_bits_i0load; // @[el2_dec_decode_ctl.scala 709:47] - wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 715:52] + wire _T_733 = x_d_bits_i0v & _T_743; // @[el2_dec_decode_ctl.scala 680:47] + wire _T_737 = x_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 681:33] + wire _T_756 = ~r_d_bits_i0div; // @[el2_dec_decode_ctl.scala 696:49] + wire _T_757 = i0_wen_r & _T_756; // @[el2_dec_decode_ctl.scala 696:47] + wire _T_758 = ~i0_load_kill_wen_r; // @[el2_dec_decode_ctl.scala 696:70] + wire _T_761 = x_d_bits_i0v & x_d_bits_i0load; // @[el2_dec_decode_ctl.scala 705:47] + wire _T_768 = io_i0_ap_predict_nt & _T_561; // @[el2_dec_decode_ctl.scala 711:52] wire [11:0] _T_781 = {10'h0,io_dec_i0_pc4_d,i0_ap_pc2}; // @[Cat.scala 29:58] reg [11:0] last_br_immed_x; // @[el2_lib.scala 514:16] - wire _T_799 = x_d_bits_i0div & x_d_valid; // @[el2_dec_decode_ctl.scala 723:45] - wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 723:58] - wire _T_802 = x_d_bits_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 725:77] - wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 725:60] - wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 726:33] - wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 725:94] - wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 727:33] - wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 727:60] - wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 726:62] - wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 731:51] - wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 732:26] - wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 732:24] - wire _T_813 = r_d_bits_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 732:56] - wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 732:39] - wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 732:77] - wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 731:65] - wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 735:55] - wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 737:62] - wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 737:60] - wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 737:81] - wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 737:79] - reg _T_821; // @[el2_dec_decode_ctl.scala 739:54] + wire _T_799 = x_d_bits_i0div & x_d_valid; // @[el2_dec_decode_ctl.scala 719:45] + wire div_e1_to_r = _T_799 | _T_545; // @[el2_dec_decode_ctl.scala 719:58] + wire _T_802 = x_d_bits_i0rd == 5'h0; // @[el2_dec_decode_ctl.scala 721:77] + wire _T_803 = _T_799 & _T_802; // @[el2_dec_decode_ctl.scala 721:60] + wire _T_805 = _T_799 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 722:33] + wire _T_806 = _T_803 | _T_805; // @[el2_dec_decode_ctl.scala 721:94] + wire _T_808 = _T_545 & io_dec_tlu_flush_lower_r; // @[el2_dec_decode_ctl.scala 723:33] + wire _T_809 = _T_808 & io_dec_tlu_i0_kill_writeb_r; // @[el2_dec_decode_ctl.scala 723:60] + wire div_flush = _T_806 | _T_809; // @[el2_dec_decode_ctl.scala 722:62] + wire _T_810 = io_dec_div_active & div_flush; // @[el2_dec_decode_ctl.scala 727:51] + wire _T_811 = ~div_e1_to_r; // @[el2_dec_decode_ctl.scala 728:26] + wire _T_812 = io_dec_div_active & _T_811; // @[el2_dec_decode_ctl.scala 728:24] + wire _T_813 = r_d_bits_i0rd == io_div_waddr_wb; // @[el2_dec_decode_ctl.scala 728:56] + wire _T_814 = _T_812 & _T_813; // @[el2_dec_decode_ctl.scala 728:39] + wire _T_815 = _T_814 & i0_wen_r; // @[el2_dec_decode_ctl.scala 728:77] + wire nonblock_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 727:65] + wire i0_div_decode_d = i0_legal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 731:55] + wire _T_817 = ~io_exu_div_wren; // @[el2_dec_decode_ctl.scala 733:62] + wire _T_818 = io_dec_div_active & _T_817; // @[el2_dec_decode_ctl.scala 733:60] + wire _T_819 = ~nonblock_div_cancel; // @[el2_dec_decode_ctl.scala 733:81] + wire _T_820 = _T_818 & _T_819; // @[el2_dec_decode_ctl.scala 733:79] + reg _T_821; // @[el2_dec_decode_ctl.scala 735:54] reg [4:0] _T_830; // @[Reg.scala 27:20] reg [31:0] i0_inst_x; // @[el2_lib.scala 514:16] reg [31:0] i0_inst_r; // @[el2_lib.scala 514:16] @@ -1810,75 +1810,75 @@ module el2_dec_decode_ctl( wire [18:0] _T_870 = _T_867 | _T_868; // @[Mux.scala 27:72] wire [18:0] _T_871 = _T_870 | _T_869; // @[Mux.scala 27:72] wire [31:0] temp_pred_correct_npc_x = {_T_871,_T_846[11:0],1'h0}; // @[Cat.scala 29:58] - wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 778:61] - wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 778:61] - wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 778:24] - wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 778:24] - wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 780:61] - wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 780:61] - wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 780:24] - wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 780:24] - wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 798:62] - wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 798:119] - wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 798:89] - wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 800:62] - wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 800:119] - wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 800:89] - wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 803:66] - wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 803:45] - wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 803:108] - wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 803:196] - wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 803:153] + wire _T_887_mul = i0_rs1_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 774:61] + wire _T_887_alu = i0_rs1_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 774:61] + wire i0_rs1_class_d_mul = i0_rs1_depend_i0_x ? i0_x_c_mul : _T_887_mul; // @[el2_dec_decode_ctl.scala 774:24] + wire i0_rs1_class_d_alu = i0_rs1_depend_i0_x ? i0_x_c_alu : _T_887_alu; // @[el2_dec_decode_ctl.scala 774:24] + wire _T_896_mul = i0_rs2_depend_i0_r & i0_r_c_mul; // @[el2_dec_decode_ctl.scala 776:61] + wire _T_896_alu = i0_rs2_depend_i0_r & i0_r_c_alu; // @[el2_dec_decode_ctl.scala 776:61] + wire i0_rs2_class_d_mul = i0_rs2_depend_i0_x ? i0_x_c_mul : _T_896_mul; // @[el2_dec_decode_ctl.scala 776:24] + wire i0_rs2_class_d_alu = i0_rs2_depend_i0_x ? i0_x_c_alu : _T_896_alu; // @[el2_dec_decode_ctl.scala 776:24] + wire _T_909 = io_dec_i0_rs1_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 794:62] + wire _T_910 = io_dec_nonblock_load_waddr == i0r_rs1; // @[el2_dec_decode_ctl.scala 794:119] + wire i0_rs1_nonblock_load_bypass_en_d = _T_909 & _T_910; // @[el2_dec_decode_ctl.scala 794:89] + wire _T_911 = io_dec_i0_rs2_en_d & io_dec_nonblock_load_wen; // @[el2_dec_decode_ctl.scala 796:62] + wire _T_912 = io_dec_nonblock_load_waddr == i0r_rs2; // @[el2_dec_decode_ctl.scala 796:119] + wire i0_rs2_nonblock_load_bypass_en_d = _T_911 & _T_912; // @[el2_dec_decode_ctl.scala 796:89] + wire _T_914 = i0_rs1_class_d_alu | i0_rs1_class_d_mul; // @[el2_dec_decode_ctl.scala 799:66] + wire _T_915 = i0_rs1_depth_d[0] & _T_914; // @[el2_dec_decode_ctl.scala 799:45] + wire _T_917 = i0_rs1_depth_d[0] & i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 799:108] + wire _T_920 = _T_914 | i0_rs1_class_d_load; // @[el2_dec_decode_ctl.scala 799:196] + wire _T_921 = i0_rs1_depth_d[1] & _T_920; // @[el2_dec_decode_ctl.scala 799:153] wire [2:0] i0_rs1bypass = {_T_915,_T_917,_T_921}; // @[Cat.scala 29:58] - wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 805:67] - wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 805:45] - wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 805:109] - wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 805:196] - wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 805:153] + wire _T_925 = i0_rs2_class_d_alu | i0_rs2_class_d_mul; // @[el2_dec_decode_ctl.scala 801:67] + wire _T_926 = i0_rs2_depth_d[0] & _T_925; // @[el2_dec_decode_ctl.scala 801:45] + wire _T_928 = i0_rs2_depth_d[0] & i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 801:109] + wire _T_931 = _T_925 | i0_rs2_class_d_load; // @[el2_dec_decode_ctl.scala 801:196] + wire _T_932 = i0_rs2_depth_d[1] & _T_931; // @[el2_dec_decode_ctl.scala 801:153] wire [2:0] i0_rs2bypass = {_T_926,_T_928,_T_932}; // @[Cat.scala 29:58] - wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 807:75] - wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 807:96] - wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 807:113] - wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 807:93] - wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 808:75] - wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 808:96] - wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 808:113] - wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 808:93] - wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 813:6] - wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 813:25] - wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 813:23] - wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 813:42] + wire _T_938 = i0_rs1bypass[1] | i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 803:75] + wire _T_940 = ~i0_rs1bypass[2]; // @[el2_dec_decode_ctl.scala 803:96] + wire _T_941 = _T_940 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 803:113] + wire _T_942 = _T_938 | _T_941; // @[el2_dec_decode_ctl.scala 803:93] + wire _T_947 = i0_rs2bypass[1] | i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 804:75] + wire _T_949 = ~i0_rs2bypass[2]; // @[el2_dec_decode_ctl.scala 804:96] + wire _T_950 = _T_949 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 804:113] + wire _T_951 = _T_947 | _T_950; // @[el2_dec_decode_ctl.scala 804:93] + wire _T_958 = ~i0_rs1bypass[1]; // @[el2_dec_decode_ctl.scala 810:6] + wire _T_960 = ~i0_rs1bypass[0]; // @[el2_dec_decode_ctl.scala 810:25] + wire _T_961 = _T_958 & _T_960; // @[el2_dec_decode_ctl.scala 810:23] + wire _T_962 = _T_961 & i0_rs1_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 810:42] wire [31:0] _T_964 = i0_rs1bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_965 = i0_rs1bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_966 = _T_962 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_967 = _T_964 | _T_965; // @[Mux.scala 27:72] - wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 818:6] - wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 818:25] - wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 818:23] - wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 818:42] + wire _T_975 = ~i0_rs2bypass[1]; // @[el2_dec_decode_ctl.scala 815:6] + wire _T_977 = ~i0_rs2bypass[0]; // @[el2_dec_decode_ctl.scala 815:25] + wire _T_978 = _T_975 & _T_977; // @[el2_dec_decode_ctl.scala 815:23] + wire _T_979 = _T_978 & i0_rs2_nonblock_load_bypass_en_d; // @[el2_dec_decode_ctl.scala 815:42] wire [31:0] _T_981 = i0_rs2bypass[1] ? io_lsu_result_m : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_982 = i0_rs2bypass[0] ? i0_result_r_raw : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_983 = _T_979 ? io_lsu_nonblock_load_data : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_984 = _T_981 | _T_982; // @[Mux.scala 27:72] - wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 820:68] - wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 820:50] - wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 820:89] - wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 820:87] - wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 820:112] - wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 822:6] - wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 822:27] - wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 822:39] - wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 823:39] + wire _T_987 = i0_dp_raw_load | i0_dp_raw_store; // @[el2_dec_decode_ctl.scala 817:68] + wire _T_988 = io_dec_ib0_valid_d & _T_987; // @[el2_dec_decode_ctl.scala 817:50] + wire _T_989 = ~io_dma_dccm_stall_any; // @[el2_dec_decode_ctl.scala 817:89] + wire _T_990 = _T_988 & _T_989; // @[el2_dec_decode_ctl.scala 817:87] + wire _T_992 = _T_990 & _T_493; // @[el2_dec_decode_ctl.scala 817:112] + wire _T_994 = ~io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 819:6] + wire _T_995 = _T_994 & i0_dp_lsu; // @[el2_dec_decode_ctl.scala 819:27] + wire _T_996 = _T_995 & i0_dp_load; // @[el2_dec_decode_ctl.scala 819:39] + wire _T_1001 = _T_995 & i0_dp_store; // @[el2_dec_decode_ctl.scala 820:39] wire [11:0] _T_1005 = {io_dec_i0_instr_d[31:25],i0r_rd}; // @[Cat.scala 29:58] wire [11:0] _T_1006 = _T_996 ? io_dec_i0_instr_d[31:20] : 12'h0; // @[Mux.scala 27:72] wire [11:0] _T_1007 = _T_1001 ? _T_1005 : 12'h0; // @[Mux.scala 27:72] - rvclkhdr data_gated_cgc ( // @[el2_dec_decode_ctl.scala 222:29] - .io_l1clk(data_gated_cgc_io_l1clk), - .io_clk(data_gated_cgc_io_clk), - .io_en(data_gated_cgc_io_en), - .io_scan_mode(data_gated_cgc_io_scan_mode) + rvclkhdr rvclkhdr ( // @[el2_lib.scala 483:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) ); - el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 396:22] + el2_dec_dec_ctl i0_dec ( // @[el2_dec_decode_ctl.scala 392:22] .io_ins(i0_dec_io_ins), .io_out_alu(i0_dec_io_out_alu), .io_out_rs1(i0_dec_io_out_rs1), @@ -1931,12 +1931,6 @@ module el2_dec_decode_ctl( .io_out_pm_alu(i0_dec_io_out_pm_alu), .io_out_legal(i0_dec_io_out_legal) ); - rvclkhdr rvclkhdr ( // @[el2_lib.scala 508:23] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); rvclkhdr rvclkhdr_1 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_1_io_l1clk), .io_clk(rvclkhdr_1_io_clk), @@ -1955,7 +1949,7 @@ module el2_dec_decode_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 518:23] + rvclkhdr rvclkhdr_4 ( // @[el2_lib.scala 508:23] .io_l1clk(rvclkhdr_4_io_l1clk), .io_clk(rvclkhdr_4_io_clk), .io_en(rvclkhdr_4_io_en), @@ -1985,7 +1979,7 @@ module el2_dec_decode_ctl( .io_en(rvclkhdr_8_io_en), .io_scan_mode(rvclkhdr_8_io_scan_mode) ); - rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 508:23] + rvclkhdr rvclkhdr_9 ( // @[el2_lib.scala 518:23] .io_l1clk(rvclkhdr_9_io_l1clk), .io_clk(rvclkhdr_9_io_clk), .io_en(rvclkhdr_9_io_en), @@ -2045,61 +2039,67 @@ module el2_dec_decode_ctl( .io_en(rvclkhdr_18_io_en), .io_scan_mode(rvclkhdr_18_io_scan_mode) ); - assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 436:23] - assign io_dec_i0_inst_wb1 = _T_837; // @[el2_dec_decode_ctl.scala 757:22] - assign io_dec_i0_pc_wb1 = _T_840; // @[el2_dec_decode_ctl.scala 760:20] - assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 628:24] - assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 629:24] - assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 631:19] - assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 632:19] - assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 637:21] - assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 715:24] - assign io_i0_ap_land = _T_40 ? 1'h0 : i0_dp_raw_land; // @[el2_dec_decode_ctl.scala 289:20] - assign io_i0_ap_lor = _T_40 | i0_dp_raw_lor; // @[el2_dec_decode_ctl.scala 290:20] - assign io_i0_ap_lxor = _T_40 ? 1'h0 : i0_dp_raw_lxor; // @[el2_dec_decode_ctl.scala 291:20] - assign io_i0_ap_sll = _T_40 ? 1'h0 : i0_dp_raw_sll; // @[el2_dec_decode_ctl.scala 292:20] - assign io_i0_ap_srl = _T_40 ? 1'h0 : i0_dp_raw_srl; // @[el2_dec_decode_ctl.scala 293:20] - assign io_i0_ap_sra = _T_40 ? 1'h0 : i0_dp_raw_sra; // @[el2_dec_decode_ctl.scala 294:20] - assign io_i0_ap_beq = _T_40 ? 1'h0 : i0_dp_raw_beq; // @[el2_dec_decode_ctl.scala 297:20] - assign io_i0_ap_bne = _T_40 ? 1'h0 : i0_dp_raw_bne; // @[el2_dec_decode_ctl.scala 298:20] - assign io_i0_ap_blt = _T_40 ? 1'h0 : i0_dp_raw_blt; // @[el2_dec_decode_ctl.scala 299:20] - assign io_i0_ap_bge = _T_40 ? 1'h0 : i0_dp_raw_bge; // @[el2_dec_decode_ctl.scala 300:20] - assign io_i0_ap_add = _T_40 ? 1'h0 : i0_dp_raw_add; // @[el2_dec_decode_ctl.scala 287:20] - assign io_i0_ap_sub = _T_40 ? 1'h0 : i0_dp_raw_sub; // @[el2_dec_decode_ctl.scala 288:20] - assign io_i0_ap_slt = _T_40 ? 1'h0 : i0_dp_raw_slt; // @[el2_dec_decode_ctl.scala 295:20] - assign io_i0_ap_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 296:20] - assign io_i0_ap_jal = _T_336 & _T_337; // @[el2_dec_decode_ctl.scala 303:22] - assign io_i0_ap_predict_t = _T_46 & i0_predict_br; // @[el2_dec_decode_ctl.scala 285:26] - assign io_i0_ap_predict_nt = _T_47 & i0_predict_br; // @[el2_dec_decode_ctl.scala 284:26] - assign io_i0_ap_csr_write = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 301:22] - assign io_i0_ap_csr_imm = _T_40 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 302:22] - assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 556:22 el2_dec_decode_ctl.scala 622:22] - assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 576:26] - assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 810:31] - assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 815:31] - assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[el2_dec_decode_ctl.scala 698:27] - assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 700:32] - assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 701:26] - assign io_dec_i0_select_pc_d = _T_40 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 275:25] - assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 807:34] - assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 808:34] - assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 443:24 el2_dec_decode_ctl.scala 445:35] - assign io_lsu_p_bits_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 442:29] - assign io_lsu_p_bits_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 448:40] - assign io_lsu_p_bits_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 449:40] - assign io_lsu_p_bits_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 441:29 el2_dec_decode_ctl.scala 450:40] - assign io_lsu_p_bits_dword = 1'h0; // @[el2_dec_decode_ctl.scala 438:12] - assign io_lsu_p_bits_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 440:29 el2_dec_decode_ctl.scala 446:40] - assign io_lsu_p_bits_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 447:40] - assign io_lsu_p_bits_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 454:40] - assign io_lsu_p_bits_dma = 1'h0; // @[el2_dec_decode_ctl.scala 438:12] - assign io_lsu_p_bits_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 452:40] - assign io_lsu_p_bits_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 451:40] - assign io_lsu_p_bits_store_data_bypass_m = 1'h0; // @[el2_dec_decode_ctl.scala 438:12 el2_dec_decode_ctl.scala 453:40] - assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 431:21] - assign io_mul_p_bits_rs1_sign = _T_40 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 432:26] - assign io_mul_p_bits_rs2_sign = _T_40 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 433:26] - assign io_mul_p_bits_low = _T_40 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 434:26] + rvclkhdr rvclkhdr_19 ( // @[el2_lib.scala 508:23] + .io_l1clk(rvclkhdr_19_io_l1clk), + .io_clk(rvclkhdr_19_io_clk), + .io_en(rvclkhdr_19_io_en), + .io_scan_mode(rvclkhdr_19_io_scan_mode) + ); + assign io_dec_extint_stall = _T_339; // @[el2_dec_decode_ctl.scala 432:23] + assign io_dec_i0_inst_wb1 = _T_837; // @[el2_dec_decode_ctl.scala 753:22] + assign io_dec_i0_pc_wb1 = _T_840; // @[el2_dec_decode_ctl.scala 756:20] + assign io_dec_i0_rs1_en_d = i0_dp_rs1 & _T_556; // @[el2_dec_decode_ctl.scala 624:24] + assign io_dec_i0_rs2_en_d = i0_dp_rs2 & _T_558; // @[el2_dec_decode_ctl.scala 625:24] + assign io_dec_i0_rs1_d = io_dec_i0_instr_d[19:15]; // @[el2_dec_decode_ctl.scala 627:19] + assign io_dec_i0_rs2_d = io_dec_i0_instr_d[24:20]; // @[el2_dec_decode_ctl.scala 628:19] + assign io_dec_i0_immed_d = _T_563 | _T_564; // @[el2_dec_decode_ctl.scala 633:21] + assign io_dec_i0_br_immed_d = _T_768 ? i0_br_offset : _T_781; // @[el2_dec_decode_ctl.scala 711:24] + assign io_i0_ap_land = _T_41 ? 1'h0 : i0_dp_raw_land; // @[el2_dec_decode_ctl.scala 285:20] + assign io_i0_ap_lor = _T_41 | i0_dp_raw_lor; // @[el2_dec_decode_ctl.scala 286:20] + assign io_i0_ap_lxor = _T_41 ? 1'h0 : i0_dp_raw_lxor; // @[el2_dec_decode_ctl.scala 287:20] + assign io_i0_ap_sll = _T_41 ? 1'h0 : i0_dp_raw_sll; // @[el2_dec_decode_ctl.scala 288:20] + assign io_i0_ap_srl = _T_41 ? 1'h0 : i0_dp_raw_srl; // @[el2_dec_decode_ctl.scala 289:20] + assign io_i0_ap_sra = _T_41 ? 1'h0 : i0_dp_raw_sra; // @[el2_dec_decode_ctl.scala 290:20] + assign io_i0_ap_beq = _T_41 ? 1'h0 : i0_dp_raw_beq; // @[el2_dec_decode_ctl.scala 293:20] + assign io_i0_ap_bne = _T_41 ? 1'h0 : i0_dp_raw_bne; // @[el2_dec_decode_ctl.scala 294:20] + assign io_i0_ap_blt = _T_41 ? 1'h0 : i0_dp_raw_blt; // @[el2_dec_decode_ctl.scala 295:20] + assign io_i0_ap_bge = _T_41 ? 1'h0 : i0_dp_raw_bge; // @[el2_dec_decode_ctl.scala 296:20] + assign io_i0_ap_add = _T_41 ? 1'h0 : i0_dp_raw_add; // @[el2_dec_decode_ctl.scala 283:20] + assign io_i0_ap_sub = _T_41 ? 1'h0 : i0_dp_raw_sub; // @[el2_dec_decode_ctl.scala 284:20] + assign io_i0_ap_slt = _T_41 ? 1'h0 : i0_dp_raw_slt; // @[el2_dec_decode_ctl.scala 291:20] + assign io_i0_ap_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 292:20] + assign io_i0_ap_jal = _T_336 & _T_337; // @[el2_dec_decode_ctl.scala 299:22] + assign io_i0_ap_predict_t = _T_47 & i0_predict_br; // @[el2_dec_decode_ctl.scala 281:26] + assign io_i0_ap_predict_nt = _T_48 & i0_predict_br; // @[el2_dec_decode_ctl.scala 280:26] + assign io_i0_ap_csr_write = i0_csr_write & _T_347; // @[el2_dec_decode_ctl.scala 297:22] + assign io_i0_ap_csr_imm = _T_41 ? 1'h0 : i0_dp_raw_csr_imm; // @[el2_dec_decode_ctl.scala 298:22] + assign io_dec_i0_decode_d = _T_490 & _T_467; // @[el2_dec_decode_ctl.scala 552:22 el2_dec_decode_ctl.scala 618:22] + assign io_dec_i0_alu_decode_d = i0_exulegal_decode_d & i0_dp_alu; // @[el2_dec_decode_ctl.scala 572:26] + assign io_dec_i0_rs1_bypass_data_d = _T_967 | _T_966; // @[el2_dec_decode_ctl.scala 807:31] + assign io_dec_i0_rs2_bypass_data_d = _T_984 | _T_983; // @[el2_dec_decode_ctl.scala 812:31] + assign io_dec_i0_waddr_r = r_d_bits_i0rd; // @[el2_dec_decode_ctl.scala 694:27] + assign io_dec_i0_wen_r = _T_757 & _T_758; // @[el2_dec_decode_ctl.scala 696:32] + assign io_dec_i0_wdata_r = _T_764 ? io_lsu_result_corr_r : i0_result_r_raw; // @[el2_dec_decode_ctl.scala 697:26] + assign io_dec_i0_select_pc_d = _T_41 ? 1'h0 : i0_dp_raw_pc; // @[el2_dec_decode_ctl.scala 271:25] + assign io_dec_i0_rs1_bypass_en_d = {i0_rs1bypass[2],_T_942}; // @[el2_dec_decode_ctl.scala 803:34] + assign io_dec_i0_rs2_bypass_en_d = {i0_rs2bypass[2],_T_951}; // @[el2_dec_decode_ctl.scala 804:34] + assign io_lsu_p_valid = io_dec_extint_stall | lsu_decode_d; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 439:24 el2_dec_decode_ctl.scala 441:35] + assign io_lsu_p_bits_fast_int = io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 438:29] + assign io_lsu_p_bits_by = io_dec_extint_stall ? 1'h0 : i0_dp_by; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 444:40] + assign io_lsu_p_bits_half = io_dec_extint_stall ? 1'h0 : i0_dp_half; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 445:40] + assign io_lsu_p_bits_word = io_dec_extint_stall | i0_dp_word; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 437:29 el2_dec_decode_ctl.scala 446:40] + assign io_lsu_p_bits_dword = 1'h0; // @[el2_dec_decode_ctl.scala 434:12] + assign io_lsu_p_bits_load = io_dec_extint_stall | i0_dp_load; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 436:29 el2_dec_decode_ctl.scala 442:40] + assign io_lsu_p_bits_store = io_dec_extint_stall ? 1'h0 : i0_dp_store; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 443:40] + assign io_lsu_p_bits_unsign = io_dec_extint_stall ? 1'h0 : i0_dp_unsign; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 450:40] + assign io_lsu_p_bits_dma = 1'h0; // @[el2_dec_decode_ctl.scala 434:12] + assign io_lsu_p_bits_store_data_bypass_d = io_dec_extint_stall ? 1'h0 : store_data_bypass_d; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 448:40] + assign io_lsu_p_bits_load_ldst_bypass_d = io_dec_extint_stall ? 1'h0 : load_ldst_bypass_d; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 447:40] + assign io_lsu_p_bits_store_data_bypass_m = 1'h0; // @[el2_dec_decode_ctl.scala 434:12 el2_dec_decode_ctl.scala 449:40] + assign io_mul_p_valid = i0_exulegal_decode_d & i0_dp_mul; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 427:21] + assign io_mul_p_bits_rs1_sign = _T_41 ? 1'h0 : i0_dp_raw_rs1_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 428:26] + assign io_mul_p_bits_rs2_sign = _T_41 ? 1'h0 : i0_dp_raw_rs2_sign; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 429:26] + assign io_mul_p_bits_low = _T_41 ? 1'h0 : i0_dp_raw_low; // @[el2_dec_decode_ctl.scala 126:12 el2_dec_decode_ctl.scala 430:26] assign io_mul_p_bits_bext = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_bits_bdep = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_bits_clmul = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] @@ -2115,82 +2115,79 @@ module el2_dec_decode_ctl( assign io_mul_p_bits_crc32c_h = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_bits_crc32c_w = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] assign io_mul_p_bits_bfp = 1'h0; // @[el2_dec_decode_ctl.scala 126:12] - assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 427:21] - assign io_div_p_bits_unsign = _T_40 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 428:26] - assign io_div_p_bits_rem = _T_40 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 429:26] - assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 745:19] - assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 734:29] - assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 820:26] - assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 821:23] - assign io_dec_csr_ren_d = _T_40 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 458:21] - assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 467:24] - assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 533:24] - assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 470:24] - assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 475:20] - assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[el2_dec_decode_ctl.scala 471:23] - assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 518:24] - assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 478:27] - assign io_dec_tlu_i0_valid_r = r_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 582:29] - assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 616:39 el2_dec_decode_ctl.scala 617:39] - assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 616:39] - assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 763:27] - assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 540:23] - assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 768:25] - assign io_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 240:38] - assign io_dec_i0_predict_p_d_bits_misp = 1'h0; // @[el2_dec_decode_ctl.scala 231:43] - assign io_dec_i0_predict_p_d_bits_ataken = 1'h0; // @[el2_dec_decode_ctl.scala 232:43] - assign io_dec_i0_predict_p_d_bits_boffset = 1'h0; // @[el2_dec_decode_ctl.scala 233:43] - assign io_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 238:43] - assign io_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[el2_dec_decode_ctl.scala 239:43] - assign io_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[12:1] : _T_323; // @[el2_dec_decode_ctl.scala 252:49] - assign io_dec_i0_predict_p_d_bits_br_error = _T_32 & _T_17; // @[el2_dec_decode_ctl.scala 247:56] - assign io_dec_i0_predict_p_d_bits_br_start_error = _T_35 & _T_17; // @[el2_dec_decode_ctl.scala 248:56] - assign io_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[el2_dec_decode_ctl.scala 237:43] - assign io_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 234:43] - assign io_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 236:43] - assign io_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 235:43] - assign io_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[el2_dec_decode_ctl.scala 254:56] - assign io_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[el2_dec_decode_ctl.scala 253:32] - assign io_i0_predict_index_d = io_dec_i0_bp_index; // @[el2_dec_decode_ctl.scala 249:32] - assign io_i0_predict_btag_d = io_dec_i0_bp_btag; // @[el2_dec_decode_ctl.scala 250:32] - assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 666:27] - assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 667:27] - assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 561:28] - assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 562:27] - assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 564:29] - assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 563:29] - assign io_dec_nonblock_load_wen = _T_199 & _T_200; // @[el2_dec_decode_ctl.scala 358:28] - assign io_dec_nonblock_load_waddr = _T_245 | _T_237; // @[el2_dec_decode_ctl.scala 355:29 el2_dec_decode_ctl.scala 365:29] - assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 502:22] - assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 506:25] - assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 739:21] - assign data_gated_cgc_io_clk = clock; // @[el2_dec_decode_ctl.scala 225:31] - assign data_gated_cgc_io_en = _T_15 | _T_16; // @[el2_dec_decode_ctl.scala 223:31] - assign data_gated_cgc_io_scan_mode = io_scan_mode; // @[el2_dec_decode_ctl.scala 224:31] - assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 397:16] - assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign io_div_p_valid = i0_exulegal_decode_d & i0_dp_div; // @[el2_dec_decode_ctl.scala 423:21] + assign io_div_p_bits_unsign = _T_41 ? 1'h0 : i0_dp_raw_unsign; // @[el2_dec_decode_ctl.scala 424:26] + assign io_div_p_bits_rem = _T_41 ? 1'h0 : i0_dp_raw_rem; // @[el2_dec_decode_ctl.scala 425:26] + assign io_div_waddr_wb = _T_830; // @[el2_dec_decode_ctl.scala 741:19] + assign io_dec_div_cancel = _T_810 | _T_815; // @[el2_dec_decode_ctl.scala 730:29] + assign io_dec_lsu_valid_raw_d = _T_992 | io_dec_extint_stall; // @[el2_dec_decode_ctl.scala 817:26] + assign io_dec_lsu_offset_d = _T_1006 | _T_1007; // @[el2_dec_decode_ctl.scala 818:23] + assign io_dec_csr_ren_d = _T_41 ? 1'h0 : i0_dp_raw_csr_read; // @[el2_dec_decode_ctl.scala 454:21] + assign io_dec_csr_wen_unq_d = _T_349 | i0_csr_write; // @[el2_dec_decode_ctl.scala 463:24] + assign io_dec_csr_any_unq_d = i0_dp_csr_read | i0_csr_write; // @[el2_dec_decode_ctl.scala 529:24] + assign io_dec_csr_rdaddr_d = io_dec_i0_instr_d[31:20]; // @[el2_dec_decode_ctl.scala 466:24] + assign io_dec_csr_wen_r = _T_352 & _T_754; // @[el2_dec_decode_ctl.scala 471:20] + assign io_dec_csr_wraddr_r = r_d_bits_csrwaddr; // @[el2_dec_decode_ctl.scala 467:23] + assign io_dec_csr_wrdata_r = r_d_bits_csrwonly ? i0_result_corr_r : write_csr_data; // @[el2_dec_decode_ctl.scala 514:24] + assign io_dec_csr_stall_int_ff = _T_359 & _T_360; // @[el2_dec_decode_ctl.scala 474:27] + assign io_dec_tlu_i0_valid_r = r_d_valid & _T_743; // @[el2_dec_decode_ctl.scala 578:29] + assign io_dec_tlu_packet_r_legal = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_legal; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_icaf = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_icaf_f1 = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_icaf_f1; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_icaf_type = io_dec_tlu_flush_lower_wb ? 2'h0 : r_t_icaf_type; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_fence_i = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_fence_i; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_i0trigger = io_dec_tlu_flush_lower_wb ? 4'h0 : _T_542; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_flush_lower_wb ? 4'h0 : r_t_pmu_i0_itype; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_flush_lower_wb ? 1'h0 : r_t_pmu_i0_br_unpred; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_packet_r_pmu_divide = r_d_bits_i0div & r_d_valid; // @[el2_dec_decode_ctl.scala 612:39 el2_dec_decode_ctl.scala 613:39] + assign io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_flush_lower_wb ? 1'h0 : lsu_pmu_misaligned_r; // @[el2_dec_decode_ctl.scala 612:39] + assign io_dec_tlu_i0_pc_r = dec_i0_pc_r; // @[el2_dec_decode_ctl.scala 759:27] + assign io_dec_illegal_inst = _T_465; // @[el2_dec_decode_ctl.scala 536:23] + assign io_pred_correct_npc_x = temp_pred_correct_npc_x[31:1]; // @[el2_dec_decode_ctl.scala 764:25] + assign io_dec_i0_predict_p_d_valid = i0_brp_valid & i0_legal_decode_d; // @[el2_dec_decode_ctl.scala 236:38] + assign io_dec_i0_predict_p_d_bits_misp = 1'h0; // @[el2_dec_decode_ctl.scala 227:43] + assign io_dec_i0_predict_p_d_bits_ataken = 1'h0; // @[el2_dec_decode_ctl.scala 228:43] + assign io_dec_i0_predict_p_d_bits_boffset = 1'h0; // @[el2_dec_decode_ctl.scala 229:43] + assign io_dec_i0_predict_p_d_bits_pc4 = io_dec_i0_pc4_d; // @[el2_dec_decode_ctl.scala 234:43] + assign io_dec_i0_predict_p_d_bits_hist = io_dec_i0_brp_bits_hist; // @[el2_dec_decode_ctl.scala 235:43] + assign io_dec_i0_predict_p_d_bits_toffset = _T_314 ? i0_pcall_imm[11:0] : _T_323; // @[el2_dec_decode_ctl.scala 248:49] + assign io_dec_i0_predict_p_d_bits_br_error = _T_33 & _T_18; // @[el2_dec_decode_ctl.scala 243:56] + assign io_dec_i0_predict_p_d_bits_br_start_error = _T_36 & _T_18; // @[el2_dec_decode_ctl.scala 244:56] + assign io_dec_i0_predict_p_d_bits_prett = io_dec_i0_brp_bits_prett; // @[el2_dec_decode_ctl.scala 233:43] + assign io_dec_i0_predict_p_d_bits_pcall = i0_dp_jal & i0_pcall_case; // @[el2_dec_decode_ctl.scala 230:43] + assign io_dec_i0_predict_p_d_bits_pret = i0_dp_jal & i0_pret_case; // @[el2_dec_decode_ctl.scala 232:43] + assign io_dec_i0_predict_p_d_bits_pja = i0_dp_jal & i0_pja_case; // @[el2_dec_decode_ctl.scala 231:43] + assign io_dec_i0_predict_p_d_bits_way = io_dec_i0_brp_bits_way; // @[el2_dec_decode_ctl.scala 250:56] + assign io_i0_predict_fghr_d = io_dec_i0_bp_fghr; // @[el2_dec_decode_ctl.scala 249:32] + assign io_i0_predict_index_d = io_dec_i0_bp_index; // @[el2_dec_decode_ctl.scala 245:32] + assign io_i0_predict_btag_d = io_dec_i0_bp_btag; // @[el2_dec_decode_ctl.scala 246:32] + assign io_dec_data_en = {i0_x_data_en,i0_r_data_en}; // @[el2_dec_decode_ctl.scala 662:27] + assign io_dec_ctl_en = {i0_x_ctl_en,i0_r_ctl_en}; // @[el2_dec_decode_ctl.scala 663:27] + assign io_dec_pmu_instr_decoded = io_dec_i0_decode_d; // @[el2_dec_decode_ctl.scala 557:28] + assign io_dec_pmu_decode_stall = io_dec_ib0_valid_d & _T_498; // @[el2_dec_decode_ctl.scala 558:27] + assign io_dec_pmu_presync_stall = i0_presync & prior_inflight_eff; // @[el2_dec_decode_ctl.scala 560:29] + assign io_dec_pmu_postsync_stall = postsync_stall; // @[el2_dec_decode_ctl.scala 559:29] + assign io_dec_nonblock_load_wen = _T_200 & _T_201; // @[el2_dec_decode_ctl.scala 354:28] + assign io_dec_nonblock_load_waddr = _T_246 | _T_238; // @[el2_dec_decode_ctl.scala 351:29 el2_dec_decode_ctl.scala 361:29] + assign io_dec_pause_state = pause_stall; // @[el2_dec_decode_ctl.scala 498:22] + assign io_dec_pause_state_cg = pause_stall & _T_420; // @[el2_dec_decode_ctl.scala 502:25] + assign io_dec_div_active = _T_821; // @[el2_dec_decode_ctl.scala 735:21] + assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 484:17] + assign rvclkhdr_io_en = _T_15 | _T_16; // @[el2_lib.scala 485:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 486:23] + assign i0_dec_io_ins = io_dec_i0_instr_d; // @[el2_dec_decode_ctl.scala 393:16] assign rvclkhdr_1_io_clk = clock; // @[el2_lib.scala 510:18] assign rvclkhdr_1_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_2_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_2_io_en = _T_428 | pause_stall; // @[el2_lib.scala 511:17] + assign rvclkhdr_2_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_3_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_3_io_en = shift_illegal & _T_464; // @[el2_lib.scala 511:17] + assign rvclkhdr_3_io_en = _T_428 | pause_stall; // @[el2_lib.scala 511:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] - assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 520:18] - assign rvclkhdr_4_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] + assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 510:18] + assign rvclkhdr_4_io_en = shift_illegal & _T_464; // @[el2_lib.scala 511:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 520:18] assign rvclkhdr_5_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] @@ -2198,41 +2195,44 @@ module el2_dec_decode_ctl( assign rvclkhdr_6_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 520:18] - assign rvclkhdr_7_io_en = _T_707 | io_clk_override; // @[el2_lib.scala 521:17] + assign rvclkhdr_7_io_en = _T_704 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 520:18] - assign rvclkhdr_8_io_en = _T_710 | io_clk_override; // @[el2_lib.scala 521:17] + assign rvclkhdr_8_io_en = _T_707 | io_clk_override; // @[el2_lib.scala 521:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] - assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_9_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] - assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 520:18] + assign rvclkhdr_9_io_en = _T_710 | io_clk_override; // @[el2_lib.scala 521:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 522:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_10_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_10_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_11_io_en = i0_legal_decode_d & i0_dp_div; // @[el2_lib.scala 511:17] + assign rvclkhdr_11_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_12_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_12_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_12_io_en = i0_legal_decode_d & i0_dp_div; // @[el2_lib.scala 511:17] assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_13_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_13_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_13_io_en = i0_pipe_en[3] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_14_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_14_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_14_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_15_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_15_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_15_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_16_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_16_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_16_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_17_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_17_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_17_io_en = i0_pipe_en[1] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] assign rvclkhdr_18_io_clk = clock; // @[el2_lib.scala 510:18] - assign rvclkhdr_18_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_18_io_en = i0_pipe_en[0] | io_clk_override; // @[el2_lib.scala 511:17] assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] + assign rvclkhdr_19_io_clk = clock; // @[el2_lib.scala 510:18] + assign rvclkhdr_19_io_en = i0_pipe_en[2] | io_clk_override; // @[el2_lib.scala 511:17] + assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[el2_lib.scala 512:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -2732,42 +2732,42 @@ end // initial i0_r_c_alu <= i0_x_c_alu; end end - always @(posedge clock or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin tlu_wr_pause_r1 <= 1'h0; end else begin tlu_wr_pause_r1 <= io_dec_tlu_wr_pause_r; end end - always @(posedge clock or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin tlu_wr_pause_r2 <= 1'h0; end else begin tlu_wr_pause_r2 <= tlu_wr_pause_r1; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin leak1_i1_stall <= 1'h0; end else begin - leak1_i1_stall <= io_dec_tlu_flush_leak_one_r | _T_280; + leak1_i1_stall <= io_dec_tlu_flush_leak_one_r | _T_281; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin leak1_i0_stall <= 1'h0; end else begin - leak1_i0_stall <= _T_283 | _T_285; + leak1_i0_stall <= _T_284 | _T_286; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin pause_stall <= 1'h0; end else begin pause_stall <= _T_412 & _T_413; end end - always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin if (reset) begin write_csr_data <= 32'h0; end else if (pause_stall) begin @@ -2778,28 +2778,28 @@ end // initial write_csr_data <= write_csr_data_x; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin postsync_stall <= 1'h0; end else begin postsync_stall <= _T_506 | _T_507; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_valid <= 1'h0; end else begin x_d_valid <= io_dec_i0_decode_d; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin flush_final_r <= 1'h0; end else begin flush_final_r <= io_exu_flush_final; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin illegal_lockout <= 1'h0; end else begin @@ -2811,7 +2811,7 @@ end // initial cam_raw_0_bits_tag <= 3'h0; end else if (cam_wen[0]) begin cam_raw_0_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_106) begin + end else if (_T_107) begin cam_raw_0_bits_tag <= 3'h0; end end @@ -2829,7 +2829,7 @@ end // initial cam_raw_1_bits_tag <= 3'h0; end else if (cam_wen[1]) begin cam_raw_1_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_132) begin + end else if (_T_133) begin cam_raw_1_bits_tag <= 3'h0; end end @@ -2847,7 +2847,7 @@ end // initial cam_raw_2_bits_tag <= 3'h0; end else if (cam_wen[2]) begin cam_raw_2_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_158) begin + end else if (_T_159) begin cam_raw_2_bits_tag <= 3'h0; end end @@ -2865,7 +2865,7 @@ end // initial cam_raw_3_bits_tag <= 3'h0; end else if (cam_wen[3]) begin cam_raw_3_bits_tag <= {{1'd0}, io_lsu_nonblock_load_tag_m}; - end else if (_T_184) begin + end else if (_T_185) begin cam_raw_3_bits_tag <= 3'h0; end end @@ -2878,14 +2878,14 @@ end // initial cam_raw_3_valid <= _GEN_89; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0load <= 1'h0; end else begin x_d_bits_i0load <= i0_dp_load & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0rd <= 5'h0; end else begin @@ -2906,21 +2906,21 @@ end // initial nonblock_load_valid_m_delay <= io_lsu_nonblock_load_valid_m; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0load <= 1'h0; end else begin r_d_bits_i0load <= x_d_bits_i0load; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0v <= 1'h0; end else begin - r_d_bits_i0v <= _T_733 & _T_279; + r_d_bits_i0v <= _T_733 & _T_280; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0rd <= 5'h0; end else begin @@ -2936,7 +2936,7 @@ end // initial end else begin cam_raw_0_bits_rd <= 5'h0; end - end else if (_T_106) begin + end else if (_T_107) begin cam_raw_0_bits_rd <= 5'h0; end end @@ -2944,7 +2944,7 @@ end // initial if (reset) begin cam_raw_0_bits_wb <= 1'h0; end else begin - cam_raw_0_bits_wb <= _T_111 | _GEN_57; + cam_raw_0_bits_wb <= _T_112 | _GEN_57; end end always @(posedge io_free_clk or posedge reset) begin @@ -2956,7 +2956,7 @@ end // initial end else begin cam_raw_1_bits_rd <= 5'h0; end - end else if (_T_132) begin + end else if (_T_133) begin cam_raw_1_bits_rd <= 5'h0; end end @@ -2964,7 +2964,7 @@ end // initial if (reset) begin cam_raw_1_bits_wb <= 1'h0; end else begin - cam_raw_1_bits_wb <= _T_137 | _GEN_68; + cam_raw_1_bits_wb <= _T_138 | _GEN_68; end end always @(posedge io_free_clk or posedge reset) begin @@ -2976,7 +2976,7 @@ end // initial end else begin cam_raw_2_bits_rd <= 5'h0; end - end else if (_T_158) begin + end else if (_T_159) begin cam_raw_2_bits_rd <= 5'h0; end end @@ -2984,7 +2984,7 @@ end // initial if (reset) begin cam_raw_2_bits_wb <= 1'h0; end else begin - cam_raw_2_bits_wb <= _T_163 | _GEN_79; + cam_raw_2_bits_wb <= _T_164 | _GEN_79; end end always @(posedge io_free_clk or posedge reset) begin @@ -2996,7 +2996,7 @@ end // initial end else begin cam_raw_3_bits_rd <= 5'h0; end - end else if (_T_184) begin + end else if (_T_185) begin cam_raw_3_bits_rd <= 5'h0; end end @@ -3004,7 +3004,7 @@ end // initial if (reset) begin cam_raw_3_bits_wb <= 1'h0; end else begin - cam_raw_3_bits_wb <= _T_189 | _GEN_90; + cam_raw_3_bits_wb <= _T_190 | _GEN_90; end end always @(posedge io_active_clk or posedge reset) begin @@ -3014,35 +3014,35 @@ end // initial lsu_idle <= io_lsu_idle_any; end end - always @(posedge data_gated_cgc_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin if (reset) begin _T_339 <= 1'h0; end else begin _T_339 <= io_dec_tlu_flush_extint; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0v <= 1'h0; end else begin x_d_bits_i0v <= i0_rd_en_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwen <= 1'h0; end else begin r_d_bits_csrwen <= x_d_bits_csrwen; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_valid <= 1'h0; end else begin - r_d_valid <= _T_737 & _T_279; + r_d_valid <= _T_737 & _T_280; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwaddr <= 12'h0; end else begin @@ -3080,34 +3080,34 @@ end // initial always @(posedge io_active_clk or posedge reset) begin if (reset) begin csr_imm_x <= 1'h0; - end else if (_T_40) begin + end else if (_T_41) begin csr_imm_x <= 1'h0; end else begin csr_imm_x <= i0_dp_raw_csr_imm; end end - always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin if (reset) begin csrimm_x <= 5'h0; end else begin csrimm_x <= io_dec_i0_instr_d[19:15]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin if (reset) begin csr_rddata_x <= 32'h0; end else begin csr_rddata_x <= io_dec_csr_rddata_d; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_csrwonly <= 1'h0; end else begin r_d_bits_csrwonly <= x_d_bits_csrwonly; end end - always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin i0_result_r_raw <= 32'h0; end else if (_T_761) begin @@ -3116,21 +3116,21 @@ end // initial i0_result_r_raw <= io_exu_i0_result_x; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_csrwonly <= 1'h0; end else begin x_d_bits_csrwonly <= i0_csr_write_only_d & io_dec_i0_decode_d; end end - always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin wbd_bits_csrwonly <= 1'h0; end else begin wbd_bits_csrwonly <= r_d_bits_csrwonly; end end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin if (reset) begin _T_465 <= 32'h0; end else if (io_dec_i0_pc4_d) begin @@ -3139,112 +3139,112 @@ end // initial _T_465 <= _T_462; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_legal <= 1'h0; end else begin x_t_legal <= io_dec_i0_decode_d & i0_legal; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_icaf <= 1'h0; end else begin x_t_icaf <= i0_icaf_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_icaf_f1 <= 1'h0; end else begin x_t_icaf_f1 <= io_dec_i0_icaf_f1_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_icaf_type <= 2'h0; end else begin x_t_icaf_type <= io_dec_i0_icaf_type_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_fence_i <= 1'h0; end else begin x_t_fence_i <= _T_517 & i0_legal_decode_d; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_i0trigger <= 4'h0; end else begin x_t_i0trigger <= io_dec_i0_trigger_match_d & _T_522; end end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin if (reset) begin x_t_pmu_i0_itype <= 4'h0; end else begin - x_t_pmu_i0_itype <= _T_254 & _T_276; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - x_t_pmu_i0_br_unpred <= 1'h0; - end else begin - x_t_pmu_i0_br_unpred <= i0_dp_jal & _T_252; + x_t_pmu_i0_itype <= _T_255 & _T_277; end end always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + x_t_pmu_i0_br_unpred <= 1'h0; + end else begin + x_t_pmu_i0_br_unpred <= i0_dp_jal & _T_253; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_legal <= 1'h0; end else begin r_t_legal <= x_t_legal; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_icaf <= 1'h0; end else begin r_t_icaf <= x_t_icaf; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_icaf_f1 <= 1'h0; end else begin r_t_icaf_f1 <= x_t_icaf_f1; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_icaf_type <= 2'h0; end else begin r_t_icaf_type <= x_t_icaf_type; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_fence_i <= 1'h0; end else begin r_t_fence_i <= x_t_fence_i; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_i0trigger <= 4'h0; end else begin r_t_i0trigger <= x_t_i0trigger & _T_531; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_pmu_i0_itype <= 4'h0; end else begin r_t_pmu_i0_itype <= x_t_pmu_i0_itype; end end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin if (reset) begin r_t_pmu_i0_br_unpred <= 1'h0; end else begin @@ -3265,55 +3265,55 @@ end // initial lsu_pmu_misaligned_r <= io_lsu_pmu_misaligned_m; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0store <= 1'h0; end else begin r_d_bits_i0store <= x_d_bits_i0store; end end - always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin r_d_bits_i0div <= 1'h0; end else begin r_d_bits_i0div <= x_d_bits_i0div; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0store <= 1'h0; end else begin x_d_bits_i0store <= i0_dp_store & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_i0div <= 1'h0; end else begin x_d_bits_i0div <= i0_dp_div & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_csrwen <= 1'h0; end else begin x_d_bits_csrwen <= io_dec_csr_wen_unq_d & i0_legal_decode_d; end end - always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin if (reset) begin x_d_bits_csrwaddr <= 12'h0; end else begin x_d_bits_csrwaddr <= io_dec_i0_instr_d[31:20]; end end - always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin last_br_immed_x <= 12'h0; end else if (io_i0_ap_predict_nt) begin last_br_immed_x <= _T_781; end else if (_T_314) begin - last_br_immed_x <= i0_pcall_imm[12:1]; + last_br_immed_x <= i0_pcall_imm[11:0]; end else begin last_br_immed_x <= _T_323; end @@ -3332,7 +3332,7 @@ end // initial _T_830 <= i0r_rd; end end - always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin if (reset) begin i0_inst_x <= 32'h0; end else if (io_dec_i0_pc4_d) begin @@ -3341,42 +3341,42 @@ end // initial i0_inst_x <= _T_462; end end - always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin if (reset) begin i0_inst_r <= 32'h0; end else begin i0_inst_r <= i0_inst_x; end end - always @(posedge rvclkhdr_14_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin if (reset) begin i0_inst_wb <= 32'h0; end else begin i0_inst_wb <= i0_inst_r; end end - always @(posedge rvclkhdr_15_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin if (reset) begin _T_837 <= 32'h0; end else begin _T_837 <= i0_inst_wb; end end - always @(posedge rvclkhdr_16_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin if (reset) begin i0_pc_wb <= 31'h0; end else begin i0_pc_wb <= io_dec_tlu_i0_pc_r; end end - always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin if (reset) begin _T_840 <= 31'h0; end else begin _T_840 <= i0_pc_wb; end end - always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin + always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin if (reset) begin dec_i0_pc_r <= 31'h0; end else begin diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index bde2aec4..4ec29fe7 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1,3 +1 @@ -/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.v -/home/laraibkhan/Desktop/SweRV-Chislified/dmi_wrapper.sv -/home/laraibkhan/Desktop/SweRV-Chislified/el2_mem.sv \ No newline at end of file +/home/laraibkhan/Desktop/SweRV-Chislified/gated_latch.v \ No newline at end of file diff --git a/src/main/scala/dec/el2_dec.scala b/src/main/scala/dec/el2_dec.scala index 53ef8dcc..4d898696 100644 --- a/src/main/scala/dec/el2_dec.scala +++ b/src/main/scala/dec/el2_dec.scala @@ -17,10 +17,10 @@ class el2_dec_IO extends Bundle with el2_lib { val dec_pause_state_cg = Output(Bool()) // to top for active state clock gating // val rst_l = Input(Bool()) // reset, active low - val rst_vec = Input(UInt(32.W)) // [31:1] reset vector, from core pins + val rst_vec = Input(UInt(31.W)) // [31:1] reset vector, from core pins val nmi_int = Input(Bool()) // NMI pin - val nmi_vec = Input(UInt(32.W)) // [31:1] NMI vector, from pins + val nmi_vec = Input(UInt(31.W)) // [31:1] NMI vector, from pins val i_cpu_halt_req = Input(Bool()) // Asynchronous Halt request to CPU val i_cpu_run_req = Input(Bool()) // Asynchronous Restart request to CPU @@ -30,7 +30,7 @@ class el2_dec_IO extends Bundle with el2_lib { val o_cpu_run_ack = Output(Bool()) // Run request ack val o_debug_mode_status = Output(Bool()) // Core to the PMU that core is in debug mode. When core is in debug mode, the PMU should refrain from sendng a halt or run request - val core_id = Input(UInt(32.W)) // [31:4] CORE ID + val core_id = Input(UInt(28.W)) // [31:4] CORE ID // external MPC halt/run interface val mpc_debug_halt_req = Input(Bool()) // Async halt request @@ -66,7 +66,7 @@ class el2_dec_IO extends Bundle with el2_lib { val dma_pmu_any_read = Input(Bool()) // DMA read val dma_pmu_any_write = Input(Bool()) // DMA write - val lsu_fir_addr = Input(UInt(32.W)) //[31:1] Fast int address + val lsu_fir_addr = Input(UInt(31.W)) //[31:1] Fast int address val lsu_fir_error = Input(UInt(2.W)) //[1:0] Fast int lookup error val ifu_pmu_instr_aligned = Input(Bool()) // aligned instructions @@ -97,7 +97,7 @@ class el2_dec_IO extends Bundle with el2_lib { val lsu_idle_any = Input(Bool()) // lsu idle for halting val i0_brp = Flipped(Valid(new el2_br_pkt_t)) // branch packet - val ifu_i0_bp_index = Input(UInt(BTB_ADDR_HI.W)) // BP index + val ifu_i0_bp_index = Input(UInt((BTB_ADDR_HI-BTB_ADDR_LO+1).W)) // BP index val ifu_i0_bp_fghr = Input(UInt(BHT_GHR_SIZE.W)) // BP FGHR val ifu_i0_bp_btag = Input(UInt(BTB_BTAG_SIZE.W)) // BP tag @@ -123,16 +123,16 @@ class el2_dec_IO extends Bundle with el2_lib { val exu_flush_final = Input(Bool()) // slot0 flush - val exu_npc_r = Input(UInt(32.W)) // next PC + val exu_npc_r = Input(UInt(31.W)) // next PC val exu_i0_result_x = Input(UInt(32.W)) // alu result x val ifu_i0_valid = Input(Bool()) // fetch valids to instruction buffer val ifu_i0_instr = Input(UInt(32.W)) // fetch inst's to instruction buffer - val ifu_i0_pc = Input(UInt(32.W)) // pc's for instruction buffer + val ifu_i0_pc = Input(UInt(31.W)) // pc's for instruction buffer val ifu_i0_pc4 = Input(Bool()) // indication of 4B or 2B for corresponding inst - val exu_i0_pc_x = Input(UInt(32.W)) // pc's for e1 from the alu's + val exu_i0_pc_x = Input(UInt(31.W)) // pc's for e1 from the alu's val mexintpend = Input(Bool()) // External interrupt pending val timer_int = Input(Bool()) // Timer interrupt pending (from pin) @@ -145,7 +145,7 @@ class el2_dec_IO extends Bundle with el2_lib { val dec_tlu_meicurpl = Output(UInt(4.W)) // to PIC, Current priv level val dec_tlu_meipt = Output(UInt(4.W)) // to PIC - val ifu_ic_debug_rd_data = Input(UInt(70.W)) // diagnostic icache read data + val ifu_ic_debug_rd_data = Input(UInt(71.W)) // diagnostic icache read data val ifu_ic_debug_rd_data_valid = Input(Bool()) // diagnostic icache read data valid val dec_tlu_ic_diag_pkt = Output(new el2_cache_debug_pkt_t) // packet of DICAWICS, DICAD0/1, DICAGO info for icache diagnostics @@ -162,7 +162,7 @@ class el2_dec_IO extends Bundle with el2_lib { val dec_tlu_mpc_halted_only = Output(Bool()) // Core is halted only due to MPC val dec_tlu_flush_leak_one_r = Output(Bool()) // single step val dec_tlu_flush_err_r = Output(Bool()) // iside perr/ecc rfpc - val dec_tlu_meihap = Output(UInt(32.W)) // Fast ext int base + val dec_tlu_meihap = Output(UInt(30.W)) // Fast ext int base val dec_debug_wdata_rs1_d = Output(Bool()) // insert debug write data into rs1 at decode @@ -173,7 +173,7 @@ class el2_dec_IO extends Bundle with el2_lib { val trigger_pkt_any = Output(Vec(4,new el2_trigger_pkt_t)) // info needed by debug trigger blocks - val dec_tlu_force_halt = Output(UInt(1.W)) // halt has been forced + val dec_tlu_force_halt = Output(Bool()) // halt has been forced // Debug end // branch info from pipe0 for errors or counter updates val exu_i0_br_hist_r = Input(UInt(2.W)) // history @@ -191,7 +191,7 @@ class el2_dec_IO extends Bundle with el2_lib { val gpr_i0_rs2_d = Output(UInt(32.W)) // gpr rs2 data val dec_i0_immed_d = Output(UInt(32.W)) // immediate data - val dec_i0_br_immed_d = Output(UInt(13.W)) // br immediate data + val dec_i0_br_immed_d = Output(UInt(12.W)) // br immediate data val i0_ap = Output(new el2_alu_pkt_t)// alu packet @@ -199,7 +199,7 @@ class el2_dec_IO extends Bundle with el2_lib { val dec_i0_select_pc_d = Output(Bool()) // select pc onto rs1 for jal's - val dec_i0_pc_d = Output(UInt(32.W)) // pc's at decode + val dec_i0_pc_d = Output(UInt(31.W)) // pc's at decode val dec_i0_rs1_bypass_en_d = Output(UInt(2.W)) // rs1 bypass enable val dec_i0_rs2_bypass_en_d = Output(UInt(2.W)) // rs2 bypass enable @@ -217,11 +217,11 @@ class el2_dec_IO extends Bundle with el2_lib { val dec_tlu_flush_lower_r = Output(Bool()) // tlu flush due to late mp, exception, rfpc, or int - val dec_tlu_flush_path_r = Output(UInt(32.W)) // tlu flush target + val dec_tlu_flush_path_r = Output(UInt(31.W)) // tlu flush target val dec_tlu_i0_kill_writeb_r = Output(Bool()) // I0 is flushed, don't writeback any results to arch state val dec_tlu_fence_i_r = Output(Bool()) // flush is a fence_i rfnpc, flush icache - val pred_correct_npc_x = Output(UInt(32.W)) // npc if prediction is correct at e2 stage + val pred_correct_npc_x = Output(UInt(31.W)) // npc if prediction is correct at e2 stage val dec_tlu_br0_r_pkt = Valid(new el2_br_tlu_pkt_t) // slot 0 branch predictor update packet @@ -232,7 +232,7 @@ class el2_dec_IO extends Bundle with el2_lib { val dec_i0_predict_p_d = Valid(new el2_predict_pkt_t) // prediction packet to alus val i0_predict_fghr_d = Output(UInt(BHT_GHR_SIZE.W)) // DEC predict fghr - val i0_predict_index_d = Output(UInt(BHT_ADDR_HI.W)) // DEC predict index + val i0_predict_index_d = Output(UInt((BHT_ADDR_HI-BHT_ADDR_LO+1).W)) // DEC predict index val i0_predict_btag_d = Output(UInt(BTB_BTAG_SIZE.W)) // DEC predict branch tag val dec_lsu_valid_raw_d = Output(Bool()) @@ -270,71 +270,7 @@ class el2_dec_IO extends Bundle with el2_lib { class el2_dec extends Module with param with RequireAsyncReset{ val io = IO(new el2_dec_IO) - io.dec_i0_pc_d := 0.U - - - // val dec_ib0_valid_d = WireInit(Bool(),0.B) - // - // val dec_pmu_instr_decoded = WireInit(Bool(),0.B) - // val dec_pmu_decode_stall = WireInit(Bool(),0.B) - // val dec_pmu_presync_stall = WireInit(Bool(),0.B) - // val dec_pmu_postsync_stall = WireInit(Bool(),0.B) - // - // val dec_tlu_wr_pause_r = WireInit(UInt(1.W),0.U) // CSR write to pause reg is at R. - // - // val dec_i0_rs1_d = WireInit(UInt(5.W),0.U) - // val dec_i0_rs2_d = WireInit(UInt(5.W),0.U) - // - // val dec_i0_instr_d = WireInit(UInt(32.W),0.U) - // - // val dec_tlu_pipelining_disable = WireInit(UInt(1.W),0.U) - // val dec_i0_waddr_r = WireInit(UInt(5.W),0.U) - // val dec_i0_wen_r = WireInit(UInt(5.W),0.U) - // val dec_i0_wdata_r = WireInit(UInt(32.W),0.U) - // val dec_csr_wen_r = WireInit(UInt(1.W),0.U) // csr write enable at wb - // val dec_csr_wraddr_r = WireInit(UInt(12.W),0.U) // write address for csryes - // val dec_csr_wrdata_r = WireInit(UInt(32.W),0.U) // csr write data at wb - // - // val dec_csr_rdaddr_d = WireInit(UInt(12.W),0.U) // read address for csr - // val dec_csr_rddata_d = WireInit(UInt(32.W),0.U) // csr read data at wb - // val dec_csr_legal_d = WireInit(Bool(),0.B) // csr indicates legal operation - // - // val dec_csr_wen_unq_d = WireInit(Bool(),0.B) // valid csr with write - for csr legal - // val dec_csr_any_unq_d = WireInit(Bool(),0.B) // valid csr - for csr legal - // val dec_csr_stall_int_ff = WireInit(Bool(),0.B) // csr is mie/mstatus - // - // val dec_tlu_packet_r = Wire(new el2_trap_pkt_t) - // - // val dec_i0_pc4_d = WireInit(UInt(1.W),0.U) - // val dec_tlu_presync_d = WireInit(UInt(1.W),0.U) - // val dec_tlu_postsync_d = WireInit(UInt(1.W),0.U) - // val dec_tlu_debug_stall = WireInit(UInt(1.W),0.U) - // val dec_illegal_inst = WireInit(UInt(32.W),0.U) - // val dec_i0_icaf_d = WireInit(UInt(1.W),0.U) - // val dec_i0_dbecc_d = WireInit(UInt(1.W),0.U) - // val dec_i0_icaf_f1_d = WireInit(UInt(1.W),0.U) - // val dec_i0_trigger_match_d = WireInit(UInt(4.W),0.U) - // val dec_debug_fence_d = WireInit(UInt(1.W),0.U) - // val dec_nonblock_load_wen = WireInit(UInt(1.W),0.U) - // val dec_nonblock_load_waddr = WireInit(UInt(5.W),0.U) - // val dec_tlu_flush_pause_r = WireInit(UInt(1.W),0.U) - // val dec_i0_brp = Wire(new el2_br_pkt_t) - // val dec_i0_bp_index = WireInit(UInt(BTB_ADDR_HI.W),0.U) - // val dec_i0_bp_fghr = WireInit(UInt(BHT_GHR_SIZE.W),0.U) - // val dec_i0_bp_btag = WireInit(UInt(BTB_BTAG_SIZE.W),0.U) - // - // val dec_tlu_i0_pc_r = WireInit(UInt(32.W),0.U) - // val dec_tlu_i0_kill_writeb_wb = WireInit(Bool(),0.B) - // val dec_tlu_flush_lower_wb = WireInit(Bool(),0.B) - // val dec_tlu_i0_valid_r = WireInit(Bool(),0.B) - // - // val dec_pause_state = WireInit(Bool(),0.B) - // - // val dec_i0_icaf_type_d = WireInit(UInt(2.W),0.U) // i0 instruction access fault type - // - // val dec_tlu_flush_extint = WireInit(Bool(),0.B)// Fast ext int started - // val dec_i0_inst_wb1 = WireInit(UInt(32.W),0.U) val dec_i0_pc_wb1 = WireInit(UInt(32.W),0.U) val dec_tlu_i0_valid_wb1 = WireInit(UInt(1.W),0.U) @@ -343,10 +279,6 @@ class el2_dec extends Module with param with RequireAsyncReset{ val dec_tlu_exc_cause_wb1 = WireInit(UInt(5.W),0.U) val dec_tlu_mtval_wb1 = WireInit(UInt(32.W),0.U) val dec_tlu_i0_exc_valid_wb1 = WireInit(Bool(),0.B) - // - // val div_waddr_wb = WireInit(UInt(5.W),0.U) - // - // val dec_div_active = WireInit(Bool(),0.B) //--------------------------------------------------------------------------// @@ -356,6 +288,7 @@ class el2_dec extends Module with param with RequireAsyncReset{ val tlu = Module(new el2_dec_tlu_ctl) val dec_trigger = Module(new el2_dec_trigger) + io.dec_i0_pc_d := instbuff.io.dec_i0_pc_d //instbuff.io <> io // error "Connection between left (el2_dec_ib_ctl_IO(IO io in el2_dec_ib_ctl)) and source (el2_dec_IO(" //--------------------------------------------------------------------------// @@ -378,27 +311,14 @@ class el2_dec extends Module with param with RequireAsyncReset{ instbuff.io.ifu_i0_instr := io.ifu_i0_instr instbuff.io.ifu_i0_pc := io.ifu_i0_pc //outputs - decode.io.dec_ib0_valid_d := instbuff.io.dec_ib0_valid_d - decode.io.dec_i0_icaf_type_d :=instbuff.io.dec_i0_icaf_type_d - decode.io.dec_i0_instr_d :=instbuff.io.dec_i0_instr_d - decode.io.dec_i0_pc_d :=instbuff.io.dec_i0_pc_d - decode.io.dec_i0_pc4_d :=instbuff.io.dec_i0_pc4_d - decode.io.dec_i0_brp :=instbuff.io.dec_i0_brp - decode.io.dec_i0_bp_index :=instbuff.io.dec_i0_bp_index - decode.io.dec_i0_bp_fghr :=instbuff.io.dec_i0_bp_fghr - decode.io.dec_i0_bp_btag :=instbuff.io.dec_i0_bp_btag - decode.io.dec_i0_icaf_d :=instbuff.io.dec_i0_icaf_d - decode.io.dec_i0_icaf_f1_d :=instbuff.io.dec_i0_icaf_f1_d - decode.io.dec_i0_dbecc_d :=instbuff.io.dec_i0_dbecc_d io.dec_debug_wdata_rs1_d := instbuff.io.dec_debug_wdata_rs1_d - decode.io.dec_debug_fence_d :=instbuff.io.dec_debug_fence_d //--------------------------------------------------------------------------// //connections for dec_trigger //dec_trigger.io <> io //inputs dec_trigger.io.dec_i0_pc_d := instbuff.io.dec_i0_pc_d - dec_trigger.io.trigger_pkt_any <> tlu.io.trigger_pkt_any + dec_trigger.io.trigger_pkt_any := tlu.io.trigger_pkt_any //output val dec_i0_trigger_match_d = dec_trigger.io.dec_i0_trigger_match_d dontTouch(dec_i0_trigger_match_d) @@ -448,7 +368,7 @@ class el2_dec extends Module with param with RequireAsyncReset{ decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r decode.io.dec_tlu_presync_d := tlu.io.dec_tlu_presync_d decode.io.dec_tlu_postsync_d := tlu.io.dec_tlu_postsync_d - decode.io.dec_i0_pc4_d := instbuff.io.dec_i0_pc_d + decode.io.dec_i0_pc4_d := instbuff.io.dec_i0_pc4_d decode.io.dec_csr_rddata_d := tlu.io.dec_csr_rddata_d decode.io.dec_csr_legal_d := tlu.io.dec_csr_legal_d decode.io.exu_csr_rs1_x := io.exu_csr_rs1_x @@ -471,8 +391,6 @@ class el2_dec extends Module with param with RequireAsyncReset{ dec_i0_pc_wb1 := decode.io.dec_i0_pc_wb1 //for tracer io.dec_i0_rs1_en_d := decode.io.dec_i0_rs1_en_d io.dec_i0_rs2_en_d := decode.io.dec_i0_rs2_en_d - gpr.io.raddr0 := decode.io.dec_i0_rs1_d - gpr.io.raddr1 := decode.io.dec_i0_rs2_d io.dec_i0_immed_d := decode.io.dec_i0_immed_d io.dec_i0_br_immed_d := decode.io.dec_i0_br_immed_d io.i0_ap := decode.io.i0_ap @@ -480,31 +398,16 @@ class el2_dec extends Module with param with RequireAsyncReset{ io.dec_i0_alu_decode_d := decode.io.dec_i0_alu_decode_d io.dec_i0_rs1_bypass_data_d := decode.io.dec_i0_rs1_bypass_data_d io.dec_i0_rs2_bypass_data_d := decode.io.dec_i0_rs2_bypass_data_d - gpr.io.waddr0 := decode.io.dec_i0_waddr_r - gpr.io.wen0 := decode.io.dec_i0_wen_r - gpr.io.wd0 := decode.io.dec_i0_wdata_r io.dec_i0_select_pc_d := decode.io.dec_i0_select_pc_d io.dec_i0_rs1_bypass_en_d := decode.io.dec_i0_rs1_bypass_en_d io.dec_i0_rs2_bypass_en_d := decode.io.dec_i0_rs2_bypass_en_d io.lsu_p := decode.io.lsu_p io.mul_p := decode.io.mul_p io.div_p := decode.io.div_p - gpr.io.waddr2 := decode.io.div_waddr_wb io.dec_div_cancel := decode.io.dec_div_cancel io.dec_lsu_valid_raw_d := decode.io.dec_lsu_valid_raw_d io.dec_lsu_offset_d := decode.io.dec_lsu_offset_d io.dec_csr_ren_d := decode.io.dec_csr_ren_d - tlu.io.dec_csr_wen_unq_d := decode.io.dec_csr_wen_unq_d - tlu.io.dec_csr_any_unq_d := decode.io.dec_csr_any_unq_d - tlu.io.dec_csr_rdaddr_d := decode.io.dec_csr_rdaddr_d - tlu.io.dec_csr_wen_r := decode.io.dec_csr_wen_r - tlu.io.dec_csr_wraddr_r := decode.io.dec_csr_wraddr_r - tlu.io.dec_csr_wrdata_r := decode.io.dec_csr_wrdata_r - tlu.io.dec_csr_stall_int_ff := decode.io.dec_csr_stall_int_ff - tlu.io.dec_tlu_i0_valid_r := decode.io.dec_tlu_i0_valid_r - tlu.io.dec_tlu_packet_r := decode.io.dec_tlu_packet_r - tlu.io.dec_tlu_i0_pc_r := decode.io.dec_tlu_i0_pc_r - tlu.io.dec_illegal_inst := decode.io.dec_illegal_inst io.pred_correct_npc_x := decode.io.pred_correct_npc_x io.dec_i0_predict_p_d := decode.io.dec_i0_predict_p_d io.i0_predict_fghr_d := decode.io.i0_predict_fghr_d @@ -512,15 +415,7 @@ class el2_dec extends Module with param with RequireAsyncReset{ io.i0_predict_btag_d := decode.io.i0_predict_btag_d io.dec_data_en := decode.io.dec_data_en io.dec_ctl_en := decode.io.dec_ctl_en - tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_instr_decoded - tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_decode_stall - tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_presync_stall - tlu.io.dec_pmu_postsync_stall := decode.io.dec_pmu_postsync_stall - tlu.io.dec_pmu_postsync_stall := decode.io.dec_nonblock_load_wen - tlu.io.dec_pmu_postsync_stall := decode.io.dec_nonblock_load_waddr - tlu.io.dec_pmu_postsync_stall := decode.io.dec_pause_state io.dec_pause_state_cg := decode.io.dec_pause_state_cg - tlu.io.dec_div_active := decode.io.dec_div_active //--------------------------------------------------------------------------// @@ -592,7 +487,7 @@ class el2_dec extends Module with param with RequireAsyncReset{ tlu.io.lsu_fir_addr := io.lsu_fir_addr tlu.io.lsu_fir_error := io.lsu_fir_error tlu.io.iccm_dma_sb_error := io.iccm_dma_sb_error - tlu.io.lsu_error_pkt_r <> io.lsu_error_pkt_r + tlu.io.lsu_error_pkt_r := io.lsu_error_pkt_r tlu.io.lsu_single_ecc_error_incr := io.lsu_single_ecc_error_incr tlu.io.dec_pause_state := decode.io.dec_pause_state tlu.io.lsu_imprecise_error_store_any := io.lsu_imprecise_error_store_any @@ -643,15 +538,13 @@ class el2_dec extends Module with param with RequireAsyncReset{ io.dec_tlu_dbg_halted := tlu.io.dec_tlu_dbg_halted io.dec_tlu_debug_mode := tlu.io.dec_tlu_debug_mode io.dec_tlu_resume_ack := tlu.io.dec_tlu_resume_ack - decode.io.dec_tlu_debug_stall := tlu.io.dec_tlu_debug_stall io.dec_tlu_flush_noredir_r := tlu.io.dec_tlu_flush_noredir_r io.dec_tlu_mpc_halted_only := tlu.io.dec_tlu_mpc_halted_only io.dec_tlu_flush_leak_one_r := tlu.io.dec_tlu_flush_leak_one_r io.dec_tlu_flush_err_r := tlu.io.dec_tlu_flush_err_r - decode.io.dec_tlu_flush_extint := tlu.io.dec_tlu_flush_extint io.dec_tlu_meihap := tlu.io.dec_tlu_meihap - io.trigger_pkt_any <> tlu.io.trigger_pkt_any - io.dec_tlu_ic_diag_pkt <> tlu.io.dec_tlu_ic_diag_pkt + io.trigger_pkt_any := tlu.io.trigger_pkt_any + io.dec_tlu_ic_diag_pkt := tlu.io.dec_tlu_ic_diag_pkt io.o_cpu_halt_status := tlu.io.o_cpu_halt_status io.o_cpu_halt_ack := tlu.io.o_cpu_halt_ack io.o_cpu_run_ack := tlu.io.o_cpu_run_ack @@ -661,20 +554,12 @@ class el2_dec extends Module with param with RequireAsyncReset{ io.debug_brkpt_status := tlu.io.debug_brkpt_status io.dec_tlu_meicurpl := tlu.io.dec_tlu_meicurpl io.dec_tlu_meipt := tlu.io.dec_tlu_meipt - decode.io.dec_csr_rddata_d := tlu.io.dec_csr_rddata_d - decode.io.dec_csr_legal_d := tlu.io.dec_csr_legal_d - io.dec_tlu_br0_r_pkt <> tlu.io.dec_tlu_br0_r_pkt - decode.io.dec_tlu_i0_kill_writeb_wb := tlu.io.dec_tlu_i0_kill_writeb_wb - decode.io.dec_tlu_flush_lower_wb := tlu.io.dec_tlu_flush_lower_wb + io.dec_tlu_br0_r_pkt := tlu.io.dec_tlu_br0_r_pkt io.dec_tlu_i0_commit_cmt := tlu.io.dec_tlu_i0_commit_cmt io.dec_tlu_i0_kill_writeb_r := tlu.io.dec_tlu_i0_kill_writeb_r io.dec_tlu_flush_lower_r := tlu.io.dec_tlu_flush_lower_r io.dec_tlu_flush_path_r := tlu.io.dec_tlu_flush_path_r io.dec_tlu_fence_i_r := tlu.io.dec_tlu_fence_i_r - decode.io.dec_tlu_wr_pause_r := tlu.io.dec_tlu_wr_pause_r - decode.io.dec_tlu_flush_pause_r := tlu.io.dec_tlu_flush_pause_r - decode.io.dec_tlu_presync_d := tlu.io.dec_tlu_presync_d - decode.io.dec_tlu_postsync_d := tlu.io.dec_tlu_postsync_d io.dec_tlu_mrac_ff := tlu.io.dec_tlu_mrac_ff io.dec_tlu_force_halt := tlu.io.dec_tlu_force_halt io.dec_tlu_perfcnt0 := tlu.io.dec_tlu_perfcnt0 @@ -691,10 +576,8 @@ class el2_dec extends Module with param with RequireAsyncReset{ io.dec_tlu_core_ecc_disable := tlu.io.dec_tlu_core_ecc_disable io.dec_tlu_bpred_disable := tlu.io.dec_tlu_bpred_disable io.dec_tlu_wb_coalescing_disable := tlu.io.dec_tlu_wb_coalescing_disable - // := tlu.io.dec_tlu_pipelining_disable io.dec_tlu_dma_qos_prty := tlu.io.dec_tlu_dma_qos_prty io.dec_tlu_misc_clk_override := tlu.io.dec_tlu_misc_clk_override - //decode.io.clk_override := tlu.io.dec_tlu_dec_clk_override io.dec_tlu_ifu_clk_override := tlu.io.dec_tlu_ifu_clk_override io.dec_tlu_lsu_clk_override := tlu.io.dec_tlu_lsu_clk_override io.dec_tlu_bus_clk_override := tlu.io.dec_tlu_bus_clk_override @@ -716,6 +599,6 @@ class el2_dec extends Module with param with RequireAsyncReset{ // debug command read data io.dec_dbg_rddata := decode.io.dec_i0_wdata_r } -object dec_main extends App { - println((new chisel3.stage.ChiselStage).emitVerilog( new el2_dec())) -} +object decode extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new el2_dec())) +} \ No newline at end of file diff --git a/src/main/scala/dec/el2_dec_decode_ctl.scala b/src/main/scala/dec/el2_dec_decode_ctl.scala index b13b42dd..382f5d37 100644 --- a/src/main/scala/dec/el2_dec_decode_ctl.scala +++ b/src/main/scala/dec/el2_dec_decode_ctl.scala @@ -219,11 +219,7 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ (illegal_lockout_in ^ illegal_lockout ) // replaces active_clk - val data_gated_cgc= Module(new rvclkhdr) - data_gated_cgc.io.en := data_gate_en - data_gated_cgc.io.scan_mode :=io.scan_mode - data_gated_cgc.io.clk :=clock - val data_gate_clk =data_gated_cgc.io.l1clk + val data_gate_clk= rvclkhdr(clock,data_gate_en.asBool(),io.scan_mode) // End - Data gating }} @@ -310,10 +306,10 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ val cam_write_tag = io.lsu_nonblock_load_tag_m(LSU_NUM_NBLOAD_WIDTH-1,0) val cam_inv_reset = io.lsu_nonblock_load_inv_r - val cam_inv_reset_tag = io.lsu_nonblock_load_inv_tag_r(LSU_NUM_NBLOAD_WIDTH-1,0) + val cam_inv_reset_tag = io.lsu_nonblock_load_inv_tag_r val cam_data_reset = io.lsu_nonblock_load_data_valid | io.lsu_nonblock_load_data_error - val cam_data_reset_tag = io.lsu_nonblock_load_data_tag(LSU_NUM_NBLOAD_WIDTH-1,0) + val cam_data_reset_tag = io.lsu_nonblock_load_data_tag val nonblock_load_rd = Mux(x_d.bits.i0load.asBool, x_d.bits.i0rd, 0.U(5.W)) // rd data val load_data_tag = io.lsu_nonblock_load_data_tag @@ -408,15 +404,15 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ // 12b jal's can be predicted - these are calls - val i0_pcall_imm = Cat(i0(31),i0(19,12),i0(20),i0(30,21),0.U(1.W)) - val i0_pcall_12b_offset = Mux(i0_pcall_imm(12).asBool, i0_pcall_imm(20,13) === 0xff.U , i0_pcall_imm(20,13) === 0.U(8.W)) + val i0_pcall_imm = Cat(i0(31),i0(19,12),i0(20),i0(30,21)) + val i0_pcall_12b_offset = Mux(i0_pcall_imm(11).asBool, i0_pcall_imm(19,12) === 0xff.U , i0_pcall_imm(19,12) === 0.U(8.W)) val i0_pcall_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & (i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) val i0_pja_case = i0_pcall_12b_offset & i0_dp_raw.imm20 & !(i0r.rd === 1.U(5.W) | i0r.rd === 5.U(5.W)) i0_pcall_raw := i0_dp_raw.jal & i0_pcall_case // this includes ja i0_pcall := i0_dp.jal & i0_pcall_case i0_pja_raw := i0_dp_raw.jal & i0_pja_case i0_pja := i0_dp.jal & i0_pja_case - i0_br_offset := Mux((i0_pcall_raw | i0_pja_raw).asBool, i0_pcall_imm(12,1) , Cat(i0(31),i0(7),i0(30,25),i0(11,8))) + i0_br_offset := Mux((i0_pcall_raw | i0_pja_raw).asBool, i0_pcall_imm(11,0) , Cat(i0(31),i0(7),i0(30,25),i0(11,8))) // jalr with rd==0, rs1==1 or rs1==5 is a ret val i0_pret_case = (i0_dp_raw.jal & i0_dp_raw.imm12 & (i0r.rd === 0.U(5.W)) & (i0r.rs1===1.U(5.W) | i0r.rs1 === 5.U(5.W))) i0_pret_raw := i0_dp_raw.jal & i0_pret_case @@ -500,8 +496,8 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ pause_state_in := (io.dec_tlu_wr_pause_r | pause_state) & !clear_pause pause_state := withClock(data_gate_clk){RegNext(pause_state_in, 0.U)} io.dec_pause_state := pause_state - tlu_wr_pause_r1 := RegNext(io.dec_tlu_wr_pause_r, 0.U) - tlu_wr_pause_r2 := RegNext(tlu_wr_pause_r1, 0.U) + tlu_wr_pause_r1 := withClock(data_gate_clk){RegNext(io.dec_tlu_wr_pause_r, 0.U)} + tlu_wr_pause_r2 := withClock(data_gate_clk){RegNext(tlu_wr_pause_r1, 0.U)} //pause for clock gating io.dec_pause_state_cg := (pause_state & (!tlu_wr_pause_r1 && !tlu_wr_pause_r2)) // end pause @@ -807,6 +803,7 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ io.dec_i0_rs1_bypass_en_d := Cat(i0_rs1bypass(2),(i0_rs1bypass(1) | i0_rs1bypass(0) | (!i0_rs1bypass(2) & i0_rs1_nonblock_load_bypass_en_d))) io.dec_i0_rs2_bypass_en_d := Cat(i0_rs2bypass(2),(i0_rs2bypass(1) | i0_rs2bypass(0) | (!i0_rs2bypass(2) & i0_rs2_nonblock_load_bypass_en_d))) + io.dec_i0_rs1_bypass_data_d := Mux1H(Seq( i0_rs1bypass(1).asBool -> io.lsu_result_m, i0_rs1bypass(0).asBool -> i0_result_r, @@ -822,8 +819,6 @@ class el2_dec_decode_ctl extends Module with el2_lib with RequireAsyncReset{ (!io.dec_extint_stall & i0_dp.lsu & i0_dp.load).asBool -> i0(31,20), (!io.dec_extint_stall & i0_dp.lsu & i0_dp.store).asBool -> Cat(i0(31,25),i0(11,7)))) } - -object dec_decode extends App{ - println("Generating Verilog...") +object decode_ctrl extends App { println((new chisel3.stage.ChiselStage).emitVerilog(new el2_dec_decode_ctl())) } diff --git a/src/main/scala/dec/el2_dec_tlu_ctl.scala b/src/main/scala/dec/el2_dec_tlu_ctl.scala index eb79c8b2..c5555887 100644 --- a/src/main/scala/dec/el2_dec_tlu_ctl.scala +++ b/src/main/scala/dec/el2_dec_tlu_ctl.scala @@ -692,7 +692,7 @@ class el2_dec_tlu_ctl extends Module with el2_lib with RequireAsyncReset with CS val lsu_exc_valid_r = lsu_i0_exc_r lsu_exc_valid_r_d1 :=withClock(lsu_r_wb_clk){RegNext(lsu_exc_valid_r,0.U)} val lsu_i0_exc_r_d1 =withClock(lsu_r_wb_clk){RegNext(lsu_i0_exc_r,0.U)} - val lsu_exc_ma_r = lsu_i0_exc_r & ~io.lsu_error_pkt_r.bits.exc_type + val lsu_exc_ma_r = lsu_i0_exc_r & !io.lsu_error_pkt_r.bits.exc_type val lsu_exc_acc_r = lsu_i0_exc_r & io.lsu_error_pkt_r.bits.exc_type val lsu_exc_st_r = lsu_i0_exc_r & io.lsu_error_pkt_r.bits.inst_type diff --git a/src/main/scala/exu/el2_exu.scala b/src/main/scala/exu/el2_exu.scala index b9f53bd8..dbd80114 100644 --- a/src/main/scala/exu/el2_exu.scala +++ b/src/main/scala/exu/el2_exu.scala @@ -41,9 +41,9 @@ class el2_exu extends Module with el2_lib with RequireAsyncReset{ val dec_tlu_flush_path_r =Input(UInt(31.W)) // Redirect target val dec_extint_stall =Input(UInt(1.W)) // External stall mux select val dec_tlu_meihap =Input(UInt(30.W)) // External stall mux data + val exu_lsu_rs1_d =Output(UInt(32.W)) // LSU operand val exu_lsu_rs2_d =Output(UInt(32.W)) // LSU operand - val exu_flush_final =Output(UInt(1.W)) // Pipe is being flushed this cycle val exu_flush_path_final =Output(UInt(31.W)) // Target for the oldest flush source val exu_i0_result_x =Output(UInt(32.W)) // Primary ALU result to DEC diff --git a/target/scala-2.12/classes/dec/dec_decode$.class b/target/scala-2.12/classes/dec/dec_decode$.class deleted file mode 100644 index f1be6422274c0152a4f132fb38b54e33eaa9577c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3939 zcmbtXiE`6c6g@AS6Jtn7AP}Gsz!YO30s@8NltL1gP_wj#v_RWbv7duLk&G+@p*wA9 zp?m4RenDrtKww~|AJC8L^gJ!GNDTE%?U7_X-Fx4;+qv@J|J?fvz;^s1&|Z*vjmH=d zyC9P>2!W1s`bAwcb!$R9Hhxa#-544L+RAy|)U|!3QVh)kOQpF@X$6{NdDraoBm~w~ z^$qKyeO_uuqJPYNu|&cdA$ zSRgOUe8n|vE9dHtD;oxFQu~YXQhgSRws4C66j9V2!qdP6Az6q=4>b z30HxRm8I)She#jj6d!q0>adDax`udlDH*nguagRc`Dizl-O;*4L$~VmQyFeg>XvO4 zD^}8ymy-QE1eP(TiXP??6nm<>_LCTf;uuy9)f?31eydQj4a-eZK^-AOGQIP?fM~}Z zS&&6i=0Tze@*S*Y5}1#XHXH&uXz@X5Nk?}{G`*wJF-&`+udlBaSu$FQ^y8W=+{LK~ zEBUPJRsB&Mi_^?;fu20OQkwl*+0`ecHssxr>Lz3i&&82b;1|*LD!Bz_I&d<9eHc`X z@qBv=Ug*GJBL_fCok;hczB@TW(@o=wVz(Gx5?E8U6wr89pByjfBy+u}=Uv;GieZez ztDA0QP2gJ8mq$#1OayB{#F3Q;6i-Z*WG33KVTk4`4C$tsGhE3W=opXV73Ar3ffS&Z zN+y}7Yo_OB%8ar_Oms3HXPF8)X}Ce6nE9e!G2JAa{~T7RFrR;jL_cRDEf)>PaZPQX zW!Wxs&S@ks(EKDUrEHT{BjyE;hJ8`R5_K~SOHHfMTnB;)T!5oCB7e7BsOYAmqvhF$ zmJU0Dl(o$}(}C{V@Z)Ggk1FW}id0^uX^#jp%0Z1vzY+;xXN_75D_ItNu}m~jLE#Xe zU-lt``l7?tEn>LAm!5IkcFV4#mjrIs*s9ix&HpLu2a4W*UwQz2@H|rEAK~LTKEx-i z@lQD#O|L~GZY1zIu6IJ<3wo0?CM?~pIDEBf^51aUvxX&)Rwl=#b3%1K=^$(KMQ>Cm z8}L3@j1S9_BlEf|*+?C}*T;27pOhRZthL05MUERX^>SJAHlDL9PF@}`l);X{FowP! zpSS1KyX1()*Bd!v71M<2$1`0M*oaM3nzO-{ zdN)|kz=+V~a?fNtW9dgkqiNsaTj>9l+s=hN+u?;ZqIC;9>WkJBwVb()9rZf1GwRGv z&zUal3gT1E-YsP6!Q)5$Nk(7*Pt63m5o-9gq*vWWfBm>rs)D>JTnn)WPt(w>yxm5o zev{Qg-WxI9(W3@-kiTA{R-e}U7(R6e`<2%sQnLdDa$u(ZZ=ji9V9OtPrt`=&p5^sE za#TE#y$1t0vT+*bQJzY>n>KFR+|x7-mts}O3sh_xGiYrbfEIMbcG~R3GE{JpdoN}G z?PN_i@J~ZKF7ZhtdnAs_m|~xB@1XV@)E||=iy^PCfu8goTuJ|l*Vuk zjrXen=C)#wZ?Ged4{$v&s2W|Bbu4`kHvttDb`-wnInD=dn8!Zu!4JG|Kny?fx`MwX zS}}@KIE^!S5ij#Njn6253O`X|uOOGH*efTOc(fr@a6JwnZ-L{K%}S!h3ISF+=Mr{# NwIx(F2>eVd_!qR>tm*&& diff --git a/target/scala-2.12/classes/dec/dec_decode$delayedInit$body.class b/target/scala-2.12/classes/dec/dec_decode$delayedInit$body.class deleted file mode 100644 index b6e49b3bbb0a58a43a0d6b6d4307e7ba673dbe6a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 757 zcmZ`%+iuf95Iy7ENSZcDDZOxO3jy^a5sKi2AS6Ikij)+jw0*7C_Cj48J90LN{1qTB z5)bf*#77~{y5<2(JnYWS?97>SX7|_cZ{Gpj$8Ev7ue}b}30Lgvwy#4q(|#`sOxun9 zSp~wRiKjxuPQ%8sw_VW*y6F3na}Q8dY3J|BCZnj8Q+0w`$41+rXyD;hsq5ZS?tGrhGUfk z*3W|TL!-Pm12xY4=0Ka%*ng=KHPW0p>D7kuH1YI)U_lx~`;@&XBziA;6?6V+1bZBE zk-vg{?96#`(82{&8M#jH0j)A7qmWN<$ap8&b@4}3J z7Ggl_&^C8}q9i|dc0UvCE3SQDtbomQmooBz%jZQIAj?yJf*UL-ak2~)aFdRM656y2 P{IZViE0(|D7GwAW7CNTT diff --git a/target/scala-2.12/classes/dec/dec_decode.class b/target/scala-2.12/classes/dec/dec_decode.class deleted file mode 100644 index c0599ab45833f8030f9e11d7dd8fc59ed199fd61..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 799 zcmZuv*>2NN5Ixtf>o`r9wCNJk6x;?WL8vAT5=22%p$lqBX+xBvfKYW~-vn2V4bBZ} zUibq(g$H=(0}p%vAB7mF3u3V}I(KHy?Edld+jju>ahqYv5w=BgLJ~Ma2Vgm&_Aedh30gdz@jVHhNg0=y*i88j-o-c6}}fp{Ouu?V%KX zhELYXYW4fEtfj;S|Q0s{*=s!%&z`4~e9K5`#bJH5s!yn8qt4%Kv|HmflkMp0q}E?NYL>dD!Ob;QNo9<5 z(#V#T$@lx+^-N{6pp|LTu^xAQhK2m=+V7bgGIafJrEpAs9(Jki=|7j0(~)4%4-U7R zwVfP8vi6t1lE4(E4NPJt0R!Fz*Q3Dni;Gr{-M-uQMAi2L z$)kFdA$E0q6ro^@{xd+OCD0m3Um-0;$OvO!k-T$`xi1j~H%TYt*#2S6Vn5eM{3DhREeQk!_X}fiqCCgysU#amU z@j)L=eDNO{&j2qp@nPo9J$vuDXU^>Jzu$iVc!CFl^+5X#u9LnBO)b!o8tb5CLR;&_ z!8ivIYz}=DsYWs~Htg%hi{8*C%C~zX*Ru5Yz3)H~IPlsRj>>0M~wHjBt2mxqS}Ip;caC<-=a3SXOG5QoN6vG3MY z{;nWZ-#RX05#<6(s0dc)#lMW->)?pj%b_YrAMLje1#9j96j9AGuH|tRD=fC>;gD|3 z-{-PpykGWN$N|p<)%tvG(@DGGq@{6-gs`gomcpIME?HbAT$wh_2-!Z-C%DUo41Fb#!aXJ)GN>^w^6&KA LTygpZ_le;js5zek diff --git a/target/scala-2.12/classes/dec/dec_main$.class b/target/scala-2.12/classes/dec/decode$.class similarity index 64% rename from target/scala-2.12/classes/dec/dec_main$.class rename to target/scala-2.12/classes/dec/decode$.class index 354e7baac4747eb2c9cf00b8dbe6cfc7628ec544..60e8131243e91ab39cd046fed8b761cc66749658 100644 GIT binary patch delta 208 zcmbOr*Cr=^>ff$?3=9k=409P7xKmP-^?^7)C3T|YTpnH@1b^cZ6BcQil+>KW%G4Cs zyp)3c%)Am6pgafz)o&JM<}i+WCdR1A*SKtfq%N=cWNmIw zD65Ct3dlOnZ384(cp&nUlcRYofcz;uu3%M?lRxuVf>|+)(UZG)eOaR!)S03t-{GwQ JiiYy-1^^!=KhOXG delta 235 zcmZpZn;<87>ff$?3=9k=409P7cvDi7^?^7(H!(ABqWD}sejj9!jR#CvWaUy)a}q04 zQ(W^>3i31aN>qReK_iw z7|%~W!(|I3FYt&@R^;}CvYNQ9fULdTHbC+hH$+}?av+Zdkl)4Q3RV>aCM74^@H#VI YnB2hY%X)!9o$37KOS~088E?Mb0AmkQ=Kufz diff --git a/target/scala-2.12/classes/dec/decode$delayedInit$body.class b/target/scala-2.12/classes/dec/decode$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..31ef8a15d37659250482fe65d7d19f6b3629127b GIT binary patch literal 722 zcmZ`%%Wl&^6g}5@n7o>_l$O$#@(3txB}@^lf{*}FDN<69(sscHP3(cXICkVr5cw-W zJR}ydMB<|mXWT48B^EPx?(w~6&OPJbf4=_!a38k?>w)$?t|ZXSKu2n-gH9Zp<{$~C zE<~_3_En_3bP}6zsJ+L7u}PJ0_9wA#!X&=yA}6Tn=-w-`+{uas#bz=wI^~D%9DiF- zP@_>a6)d;5yQk`%@*)+#@tzM(wQt&06ycWOpe(4&^|`1DHfHLc#la{EV?(vRT~_#> zAlKSHu3`c8GHPfDR{xd#BzdocBM#f;UZR8Y)-ij|7d@d}Z6px?*MJu|cw@)GMfW!&o0oh69}*szF3#shiN}W0i(BpMkZ$ zQU2SW8qM-XPn(k@c%f1?)by9K%Kc=L`g%XKB=x?1t4ff$?3=9k=462L_Tq&u^`aqnYk~&c`gt28}o=klrW0Y}9ypWE%k|Q${ zyP%GFE+eBLt0HHh5+gG+FNiN=oTkppX{f}?$t-D`DX7T8#w5$CX_y~rnCq-2$f(T1 z#LjHxqoFNjBFJheDCFeG#mCCa$;uzg$X=;hBF-nLAj&Mu$jHEwo0yr$$RMT>?UR*Q tmZ+bTn3t|UnU_&Wog3jU6?O(5Mh13Yf0s}nR~1GEULSff$?3=9k=462L_JSnNk`am3?o0yq5Q9OjPV`7d>4kJ^Paf-bekGP)< z8xuFHq@!+>5euWEAfu8aI}<0TBZ!|6&E}Jy=gPz+?#igd=)%FrY#J!&=)%aB&d1}f z7s<-3XeyYfC}>foDrUm&%*ZY*%qbb<?8q|lgQhkwvMW^B c8F&~O*nRz7LVa9S7#a9|kYzVJFz#mr00j*$WdHyG diff --git a/target/scala-2.12/classes/dec/decode_ctrl$.class b/target/scala-2.12/classes/dec/decode_ctrl$.class new file mode 100644 index 0000000000000000000000000000000000000000..cc662b65c9b421bbcdc0dc379b5cdf6099855fde GIT binary patch literal 3905 zcmbtX30D(W7`=}z5Tk5@qSQ6k5Y(usSVOG>TCo9b1GLt*bVy!sbeM^giCbH1H|@UJ zefbGJr;DOT&gl>6kLu}tGZQjEN^?%hnMvl&x8C=C_j~;H_oF`n9Kg>4Em@gK@UpUU zD&yEjGz7ubi`o?}VQA(|;>`3#nQ=m>6=*7CG($@q&*wv^7g#Ti{Zmv`m#1#*aU}$H zl=XGb#^nVm%eps#mXx*p`^@oJb@qiAL}k zHmyPfHq)kaK_^hFveMA5%j^h45LjEXun>Myg_Kyfyi!(5qi)*DUKfZ2tTVvPB|9p> zr9jbB1dn55=$gYsIh-qX+4pr4Ae5$whuc$UZ%pe=stm+u^vCf|0Lg2;E?{3;s9ZV`v}3Ib^axA1 z9OzU*I*zm%>7q{YBCm^`Ryf5gh?ke5rPlCtVkIzFPE*;PtV*<$R+V`w!_866w9H)5 zjGFRlw69-a12I+nu$-aTQ=OIHgfJAwuxhBSeqEk0vw2H5ohTL5wZM_w-_~ko!cEnvo%-!WdO<*D~lbEqT0~ zY{8ibj$=^u`m@aqc&-J5wIuJ5x{;~dyg1U*)-^-Fp?E2T=LNQvE%^+b(q^Z#8hKdD zX&J||uZM7sl&Kj`U`^n5ED*#B2f=8iqB)JNmXj{espJ^svyR|~l#nACTF8f4Tx6Vv%SFvlq_Z*guVu!%Co%1J?@YF{a;jm} zp-a{68buw1yTNUDlIEIHs_yil``7TgV#qf*SX4g++apNpis?gm(@kTkoXhF!@m3hO z@OA{-uw7O8yWC{%T*0qs>D4Vm=nn$n*GsAHW!4i*24VHV;$-ofq{Nh-=y2IZ2=DWB zGi_N;!LhZxz}*V2DxF~dPZKO^5$|BMDEj3c@gY76;{$xmj`)Nl&~O_%kVXWb;Z7R_ zK4&&*ea6(BqRoo0^G-6&DczJ~#o1|Tk1L)~hbW6Dx=D@P!DoLlJS_9J%xI3x^6=zk zeO9xzS;@h{GeKm;G^IUcXoZ60V>oRU?TkFBD}ybAB@8`1zHd&eL*t0aV~iXza~50K zUBN!?bsd9k;Jrze92>Yz4O)KJs4?q4bBa;sOLwh=qF)p zD8aYuucSRew!VE*!UD=g%%dV${a4Vl9_^MbJ1K~kvmIAo6}g5~;!^oAvP#S+Zn8eyN4zM$L+V|_5}b#;2Ax)CGQR>HS9 zQE6!XX>h({l>er!`cuEs*5)h;UaC~}GzU+D#ZEFzeZ3!AkaEYqXETn7-i%)*e1mZW zdmMC*e}jGO+sgJ(gWqh%nATXZtW Tqei>Hf19y=#qt;2W(u{e}F&Aco%FDH%1`dxCA#k;c>_5dt43!QDcbq zMp6tIzQox6!!~ECt}IvE1(kfoY_kT7DqX#*9Ne)FGdDlFx!PcVO>Y-WMeHP(7~SgX zJ>4|T7{#AA*AF&qXWPDSnJlqtrp;bmQ}Pv^7fn@e#_aiZRY~XdYI^JSab{U3gTey5A>@Clc4B7HuU}SGE&aL_P#8 z5wU0Vh(xR<3P27VLz%cb@l;Jg@OouHb5m2NCt{mD9Vy&^P(Zy^NN$fBeXQUoO~l=>fV zZUVkeUVcc)R&B|YivujG{);kS0vWQtGCZ@s%fuJgtUOJMe6I;jc$&& z#2Z4IscYP}Sd!S>Ue}T+ZQb5jy(H0^XbWi}UF&SIk7})s*T;2jK!wPRZb{VEC#u^< zS7LQ#-TKCOTYF1limsXKwznl(^-d25^@g#frE~K2nT5lO!g`s$y>Qazu)a=@26S_} zv5Q_B7*Y@^>oiM`gvtx_!I93x0(#HFz?_2qE6viPQq$mNalMCLXmp=suA9D_Zgv_H zDeY#4`Wt#)!SunAu6qOud10B}c~Fs_r!Ur{{mV8N_SDOa?q)m^=v1le!SZ-FGrXHo z(79lcKD&Sy2K8a3X4gTJ7Uu~aMZxLDDAchzudLJFq+@iXv)&1HtS#st2?-sM8S&D- zsDp>rO&=?C=>5#lN}*$1r0cj&LdTjxMTK2a#~w2_$NLK%rCkG^wxf>10cN;W>R22W zI--*nciyS*KIOf3+HH_tV1x>GpU|M|Jt8{qzP?K&P(G=puyAg#t|p&bIjFQpo>>^} zUTW;z8ryU5hM{Hph(LcH8nt?I?aBpn3-yZLM%Pj{p<#8=hIzvyg}VlfG4V*z;*C3% zY+2c>3bz-S<-@B6mG;dGERGiGdylK`9w{0-yEL%5Y%W{g#WW_hj*Bb?yD6(9kwGI? zP1;g9clX|PYxZcGJ$J-}(S4^Foj1>2KdRr9X~WFAPG$N)XoBtCN=wHzk1!)9l*_tD zc>4@JSi5rWzOzQID&D92o_R%ut0xu)_9$H5uyV}C_yP0QH};>hPg9gt?NU0pz>H7t zIlXkqD6?Q#uem!-F$(ecSBxu~wrksju4~6^n>owqUf8LmcJ_huLhJiXs+qR7NAKPA zaAIDU{d;wpKW6jn1E&lf*}1?NhIW0XG;9*Msq0qmI(TdSfa2o7QnBCmmCFQvYguu{ z?1mLntE=_s;=rnsnrTaFmN%^!*Hm|4NuSPpk4q3gL;hJ^_nxv(<>bNJD%KV?RCe2c z%fgks(80H?bF6ru*}>f>j$Va2qwyqP$@bX?_Uk=z?c`eI3zh5L;QVf7114;lHh%Di z(R>0Pe~(fVk877Xqt=dTtXsuqHLmeHLo1wJWhR3zlm*i(cL%9UzKM}nO+c|v%(BaJFp-=sPBZ5Y28G7 zS5NCQc+RL&bK{x?gNKeBFm`>ZSyT$=uerR}?%m=%5;&lsqOfpPeqcz?n%&m-5&MJl zIWaNsz<#s4?yZOM_`0krDGYR;6k50@)xtTqG74=mW6+|Ss}3BsETr}qdCDK+4{ z0RK+FUkdzd@&h|3`LSJU>WW#Z4((QIu0%ci)XlBf+OVpmb%Z%A4F0yYdt}nK8Kq(A zZ}#>;5uNwMu2Z*;>^r$Iu+sLwU51WcJ$A#qx%)30#i!IPK5&=b@u2NrQ(7wrOkF;I z&6virB|C*0`;-(ycUo+Bjx)D4*Vk3owUsqBG}qN97B;ulH8r*lb{EU)<8DjG&KOKs;Qz5ZWi(Skk(h%^1Zc=qp>wf$nv_HwhbYzzpiz0*Xi1jjqxq< z(e?4h^>8oR(zGctdVZp9LsQM1cwc+(C8e7|9Th|qVqze0hu>kb*wtx-kKnMfsJoy0~V_5W7h#S!X5NUS$OI|Rv0C(Yp<=oErHN@MhH^gdS6f%8IRjhQzj3u!-<+`TBU$UlRA)FeqeaVv2m9ZH!7gf!HQ-d|L z=YThQFi}w?jzgGPW!zD+Tvids;qs0E#h zT2QK}1+9u&P^+i~y{==OUs^fO+n!kGZBMN8wkOtk+Y{@&?TK~X_QX1Gdtx2v>oTLP zY<_Hd>4JH&>E%_GFpj4Rk?%_Rl~pri%gblr6-G7U99T6(Fte=8hU5t&IyK;8qBP5C zK^%0A&_0P{!BP?eB8X$j%-Q7&7ub?2OJ^*Rd2uNdku9EX>9t^S$2mIrE+Dg z94=U97trHz9|Bt$gG*Z1de17Ytbz--YUYw9OBYqemdu<{zGP+@ToIki%Sy}U%#0Od zBZ0PjtL$jAF3u|KtXvg%6&E-WR?9hwDr3t_K~r}dHnY^x^+_PsQj6JqqFt@tuZLwU z>Zp00yLO&}s0D@7mOMcFly$QS`gd3Jwp? zt5vgbK?NMGZBkjbU`DJ0+CK@P4$W3lSyfsEJry0gZG}Ctb>w!lVO8?L?GuN!*bw$f z0V#`0%jSs+x9`It*%f$%uzS0hWQ|azxIW-;0ARNpmFNh?!NWGPv%FlO9bQgU)D~nc zJzhX9kEguw_-Og8rQqL|KidV%pY5XX=YsMD;Lo0Z+*^nJj<*i`9dBKUq7M5VFAn=1 zZyokK-a72Zops56Jhc}4@zh%E$5U%Tr=k{=Dr&JGPi4S|o!F0i>%8rW zIB$Dmowq%)&fA_?=WS1{^R_3}p}vZRr88nx3u8+wXT}y)EUg4Bp^D0-#n8*aKmc+{ zzp)FJ-`GXrH(juVKDuB!GUC|LRUvzMtgeIs5#1<6smjsw7A#m8BY(#fJ5W%usJtvz zT(+{T0t%h6FufGi;t&tUWuh$D#3ty9W6KvVnE}0qu(K}>furt0;Hnl@l~!QK4QCE1 zWZ7&w@ikY*4eqr3!bK|d9e1zwz`JI z=*qU1_G*{{i`SRM>+37wfhwfE19!o7O-*gBZ7uO;T|3#SAj31!6o)LJt!rHGtZ^Au zZEsFw-sqHXnc4!UFzr3<{iyb?_CeR6_Ahu)j5jyeZ`ZZKaM1sBbYT3E_Hj3ui2Ov? zI@Q)S)-dhg+8fCBnXdKonByejlJ>?bXeoFm{Q^9G{=ykcD`pOc8B6c3oLJb)SK8MR z?Mv+&xaC;SAMp5A6V|?k+k%+IOu(}%wnM8pTdN(7M`xL7-)ldht{gAd_KWswRQp-`4Q`u>##WdVEv>Fjw6@l*t54|KcqhNd zG$ZRD+W(@^41a=S#%pSHZMKt$4%Bhv{}<-J;kwn{)nT@SHHatlvUv4|1o-|S@0m$) zakxxEWCQTN>GzMlo~Px(v`@0itv95HRTnXI&|U3k&*n{Sv3TS5FiiZqWCO-h1i93H zpd_qKb{jwsZy7)?)c`tsIZIGm-`=_bp61(NZau8`aPt7r;(=T$58NQSiHB@>=B{mO zsZPW;#Or~DyIBBcv7iCA3OT0LjlnI2)zymmifWuR2gl;FO7)2M_459=_vaA^d*O$b>9A^34G zHDOqvvMKM~&6gwZ@@G4(pX3LcrUEkW~vGsq)nyt`eO84`zUJ?>)O}HTH9b8g0I_L?S(*#N!X-_ zDh7v@lb6)v)hFVcVoi++ym;1o=#rj}hN;I`49+U%M@dv|q7k~jny_B);YqqTS}1tH zN4wOlTOX@#fLYoWy!gWUW)DfyrHhp=T@1cy&jHs}$7@l@77s_#lM$^D0`8iREsayn z$WdE_H2ZmIlKx$+^zUNZzipZ}7{MUX!5*Tdf1`;)4>)NTOHa+ZL^Y0$^dmeZNuMrO z`gAe)XVfBD*U}u;kM$5FT^XSY72uWj1pK;Yn1ioLYzylrd03KOT&(os5^zrYSb(K= z{RZ&3)7>P9u^bq)sZvV74;>`yMCW&oha~B?2v?AR6FR$@lB9oPR$%}R$M9ONgO?jL zGP%Se$Aa53tK({}9*bJu z^H3yx9Ki}L;IN`YuWoILwbY1V9ngH_p-Fo9Sfz)L1*a7~Akx&sH#hYt1|Ef--3Ws0 z6R&jgvEZtm@j4M=T8m=Pi+=6lNIEv66$bgnu}XIy2VPl# zJ%~k7-P8hY6V`ur^B~MR9L%Ol83!KO6%U7e7-k9LFeLfI!;E-ZNV z-xfAB4@1&#$0_}G9C)F9Pr&D<7C1MC=^;rvEy5Ktzys+Xx6XcD3@lL(OVVS4}(Cu%LfgH<36D29ivb9z98)9Iy1r3E*O47=RZyoaA-eo8gO~ zm~~GvR=DX9ZTTi0G; z9U4)J)&}=98`|s9c8kY0>BJM1PCNmf7{|6?>vU zH79}#if8R=99GfU^gItD#O6>s>_l`}7-rVQZ~%h-+DP~R)7_^BaW;|CVJCv;*`AMl zb?EwdROfhzlFo`IilYLrD}c+#Cc#HkjDF`2Tk>_d;Ptt)WDjj$txTbi&BKj(LJ~M9cFeKd=u?i*Nmtqb9J}HCyQrNiF zLy&agiAooq2<|9O5fYds!_!33Q3Pdr>n zCq^rU9Pmi-!U(ynfdtLO8t~TBIk=gWbYsl+NSTQ(8UwB=b=gnb7TJH`q`F>W05)R3 z#elqu4%}4SXi=Jc=(hNN^zs2%@q5|)gRPcV#q6!#ZD!@r9$g8Npc^&ft!n7lC)WZ5*zP8&&&G*^k3X zi_}h91Rm$!kHZ~tW2j-%5?rPRy&8P4Vt?wNT)s91@9_i~tZPL>B_w5`8+-_)FlT{T zf!TRlI4}o3aA|{Ob#N6|K$mW>w*&sb&|&3Fo1#sPXhvW@)Apd;LZS(?og(!pxoh1TS>VinYM~@M>B0T<&I_A-n5V7nYM;IYQpJ@roUC6Xr%3aK~^_07mX&WeaIn(MW zcO}y{QtoP|ZKB+@Osl8d^-OD^+>K0Yq}r6Y8a&I#2Fv`8nw8JU)F4K;n-1|&Bl5+oI+EJAI zh-pVt?h~dRL#qDGv|}mvIn$1#tzRx-jiR+M3U_izwHPX&2Mh9!$H0>UuHlQp)vV+GUjM%e2cWw-eK@ zpxgkaT}iotOuLG5gP3+TX#FzqhN z&0^Z!l$*n}dnh-TY4=jDf@$|rZUNKor`#f@JwUl7OnZ=WRZM$`a?6zMW=osSx(JwVcN@-+s3q4D7P=uUZvdrOnZ%T2f}x9u%LRd_!Mz4 z%&WD*=ZLYvV#O1!h>8tPY=%3?(DGr+{sCLDkg&U^BU~bvP!VuQ8eno|mA&&-xbs~y z!0|&}0`Hs#l|(2H7*}CA4>%(zHEx92EfdCC z`j!dlUJ*ygp$bm1p2oSr!auagKeX6Cw8THuYAQd6HO@clc>mA|1Reel?P@Iy`M-dz zw)MwswXQ#~)xQ3~Rtx(BTW#zQY_+mKu+`50bfR$)$ryiU51~H&7ok4=7ok4=7ok4= z7ok4=7ok4=7ok?Ws`@QjGifiVq(&X6@^i7c3>Lf)M0I!eEWi8*U~+*U0n>_;i!}+S zx}`eSa1uz>t9qAq{)4{cTDX7ECzr_mgFadkM4+@SjEm_02_gq6X-`6OktuPhZnw0a z)LMH=FxCCaUUphOgD|S$la0Y;NrY0Kq~rot0*z9*S(Yl&vDSnf(N)ycPD zauqBgRX*oL+9QX5!r4OyRmbJzqw^w$!v7#rtgt1Ks;<)}bu7I7=VQ0m;}SB1R&i;H z#i|5VjT9{f0!o*Pk4Erb^D2k4i1Dfy4VB@f)BToU!xYYBQ8`uy6IONISXep~`@E~1 z-2#er#6*|jREoX?xm28*KJBiOeyQvvPPyvh#BI0%^|h@RZ7&;o&J2oZ;aq6`bMW$qB~ifea5% zUZ_w1MOL5wi%_5bi%_5bi%_5bi%_5bi_i@1ni9o;F3SOmu?U15z~BZ1P<3e-lYrLw z6GnAYvN4&vG#iDtOmTxy_4^3IZl3}t5KcAD^WYpKSk)~6(N>rRqEy|R1SlDOfq<%R zHzg!hv9_xjs9xLuMiw@FBA%=j|}v&XTvfbgGk4BEw5EJ8j3yW{h#iv?1EA@D|zJ z3BDt6yz&;&?U=TkHWWAR%(P*YD`eVm%I(6m5tJLkwB0GU8`DNo4nAdf(ut^aOdF+* zM!MbU^}17v6_$=^W3(cKzy}O{J!-8lpD?-{(~7kcguzDYU2}#Sn2{DkHOb53Id=TVlEAhp^6c(5fm>C7!Uhu(*Yb$(c zT7wO3_QTC(@QK&pIDDs8+uk@Bzt+GgeHa!5zf!Tk1Bg+3giKfz9h?fQi`(`TZ~d1s zbB=xio4nLwGOA4y^WS=2SCk+K{<2YOX@F5vfrd$|1gEbsQsNR>fN&n8wU))d>+G zGi2_qYdhQDR-}oc88X-ETA};`BMk~p%2(z-5i@4Sp_wrTx;G2U_V(ikeDY))Zj zwOJDdt^@=NNPZaNhE5qK&fxl}*2&xe`veE5YlAOIx(uy=n;b<@7MP9ZraUcZ!nf)J zl3a?9On`2q*$7{hm`%XqJeB1OL+0kF*@EqBlrMA<&48uOjt^8sk(q5~J38nVxODC2 zwS#kp%x&PHvOVo;(SZ9#%ZzuCa)OQl-TguE+ z%mGoYpLwdT?P@o(+;eMFJH+v*YpiV=T`95^b&ZLTd3sojU=QU8cw)toDTg<}*e9G7 zHP0~5h7YA|N@uf&%yV_EK6#+xe9LBam(oiq2USy~mDjK32686tBlG;Id7gOzd`W9d z!uAW97pcy?2skUw{3Q{syLl-D0y0NLA$K{n*>q-JiKTw#RSq^Z37OZx7kM^6#Umda zGGR$_fz)Hw3i2Bw=Jn={%$#YCLQlWBYtXzU-y9Wyh(jU#r-PGIl%dK*OI^IaZeNV& z5HdU9C3~`qvO*qJ#2ePt#OEj4;QU?LAMWA2_5RJ_C{E>*VH%$ zn&>0*fo_^^J_w(s(Z)rvvLR6mZTc`Yv}It`i57h{Vm@L%24CsdHBc~PjPmE4;#AwvlmnDbk+YX4wl#x? zG$a}!BF5YEzHsCaR@{vzA9gMR9J z^M|N;vH2r@yKKeX5Z~i6^Cvu}Z_S@EHv*6DR|iI{$Y)It%urZ98EO+afpsj@ANDlLiM8q>w>QKwvd&o&~`uBP=+C5W8YdAYh?u zz5@{xjT#(IFo776)M-U3VZl+feKh6-2u78*77;##1xpA5!b=D;J_!-4BUo@EK_=nB z;Ah?PXjyP7ZQ27j!Pr}@U!d48TWc_4l30+#f_o8lDdy0df@M+jTJuL3z>CW$2xDpI zzhFzW0=!Af*E5wu*_CMi0|f9TKcJ~Oy9xGbtif-uk#$}olzJ-j4$S+$|1 zX)E5jz=c-^S4GV=!PU^-_QByDIuu+J4X%a8(W4}KA8-yEund0qBM2YFjTfC#uqO3c z%o_Mx{Y$VGhW|lWr0IJ4NMb_4I=DU@!!p>x6sG3|H${URgD}Qjl{FgO&1Pq2H)9VR#6-7VN23AP2{tG&tKPjF(liCBAf zE4jx_?t4+n;9C1AxNj85_Jc=Paa#x;01srra;A4Dc?UDS2kZsf<4~sep}NDF&Z+K5 zruV11qnSPcy}KoNEYk;3-SJG{g?#@+rtd~|Co_F0;Z9}x?o@X=(?=5SOr{r6-Puep zCfvD9A5V4XGkpT#E@b)?s=Ju!Q>pG!rtd{{movSTY_DYcOscz@>9eTrTBgsXy6c%f zpK>=ceF1ERqr92vOQ`NvrdLwk?Mz=zbsbD!K`eJNeQ&CRU@Rhb7%nwh*WT)gZpDK4 zlC=An9w+bvOkYQ&4>7%#>K6@tT38vTMj=l{(#q?&Xdxq(oY3I)|y`Ab_ zVEPuqy~Ol=sqPh~??-j7G5sK_dxPl*Q{7ukKb-2`VfqnN_a4)ap}G&4ek|QwK4kid zRQEB{Pa@o>Oh1k4K4bdnRQCnb&!)Psn0^k`eZ%w%sP0>)Urf30nSKei23*`%P~A^V z#}PC*%`Z&9mg;_E`gK(I2h(q&x<8qIGu8dg^xH*UNN4&E+*?Zs78u<{btcpAhPvR! zP>AXGQ(c7V4^Ukm(;uO_&P;!l>bf%hNvbPg`cqWbo$1d}T~DSzPj$VS{xa2ZroTdU z{h0m+)%9okn^d_FBU6}qM)eT|#M}*sr=^}!t5gNwy&!}z$)4w9z zNTz>{wk@I2O#hDRikSXA)s-;)C#oCA^q;A20@Ht|x=Bp`L$p;0y0X8iZVzT?gqy~U zPE=RQ3=`|V4V5t?LUl8l5v97>%;-XO<;)NRhL+GgW>BXPnh%2@ySpjF;EJITJjgre zo{u2{Wkg2vsqIQ{6IVP~Q<+;Rq;%^E1w18!WU66u}u?%?#>RLTi{o z-AX9NjG=U_ab{2l6RL*UL9oz_P=XoM!GzYsJR#N9F=GrJ*Cu9+BijaMjHS9JW=y8K z&CHlYb*;>phHcUkYG=ltRJWBGGpKGmGs>tAW*Ts?Th~}!-(Hgtqgf2FfWbT4pdrdb z(6P3J4rIn0!XFF+1|>KYIy4$O3~qtO0@88>GpL&i9mR}Fsyl`m)J=tsV+M6ep%a)v z-Bjo#W~?E7r!a%MsnBW6SVy*JFoSxd&{@pDo(FWD!wl+;Lgz6<^gJ!03z$K@QRpIO zY{p)pC3Fchs5c5-#*8ggcLg)3HwsnQ%(#@!!!yjdn(Cfo##L1J0yA!)x|f)7J=ML!j9aPhHD=sG zb#E}^4$}7)Gdig59hl%1k5ZxcQpYFOJf<~134H*wnW2Aq#wSTkDD)8w&cy1+F)Z{6 z>^<~x=u>9gLwkY;BidNRLSN9vFPZTmZG@+)PO$zG?EXWWzGcQ^wCQ`W6HBaN} zCk6vbY(aR2qTzQKhbpkOFA%lBfrWP>qW(@Gta@kRoe4Nl0735{0`7vn&$4J}k@+L$ zmf%0wOQ;2YduL0cnuW1z32qDz$Gr@P4UG+TxH6Q5N79B-n8UkV7?y8}t-=h4H(*wR z8%pr;C05nI*Ye3$O+(Wa8NcO zF2yH49G`+Kbg=Lag5BxZFTyN38ncG)Vd1+8cOUdkcsTHOASR}W!Zd^pKZu>hgU}Dy zTdh`pg!c3(ZhjQ68uTj^)Vj{TYMvm-lPvrM?c`~=P?1JZh;d2_3qK3DQIPx`62Y{k zh@jmHF@zz|C&pVPEDT!!`XUj&ggMa&aEHX32AIPg@)vUcHz4Re?gXY`A*c=fT&G1r zCkfhsh2J8Zw=pMp-n9Zodu@ap2$T28=qu_XItvUGb&&uI>`ZlV$Bf{$j1hoZScEi0aQqbsvjEPH z1vf_WSYR;0JJUGBIhe#BVX%juf#H#^XpqkW!^of;j5yTjP^3pR(i6s>fzh;=-YhVR zj5v-*#h&}IKnc~sJv1MMiV4dYEE|V#0W5;|QP|%=)C)J$f+T|62Ozr;WH9FNoJV$r z%RPyMdrM23hx(kiLdyR7bbnND1Zy z2;ResF4h9o)nHUx08XI2PQ;u5PKFC6sc~x@E^`){N~k?BCs5Pi+QrFVjCn6^(h;1C z0Qu7yaHOlr zU~kL`19+9)!(ygcPcXuHPZrsSpm992aM!hHAw~=a>$Xr7@q$Ha2nz>RL$okom)Bf} zPl55ehPoOSsUyTjWZMX1Mcmk2&ms*}--z{%Fzwi|VJqD6+M8Jfr%9kOLG)(ggm=Hu zhQu})5j7{^*E=Fx2(T4%;#lDAPy~P51O|>Ua*N>n2@vd$IkEjf;BRfJZKKTx)8<21 zgdS}ohrz%OKBvG_#3DGU0>($8t|OuK8!+;mIP|1X97C96S>zbn0lc;?NCNEvUgSi= zox~s~gq&jGz;`e>hcyt4oJP3Q8RP`+OuQq(v$Xvl0%j1QiO;5u=U`5VJkJBGjn_fT zUO=!5F(+Ub)yZFT|Il6)kbLO8n`pU>Ai)}w_;A9Z+D=vIkCkdrdm^D{dN}VAoLxW z6X?5e=1nx$J#e+T_X2$t?%_V#em~}f4cvW2Xc26RhD6CxHo$*0YUjO?e-HEp?h=W-zk@XzssK65>FzF{4VAiB7YzRo{GhLA7p?#NOe;~LtPt0?g!Xkq~~wUVM|0|%JNZB z7lkRyrzi*ade4upG{@UEfagW=wr{pXaXc1L4t{aL7U3C_E5|4Kad> zMOZ9?Z~dq^7K;!Y0tW*Co`A&(@RgC>Eb_sizNU67+_31kF<6u){-RSbCq(Q4SF3H* z3SoZ}wY4IQD1`9FD6+QQG&;t;SQMuTVQ14Fvzg+aAbzO8hR-1QOcuo%M1aqBIM6y6 zBs-|f2|JfX%L!Wny@4%hEBs(?QyhL>7=9f`{=^51(wt#*A?5@>y!Dd~hED{Wn_3~H zW7~EXtpor#SQVks;&p zalj3a6MP+u#tB~Ifa8O|8(vHB^(LsRzJ*2G2@Y@LSPT3D4_tl;>h$}0=7r@ZoEeVp8{H32!~SsR za`yBNP$&w+59GvorPjt+T{DZ~5F~m~^bi)H7hzEtf^-!SeCC1Q;1VbtiU0~mAqDn) zz4(xzEDpby9X%TQaQTW+*5ez-=&_OLG122#fF``7C&2z~g6VZ_t)VD*hp_u!i=<7uT(enZ};t z#anc3ZE`2VUN#U64C$M_l#k(jet|}Eq8CIjgpW<4Fu_qEVI|ZT*Z*Gs* zgI&HnX6qXXpewdEL#mfpc}VrKRvuCvp6~<(q&j@yA@Y#oPg#jPr20fF52-%M%0p^k z$i1N0KV%Gyn@1(c7#RDGN{}%yejSw{V_;-DDnZ7;_;OT&jDeBks010K2zE~;$QT&y zjY^O)ia-LDPz(Nwktkutz#k!^5^BMp5~31n!QTX;5^BL;_n{JM!5`_N5^BL;+MyC^ z!Jo{b5^BNUzo8Op!QZi=5^BL8q!A^|82HOFR6;HIvoTacE%*yCR6;HIGcHs@E%;L` zR6;HIdn!~yE%?(YR6;HI!zNThE%?hMR6;HI!y=-D83TVBgi5Fdf82vgs0DwbgG#6c ze`kYAs0DvAgG#6cfBAw+s0Dw_f=Z|be~W@js0Dvkf=Z|be;h)TFk|44JWvU>;14!X z3ANxaFHi}!;4dgp3ANxaAy5gm;13&63ANy_6i^AZ;13Q^3ANzQ2T%#M;I9CP5@w9? zwie777`dOep%#q5PbJiXk@TsAS}-I&l~4-?wx<$m!BF*7LM<3_o=T_%!^TqywP4hD zQNoOY@!P3{S}+JZl~4;tQKu4W!7%7lLM<50oJyz#b#(<_0 zYQaFxR6;EnlUbB7V_(90=)lOQMVrzTy8*c5rysM&l zSLR*aHJFF*R_etk=nm;D@4CFpyJ^9^>*3{Z8B7Pl6CIIu{Q+@iqV&a6Vu9dLIczGlIa3U-jscnK;KT%Uzw_YpM z46PIV1+_2)H|&NB)Zo+nZnzdrq_7fAq_7T6q_7H2q_74}q_6@_q_Egbq_Cn)q_AX6 zq_8YZq_Ff$q_Dh8q_DV4q*Ju1B89-KB89N4B870PA}zJb)2$Q&t%~{?Ryxy4A;hYv zpKX;Pys9Wea8;2)XjPHUv(gGHoo}TJtaPE3LO4}{hhVBAg;1&@t+cjRS?N+Mg%GM@ zI|NV_DTGfIDFjayDTGcH>1r#5u&JU9K~qHvAyY*P0aHb~kCj5OR8fXdsUn3ysUoeh zcoJ3$AyP&CdMkzSsG#lPD}@lJqW&l=h47}L48cuB3ZYF!dYn~%yp^6{r6*eHNmdHsOa&f-nTixb znTqr@D?Qyx&#+PmVJfym08^1d_)?KV@KTXN=u(lMXQdFfRFolTsYoGYsYoGUsYoxj z>Mya%ms%+VDizx=x6&)D6hf4W`m3xI!jpQ8SSf@c726>IsYoIGs7N9Bs7N97s7UXzQV2UL$`Eu^q!4mc zq!4gaq!4aYqz_r?!&VA`Mn(OjR{EHgLWogO|Adu7cu`S?;G!ah(4r!J#!8>H(&w!7 zc`JRvN+F!6z(X)mkwPd@k-lQ3uUhGAnCcH|5&RxqGxBZ()PB&T;sU4L@76xmw2BeK zJG9T|@BX-E?tb&F+JA<3`))*s_TLWe_YUo^`6Gt!-k}@IcfT3%fxMgGf1alQsdWo! z?}qe99#-Sp3QgZD?-s}p1Y#3@?kb>ng4#T-P&f4;bl zpPO5n_O~>RRBP(4vus3_Iz$cCJ6oa#>0K;QBUPf{6LG(y^0N_D<`PvPMRm(03cdmL zE2?KUqUO3p^^&4`XA%Wpm--deHycs&T%!6(Q9ET41)sP26}59VqAFaX21-$dnMA=y zw0=bm&PLRHm#869)UKIC!MD49MGebF)B=~N;ZoFyOrqf9VZWkAWg}{#OVns7YD^|k zusp)AsFG|%Epmw(D@BdVBnmz?@GEL!Hlh~0L`{;SCT9`_^WuI*?U9YBB`#5WN>S4? ziGmd>enm~sMpUIsRGAbtBa}*6;xkSy8qRKOgf;BpRMP+sGTj~-uPl~F@ zBnrO6_bX~)HZ8TxC2ElrwK$U~SP0-(R8=;jmb*kPm7NRjM8R?xzoJ^Q5w*r8s#S_=%OnccgB)_5#$wt&ZE>VX{QHNy`1xup*iaIhIQE`{3 zqok;#Gl_yVS$;(wmyM`(E>XuzQ72>)1&hM`iaI$PQPnO{r$|w!W)cOf()@}#BO6gQ zE>UMnQDK9p>lrurF zUfFL$U7Afpt#^nTs$V7>>heqt1q-JAin=NrQ5#&Mu9l*%$s`I^Z2J{;eKw-%T%vA} zqHfG23YLuf6?IECqBgoj-6}=hmPr(>S@$cdBO6hhT%zufqVCKj3Kq@#6?IQGqUv3u z?vRUM5(N=l{EGTtHlnt>MExm6{gp`+gplzm%FweBwXai@Vc4RKKn775 z!^W?uU^b%mbBPK`QQ=IYAc&4%QF+;j+TSILNl~3MiGnCTenn+9jedYjlzfG3WO{{+ zVTAmO%IX#JK`v496|#}(6*2}W@+&HYL>=W4RV+o7WD*4txcs)%_-sTS?GiOXikg^76odftD{4wMqKk?HeMNQ8n3WA{d6*V&(QOCJN&61*KXA%XG*8GZ^n~kXB zU83YGWFylnWDMozS5#K7kWX-llCO}BOs|kJmYiQvS-nC&(Ira0LN+qJLdIZrenn;V z3i%|LDESK6$n**sqv`n-mDMZclU<_ZD`X?nD`dm}D`X?9SIDQhM9EjkMy6NDhW}T{ zMpmzoPj!isuaJ#QuaGgIq2JS&)hp!FT%zPFWFylnWQ?fjS5#K7kWY7slCO}BOs|kJ zq@!O^S-nC&!zD_-LN+qJLdKYqenn;V3i(WzDESK6$n**sgIf9(mDMZcvs|L&D`X?n zD`bqS=~q-%uaM7niIT67jZCkQF|4OwQCYo0KF1|WzCt!Ky+X#gqJBkX^$Pi1mniuP z*~s(?83UX86_wR1Z7FQSW6E1%bQ$iuzYJqHcAG`cR7cD3d6Nl#U<+B zQq*UeL_r{FzoNd(M%1e=QC~?>UuO~pk*xiS`ZgO;uen5hCq;dqNfdgPQNLv)>T8#%-=(NOGKqq4#(qWpm5r$HT%!KAMFq4BqA;GaPf>wD zHln_FiVAd+qU;sFs>QV!(Alr3a5kcTaEXdYQPE5-1reqFit3z=s2^RTx=2x7Gl|Og zFREKMqWVM|s9iFNg4pGLMeUl6sNYKj+W*0HyH@ z{)`V)8o%Vv_#mb6EB=fRRvN$N&-f6f@f-e(4^<_(y-nCo5a~Cx6DLDEIt}KjTxC#=rS9K22%-hd<-fmBxSiGd@FU z{I@^jGgZc&bbrQYsZLd=fIs82m3ub*8K0vx4*4@aS7{vaXMCR0IM1K)`N{_C?9ccD zrEyn(#uqAO7x**2NNL>NpYg>?***OkU!vS|Z-2&@Dvh~6pYg*=@n{rpp= zTs`NgDG$hj@?16LK{-&Kr=~n42g>u+l!xU&d4Zbph#V*{R8t<61LZ|(%42e%yjV?n zTn?0%sIQ(A{8Oe}a-h6kO?g=klsBj;ugHP&Mm6PCIZ)oD zro1Kx%A3`c*X2NYi<`?baclf7F zxt-miR(Y3y%9NhyPBrB{IZ)oEro1l)%DdH+59C03kDBtK94PNqQ$CUd<$Y?($8w;& zUrqT$4wMh5UHvKllqs(MpjzcK{wY&j{UJ5wb2(5xtfqV+2g*m(lrQB#`KX%ml^iG^ zQ&YZ{1Lfmt$~SVLd_qn6Rt}U;swv;ef$}Le<$F0$KCPzwAP354)RZ6QK>4hi^5YyR zpHowQngiwY>dx&m|CA}6+Y4&SFLI!KQGMWF`KL_jFJDqqev<>`%WBGRbD(@hP5FHe zl&`8Of6RgMHT4<)$vViP67XvDNgjBTBYfqGR2ABS5t;^p!`5h8Oee2Uuw#{94J3jpPtVC zDN|0*M{3HhIZ%GAKJWtnlqqNY6E$V`94J3kA9zpylqqfbZ?($a{wY%q{4+Hr&w=uD zHD$jXD8Eos_RoRxOEu-rIZ%G3rYy{X@@qBaE;&$sqoy2^1Lc3zl)L3X`K_9ASPqom zsVPU~K>59za%2vaKd323=Ro~o;{_h zsVV2>K&h)K=jT9as3{lbKp9X|F3y3nlbW(J2TD^-xikmLpqg@d4wNA^<;ol=!)nUa zIZ#H_lxuRJjH)SPIZ)=QDdRa%GBss&4wRkM&piqMl-}navx{2gdjFK(=N_}GnzAkj z%6v8DrW_~>)RYZ5P{rreqXWp6d*_8cht zs44f$fs(5!56FSCubT3p>?yS&X1~^1J8G#;Te-qmZ|Q)#@r(s-!XxVO@Hq|$h}*SL?;c$7Ip(b^-u z#$0JU#vG+E9^*CcuQV<;ixkEsUgH5u1 z{(*UCc%#*;8`4f@{6YK(; zzr@2077(zT1dhtzB?&a;!328}u$KgmP6Olw>??s|(g6DtaAyfDN&_53z`+t&oCdfX z0f$LoNgCkp1RN=WW77b~5U^MR$E5*|Bj5xH9G?a_nSfIya6%g3o&=mGffG}JGdhCP z0rjOglQSf6a{jK#Qy82%ydyZfBRIEWL`QJZoxvp|0`~>0I)W>%(jxPB?+EVw0CdX_ z2G`m=jtgL~`~eQ0n8mYTq~M8B%7xu%j0>1>=>TsAY+`_2PPUE&fjs8 zsY1=vnRgaUC-BY=rY^jTQxN2!n-<{@_D{jQ}PDBK+ROZ3k1^{ zyuiWKjd$~EI!kI=sAlTUy9=gscy|X=58lJ8={(7_NX^uf_Y_PQ@SYB)Uc8rA(?ybL zv6`tj?=6@v;k_M9eRv-)(`AxriJFOXE|{+1+`-hB_w^p#Rg$Sv&D4+g6HM3eeh#Lc z_)cD?>m*Z^nyEkUFPLuN{T)mL_y8wUaJ!^gs;1eQ?<{C;;yXKN2J(Ts zHCZ7q6lAyYLI>F(K1e~fLy|35lkLKH5oCApT^wYC`C#V(;stY;WLlwS8p4MNrhE7h z2h*;6SEnpwx?eJ_R5R_ycN0tx^4%OvL-|lAlhs-eOR`mJvSECfAbXS#bC3;(`>yEB zUADgJ*_*t? zK{l3;bsZz!lHZnO`>4sr@o|FeT|Ul1HlB}HD0^R$#nogJ_yj@rFFwIRHjz&hWWmmk zn|$yiNw!W+Hi=IXWS{U!4zkI7vf?oREy=3YWK;MQLH0SH;vk#Krz*(4lw>t(vOV}7 zg6wO)hl6ZSzNdohKawn=CY#2m39|3_GzZyUe6Lh8$H1soO;*ZF1=$b0)Im0#PbV_# zT7v%Kzf#tEHB%Wc6HGtzG6&NP4z@U6OEUc`nKr1IX7ZVW>32TU!8D7{@-qETGS#V> zW^))t!T|CwKHI@GhtKgag*3^uQO#7&%aJK$@Nx&!Tt3&!)JZaJQZvot^8{0n&vP(U z@Cqjr^{XM6!m*|$>eXcP`FueZ$u_IWmhuWUKfpCmG#ALdhq~ zRyEmbzFLs&%2zwc_U3yN89hH)Z8lUYYg3c0;cEohaK6StwwAATDznITmt^f~vKWsE zvQa$dAlrxU<5UJ?l+YN-v_;Jn=W)SQ%;OHGb$p$dX{==0s%EO@)q-g}uXZri@ER}E zM9H*G&6MEqBndsmWS(#^)$&?rQ{mA~l}y{!OzZi2!L%n|?_k=%;W5?m1Pn}jNv3_( zOm)0YFiq!m4yKKKql-xl07A)U^8M6goA@R{Hj8g^kk#{g*8vjQ9I0%7HCY315M*9#^BybO1jX%d$M9nW)A9Tm2h*|qSOwFGDy9={rsMc=g6U*_ zoP+6je!QZcPI5BYEqs98Bl(a}`Wys+exHna<g__wq{| zOqcRY6`IaM4%db^1U8rJu z-e$UvUniJeije=eH|lU6bECIZ(mVXOVqnlkMO;1lc!yhl8wxcPPlNO+)sbO?C&rLy-Nz?{JXa z$?sGg=5=YvezM8#;&%zMU-(@Pvb*`+3T4-)A^Y7XyNBN+$o|Lgagg21?^Tf9kcRAU zo9sS*ACiT2exHNvety5=FmF_og*(|~5AX*BS&%>AAbXHMs8DuO8nTEOfCQ6o4sK2Z zWHeGq&dS`92H4e>_Yi+b$SdFvIpjUeA6Ce_H4Rx0vDa{Kc|*7@4X_W%OODt(oItB@ z4fnIXI6Ocy-YGsXaK7oE(Gf0`z~uD6U0xtPZ-j@?u9MF&4?Ew>hj)ecfCt$P9btMy z?Rac@Bn@z=_h24#0`Xuh#u3y!$%%r;oj|;3E#PPZ7RjT2A`NgX0mn(;lWBkx2{>5- zpGpJVgMi6b*-xhd;@fO!`s8EtGiiXc30N+7{cIXw1pyaG;B#q!iwT%~pnX0Ka2Wwt zNX8e^09O-mjRd}!2DlFa*Gb?@X@Cg=u9v`<(*W!23-A&Ch`0bZ@kbmN;G_IeMQ8I$ z8nOnP>@ohBAZy}}ImjO8k1NPtO+&WXCVPTEA;?NBx2Xe(40_6M=P4fnhTO zUzNbGoIuCTM~;f0;m?RG^-cba<4S#&KdZP>zfME;4(;_lxz}&f0RKh65n)_jG(BFKK`uQ}~$G;xK7?j99;2#LG?)(D>*}wR|1X-k~bAIM`Ct0KyP>7*!1cu{8_96dJ zkoDysI>dTr@|kW@=qQ9@NfR_ zRDUqSX~#vO#_72Lg-=D5}20;SWCbS63Eg3HxaO20z0PxHW9E{ z0=uLEwi2*i0=uRGZX@8n5}2O`cmM$plE8vAz(WamxCC}f13Zd=M@yi4MTmU3jT}e7 z6C|)l8pe|ec&Y^UOanZFfbt7gqn8(mXBgihPB!QPmAXfn| zm%u9}u&)YujReY>8>62Jh_mjb@n*@mlL~m71j>&rjsC7Lw`WqL+$n)~OU3~zAPjbC z*FiZtHFj13AFzRuha}@b74T6Bd`toh^ZO?o9B&$UW^le*9Ch+DbYqYc7;GjWPDfMo z$bqr3ixU{3;c(<734BHFdax5{pKBcK662c^I79`6fvg3TV_9QY6%Yop7Eq35jonm0 z7|2?{&*ZL$s(@cg;MWp3Oa=T_0>78Q;VNMAV*E)0M>v7@nfX;R$|W?$?kXUT^{5^H zl#C#mMEGh+S3LGkCMQ#PN2=$#|B3GO5ivZu)hTEEP>-y zz(Eoy=SGbQDj>{vTFoODduuTHXRX|*eM4ioc37o3}9?%hmfet+b zea1h-VQTbn{+VN#`Z@nR^%=-mpz<&vJL=Zx@iyNV{0qT%BLBj{_a*<*=8K*Ti#j{q zXI{KAAeV`rR{3D`)Q;$x9no{7@`cXxW%pIEv-4?Zbf6`YOCI|enH%X?Rcb8CUk7JZ zy{a^Nv10#=@(Yu0;Mo6V|FHj&3a-WZLzG-sO0DBV&>G31$ddfh^lGn>ZM4MMO*=GR zuhy8cy(`mbyh&RzvQ8{yu3>wz z#jFa_W7&D^LP+0WpR=#bHJt}{9@lvyq?e&;qhI2n1yBB~uUu*|LW$*@*1Dhk)$8L0JN_5kyf`6yg8; zpz^=H?{YBOa$jG+=jNN_-gC}VyLWf*iE*X- z4)@&{fA?_l!2Wua@u=fb594T$SsrsRp7prxf&1ba;+gE3it%mFexBH0&n=!uJdaCO zgM-1xV8&S8(A3ZZ<5a^E!*Yzb48Iv3Nmj3PuL@q3F@E4R)@wY*yaG zpDsS#F)sGm?DILspMC9pwUX60)3=&$O^ic*r}$3Cc+&Tp?@h^SvY6sbi5Od%dYXD; zTxr^2!n#ern_bLUx4DeDjv4DVk2cRTW8LPn=G$hh+b_f~*$?aX>)_YNuOG(se*63m zN>+=F#lzx-v7)7cr4hynmU)(i7_V6FTaYXNc>j|A*%;sRAL%~^<9`2h{ud-`fH5FE zAPQr%fNlZrU|bQfJz$q)4Xhg2IIx*y4LKHaIpmsT4Yh>Eg<`&;Z9{v9_QAM5bYCd0 z8_^`9O9a*(85vnLvN*;bkwYSp+sK`fry@^F)+o2Apr}xc4Wl|nb;h_T>a(b=7#~DC zMmtN^=+e=(qw8Ya6n!un{fKdh@rl9xi>VdUBBnLQ*)gkP)=Jj6m2o@bus`W_)7zxC z$G9MUWBO*vnz1-za|ZUC*=UzCws$AH{aCuogdP5`sld3MWX-nI)OgG?nQe~y!LJ!KYi{5Q`lU*q&2UX%NEnat(CyiWOA{r`Ba z^7U?i;`Pe+c>KxtDBoxJ3-43D*Z3FTt9-xD-*~_B9Hzf{4&{0L{=s>2pQ{-2|A*(w zeZDLf_#DosJZJE8I%n?lX0p)dcwXhX3q9v^=RSWHi+BO&S6(CP1zkgVotPJRo!r;T zWN|O}TDh;6#S&h^^_164dP&z*UN`wAURQbTA}{&c%Il}Sg6k{iQ1lheK{=0NuW%m9 zxs-Utb5YKxWFDAL?m1<$tUNL&<-AJgp?N9iRyL2#O*y}Ed2oKpIabJ{bId)@ELJHG z&r>^7&eFuP2i=E&%IMuBmAOS(9>I%?r@Flxu5Qz}BW*U+V(6zT9ifVr>iP8kOs8 zUx3${d##zQV*y{Qa=mZA2J2O>xyx&^=G^PfV%=V&b?07tS=Qq|KsvBGIsrP{z|}LH8Qy(Bae-`H@Rbz^J(++&pk5PhiY{GB|7r>sQdG; z@lix*m>Qvf8zFg&)IIw5G0KV3jGQmB5o(nFW0d4^QupaU$4L>XQEH_AYoz3{Qupe= z$0{dUfBf2Mj2f-~87+Cd)cyM3@lt$xjZ-7`ry?egnYw3xE@q0TjaQ@gr=up1o4Rj* zK5kDVr*Y2t<^Du9a(^ju^4O_+_t#>lh~8v1dVe{3^7yIy_t)d6h~N}8f`2Q5@))Xn z`1fM?G>XrDf?=8(#lIazc^uV!{QGfKL~@22$$u0{c`Vhv{AaPuiRO%)-?q$Bqxp}c zDUYYRpZ`3bicil^)QCQph{|KC?&NTU+@mXxue!g_Kfa0xFH$4?LLw}WvAV}ECdP^=FHxiX!lEpX zv%1eOF3yTbFHD zdCb*4e>pMF^=Y2P)~Hc`X;GKQUETMW7k5SE*Qt?zsgak*Ufuhb8+%3cH>lBn>Cu_hP)o?{do2DkXOW}2iu`mBu`XCUK916x$4O4qu!sqS08zWY-X|pYK8J-h2%9- z?@`{Yk-SQ53p=D%DbH3(UMKZF<=r~vs#F#`qE;$TS4v(h^-C z>ix?5^-@&qgj%tDQ89VV)O(gcYNn{#DYa_(rfTxKsrN1a)J;*jFV)KBtIEl1r{25# zRXauX&ZyPPch!^EPrZNnuYQUOo>MEBFDodop?VMVXAN^zu^szLtzy2dqP&jkeaydg z%vH%Oc2TWlzOJOamg>FC-?e;NO$W~>|9y`v_O)8gd|yp@J=Ob}|Ldvv_PnB2v_Mo; zUQ_j+7L1z8t19f+HMOb*rmFI~s`s_v)Ky+t$7$?_TG;|sS$S>Mdt0z-E3Ymq!)~e7 zEpXM9*H^v21+Ttze{Jlf8Fr3C=ue>duk5zk;sV)Xd6U(9Tris~`$E<(W6ZWOH!{X` z%#G_=Fn423xjXxWd$7yglLvAy-iRCdOzzE3akJ2IKT(!jL|^VNHt+!P0}rw>@o<}L z9%0j-N7_u_Q8rt7w9QQ(W9!CaZPR(2ZBrg^JDexjuHlKc7kH9n&kIZOJXxyCQ>1>p zh_r~ONhf&;jhSa^TJTbu@jOd2mzUH0$jjSh@(OlCc}2UEypp|zSGI4(tJtsPRqcQ0 z)f~$3>JFoM4TrP5reh$l<=BDOcHG44Xf^yzZ53WuJC)bd-s1J0Qn=NrFK^&`% z0oC}Dfa!c`z-_)P(339@EXG#^4&^HYSMfE0=lQxI4PPG=%eMv9;oF1y@|{5o_^zPi ze0R`8z9%?@?+vcR_XT(7`-5lj1HpUw!QgxRP>3%-9FoP4gtX&FL&o!CAzS$IP$zyO z^i6&;^izH+^auV$n2CQGmd#IxwdZHTCh)UiTlu-LoBVtsH~v+jbbg^wQ+~0~aDJ)K z8vb>m3;c4pJ--qj&##8p<=4Xd@$2D>_>J(B{ATzgek&r3e-lxK-;S8d??mk4-$vZ! zcO#AbyU1qz`^XjiUgTr`LsSL+W7H&mKk6F)DLRq=9NmZi55-xL?H}`k;0f*LwG0l z7Cwoe3g5)T!j$-nFee2Fzoc@)lGIuFCruFnNjpVg(zhb0u$KrfTtb8tZY4qsj}c*o zH;O`quZZwuXAzN{EFzN|im2qlB071wh)F&pVpD8HTuPLPPpK&qQr;7ZDRV_q$`MgG z<$*{p5-3uNlov&cbP=gVCX2KpTSa=2YochXlgLO-5XDmKiQ=jKMTykKA~W@rD4F_L zlu9ckveK%G?6jVublPlDChdSIn|5Ekk?tqTrI!(v(#MI)MY*U_w6CaI^q{Dg5hAK* zbP_c(riwZl*SSu+oH2(ic1OC)G>)qs*DxWSVDVDVCLtz0nSD< z0B$3kM$>@>IBtTIG&|rvgR|Exf!hpcXXgsH1Cp)-Ha8cUt;l758bgBV&87{`D7~B=O zXs2m#SK;EE2E$#0i*@=2?mAq8(@D4+aPiJX;BLYtIS0bsf=hI!`}+-CvNPS^+i-=Q zkHOu6E8@Hf?pwGN7Yp27xHK0BxbNUnUE0EZ4_DNs7Ti6!beHvTKfo1pnGN?NT!u~q zcOR~V%Xe@;!4=n4hx-|>q%H&Q7r0E_RJaFlS-L@RzrvN$U5EP(uC(qb+(Wo*eLURn zaAozraF5{1=zGCEhAXFU#sahq?hXA;X0PRN74%Et1YCJnH#i%(O8SRzwr~|)8^TF& zRb1bI)4)}BT?A(bSIu=ioIPAs*9UM8a5Y>n!#ToLcPkC2g{$Qj2j>J=(`_uAGh7|F z-f%8(wcRel>EPVu;XL8qa$gQ- zfNSW1zG=PS8oQ%!S|eN|k9u(4a7{h3;e6nlc+7$Gg=_9H63ztI%;PSc8Lp+rX*fT) z7M`ha7P!`)L2&+Xtvt~;Z2(+bPxMV22-n8*I9w21d(Y3{g5la3%y1!a9SwGHp>Q1x zE#Si7-ZoT)D+JfcupBNNu8ZMgxCpq;hDUIbaNP_y;G*EVdR2ythU?*#3Ks*{-D^Bt zEL=~oK5%hx?|7Yui-&vHYd2g1TrXo7Tq4|iMpw8bxZcK2aE0Oe80*0$!@Y0Z2$ur) zfpHF85xBnI5?m@=f8$-aG`N1=G?#R^f!;KiqHqJeC&6XF4fgH_R}5~D_hq=^a36Xf zgew6z#E0&0CfqO|y1ymihWd1eD+M>grx9Eh+;E@I;j-aI`7DGh4L8zP3s(kijL&_z zvT&n)Yr?$&H_o>>TsgS0zSH5#!;SYH0#^a&-z|AyM z*4~8s#7tSM3pd+LS*r*4shP4?A8w8xWz7mV&yTX!0B){dKe&c)3;f!_y#+Vl?;uqXa82PBTN=SNgIi`P57!)SsbwKt3%C`QkKkIuEw|vaUE2z7 zl?9*e+SYI@{j=fPz^(C*g=-79+J6jOJGgcJ@4~f*TkC%Tt^?c#|GjV>;noL4!F7V$ z6kvdR8*XF3J8+%hHU~6;>jL*#z%ID1a9abG!F7Y%64(r`JKVOw%5Xj4J`cGD_YT~S zkRxzC;kJj;ed`6cE0pfryKp;0`@r>v+Y{Ov?mf8Op|n@;!|e;Dz3KzEH-fU(7w$j= zW$gpF{gK7t`oSHF42SCvcQ6v)W!eF7Mc7_`XcP8p*xKVJYqwB(rhC3IX z1vdunY&5>lwPWGFipKZ3b{yRK82Wtq2<~DGeZGu`yAabFZUWrbF*V>O!d;443pWYw zO3X~S$#9qBD9<0mU5leUPl3Cd-X3l$+>P`)aMR$fr*DRv4tFbk9^4GLn;F#0nQ*r= zsF$~N+{GMsMY{UTUDYmN60UGk zIwM_>u4vaXX1@hX-6GYI(zsLszkQUdNJ(7EmSVV6LrURNS?LYN(rqeWrwGLe#cirH zmPtn?38e^Ggls}-dbSK5m8GLM=%^f_JfQ-iBB2tYGNB5+t}3A#p*p!5gqnm}gxZ8U zgf|Iw3H1o|=^a)=142W>TZBf0#)Kw>ru5=wgyw`6gqDO>gw}*Mgtmlsg!Y6EgpPzx zbe6a2s57App(~*qp*x`m;T=LxdT}p0dY90f@E)Nb;eA3MLSMoMg#Ls9gn@)Xgu#R% zbgB;tL+Rl#!f?U}!brj>dUiA&jUkLBj3azR7*Ci$FPTV3lL(Uu9}}j~6H^J(=;3t2 z40N6Fwo#A$&@hOPEKPPgp=$NLWNzOjtr#N?1l%PFO)$NmxZ#O;|%%OISx( zPuM`%NZ3U9jIf!og|LXAd`K8d&km!b;dC^Djz$tj5k?cn5XKV55k8{VjVDYX zOe8mnFq!Z%VG3a?VH#mNVFqC)y<-+(HsKS(9KxrBxrBLy`SjuigoT7fgvEpI7m1|r#ehHLJyA;juDO%P7qGgv#03j3&NL#(}XjGvxIZ>lJj)*72yKm zBH>Bu3x_L9ij%5+s6#U`MbgI1n5OT7nb78S9W-=txJ<6I=;y z1b2c5!INMhcoB>QZ-NhClVy_)NW~dD#lB!X9uRj3_Xs}|9s)L;pdn}pdV(jx2jIzc zWFZ6-!U-{iM8cD2)9I)fArs*Ake4826Uq@P5~>ku6Y3Kj35^KN32g`+30(<23GWm7 z6NV5*5OjpGgo%Wygc*c6gaw49gjIy~gv|tZ!gj(Q!a>3@!WV>dgiC~Lgl`Dn5sZZU zgkK4d0bxsUAh;0d%z|Dg%!ELIKRF7chf#z$LJ}dBKzk)h5y}#1bHtO)q4x^fAwfGN zXmbQ@j-bsEv^jz{N6_X7+8ps@bDms?c1X|;3ECV%nr7aD?0znhJa9olLq{N6I73%Kp?%vXp3Ki<^ z?k#n2{Gtchc?%rfO-@D)c)slT??s?wx-kE1-W@l%ged?ck?$AKdtVs&*>s%FH{>bmAsV_mXlXh~{SvSQ<``pTA?R75j%Eml)qKC~g( zn5>OxAzkCP#r)LTmg>e-VbjLCiutLgRC7d&=vsRVeb1(fWKB}nb}A9V(8g3%O{$`K zXenlwRTKvVD5$DH1&_mWUyL1Du2gcRkdu zf2^=`DAL=|^EyrH7wfpU*@yQj(%bhf(DU?pdc1eh+CJU%BBM(v88h3J>Uy|1**O&5 z-RRW5Q(t{rC*CKl4=fCI>^p8=p5Rdso@DHaJl5tFwcC$)42`wd+aZq?oqES2f=6s} zvTz6F!6W69MhYH!uTW%(;4v!Jaa23OV|m|#J{^(A-jmlRdkY?g9nE$dkw>4MLeVCN z$GoWE5g#|N{f;}VEbhMJ?tS%6Mx@goV`_E1YfR@|R&|J(#p4?L^qJAUV~Ecv?OWJ2 zFVrXArO?>9DX~w#)dPz3!DeqB*>lbnnuOuf!%~< zu~^^1OUJD%ov}xc>g9XaPn$7#%+MVs812{2ShZ)b2@?l~s@oOmyFe9e>|9tls$p;_ z7J_t9ml$uEtcRQ=1ZPU!is-EL2 zC$8w)V|P88n%Uu??j2?gTRUyjgaJd^cQOW|UC#-%YlPlj8azDMUIkC_K|D(TZ_X};ON zTjlPndW!O(J;$bIZt69y<9>P+&#%MM5q-?|<05mG=NY9~&a#lXXj7-P>2gM{O_6?R z&ZMr<{)Gm#3*hev_=SMKJm1_ojgR$GSv{N;>QHZmp(V&?W%Z1b^|eb!Gz|_7jDo+d z?-CogVRB*A@i+T-vw+$?wcB3nhwL!EkGaJ5zg-6mT{d#{%oztQ+>=kJoVRJ$9?7un zUlW>2ciL;wtmVV%istVasp~nS4|J!)?apz^hK8Ezit6T~`r3x-n$(tROoeb)~{^_6w2#q=K(aTyWrEbu<3wS6e*Xt zR8{F(SI>D>mnSNkQ6mg!^_3}I>*@KOaxEQmRYN1Vfky$d>|fzD{lDUw6FyEO63va( zt5&5lFsy7~(H*tme5{;AZL+yyb)phxA(N(;B?>1`o}ZXrtZO>_OXrl#fu<3^&!1no zBr$o)+_LG=G?+7OIv5m|PESBv=}UEu*_oxqOQ%4!V3NeVNoAAL1*B61j=#CV+oSfR zvchSJsdGvuL$f<+LhFiY{AqZic=qHeivjXp78!Y!uR_K z@p}}DB?cWPEhsLToG6?=DN#~f2Im^LG8Pw)1m|`ViP?o^#S7tg$SO_DonM9(IJIy= zNtv#7np{{`m?$hNno?RS>SmZE4{A0;Z@46Hgd`7`WY~_9z^}vPqM})eNrkg#CMFe^mBKupGKAcb=% zWUJ%}BWfCOF_D_;wjd0;MyO1}n7x3A013jFKV@3+oY^*|(!$B}9a&t;1SEJ^iTP6|7tfzk z1Xo1+;-bQ$=~EKJv66sWzLj>>SqFC(c2=GYyox)yHLRL*1C=Hg6#}O&w%U|JSJ&q# zVlLH~jVJ2W>ixP}#v+fZ*Il%`3F4M2G+X)v?I!DN{pf8pE0b{X9GR62MnwyTvhXLbpkt!+|TG<$NQ1nNJnKpmRRq_nKC40!k;@8&jx??_2d3L?05Wm z*zfrBMo99o-|_2VzvIute#f7O{kS_X-H&JHVn3dli~V?JF7TA(0#ivY_T!ld*pFxC zVn6QA#l92!aetn_K2gtKpP1*bPt5bzC+7L<6Z8D_iFwGcWKQAaMA@9gg3>98xg`rq zflH*Mbir`wzBGg$ zbq_+XY))BW33lAjatPt1?ONzCe+o?a%HWE(rb#iRZCyiomP}ONF*i&J#B(T@&L$3q5`q;E6Co!W$ft{i(ki}Yc(Yi{TVR$T|UmFAT14{Kf% z^0hbNZpvB*YpH9lu1yUsZEkF-fF-bGO;NI@rW9_dBHG(93YOQ`H#apmCL46^R5ycw zd!h+0S^!&Jx5}O4F)Z8IkP80MP2V!L2~J?zyV`qk?H%p?j$!QsxKT_tG}LU=wSI8Y z|8;g?yj}aKGb}`YtZVJ6s_QD5_Nn$dqJ5@oy?o}lNI1Wxt_*4l?n%D@kDoPX@`92n z{b0q?UzA%HO8H9rI;MT8eFGh}xc?}(@6{@!+IKKCh?UF~+`nR7G>H~l=BhwyX{P<4 z{fOLt0vMkgM6{owomQoqsp)lXUw1oM4Uh@xu2`S*Fzr|Ex48C;_B#xnsk$au7%i-* zNHsN8m)E3pZL}NTXBvq5r}kGIs^V{O&SYh!u1#|T(TTdw{QrXdFI>CYJ36d*uv&4K zUX-j@odW;w>u;S5H-}3lLN=hjL)+zJ&*!UoFzuPn^74)7QQ3tIopfjGHnh4TS(Rvp zMo&~mVg1*`9MmjQXn@GnxK?)n-FgQb1@65Y5h34;04f#&NSO#Q2#A|zxE+So1TgRB zHHY6VbC5F4VZ2}#frg5aXb&qd?y3g`%O0dmdl*~dmVJ3+LsZ|{YYjhJ)*xkC!zg60 zmXtTZf=Oj+LsW-L(MAOls6|=MfE+s z2%usifYc?U6$@Zwx0iH;Ge9*$W3_jCFsMW=?*vhT0ZyB5y8=2?RROjJF!sQJmJI`< z1P0v9_NdD8RE2Pfy?r2{V}pPw0Ri%2EvYh9-m)rDm8z={zCFo@18O!7h!PxdIS+eC z+Xf&?48X@a+N(MZYnl_ux{XnNh7SdlY!nbBD5Im=c%KcppKSx8#0H$pS%s^rX=z#w zt7Xmb>?*34`k+A5h5}IngtCKYVwAcp(dNvS<5+HCkR$V1l1uJlA zE~+p0L4bx0A@$7R;A_05sU=ZYUsqmJU$G`pQ=hD~76EJV8JMSmP~E~qF_Y48aJn9u zcyP@m0Bw~IE$ysmCqV=6lc2%uAOTl#jSnsDwZo-eI~;tlS0*<^Lwg#-vX%8PR_3+`~v28)78XN0@<$*rPw7a9Rge5ri4sEa$o!Oy2 z!1T2+TzV}G2el+gdVtpIx!^A(T zU*hsSLY5zn~VgSzODIx8LBcy&f z5}e6xfN9ImP|Pf0B)E^qAni{0lf(c#!()(knvqhc83_*HF-ZFc{v;^@n%HBIZvBzc z)*tC>eN5XYEp7dg(3}h}iE4N#N8|o;zB1EokIE8~(7>G$LX2_ZDj=YjeL!iS7%BCM zk=XDE)YM$xn1a7>JrU9yKBTm>2%C%xeI$59=gQh-qOzi*)(!No4=C+KXp(tuqrg*G zYC{Y3UhClENYzF4?Oq7fuxc1Z2?Y2Kw|fe>U072MXSKc&76{7WQDIbvyJdG1k4k$T ziV{3rJ|`Z(O4o@L{Cg7_}uB z;E$r?f!1iLX@)V_*wF`(cHYra=N%0`*a`a!3!W0*QBkudYQQRvheX;3M@xNh4ER($SdI8qz-4OJZ9i(*5K`|O0}d5~HOjg~E$pj^ z8oqm7{Mojby51OYByl;?-6{<+Q3H1gxM`$44-F(|GBT>|?z2hz*%+yxjTsfyV5P-V zPTI4^NIh!|G_k$n(+JmVstzyv{d_2CcSC&%Tkxqg%5V!M=|f5T;25b7jsc&t*S?So z5P^*^p3_M?ASz3UfM2B{VDoF#SmT38JK-3q6OIMXvKMTsYir>q06-eNAgE(`0g9PC z#)5B&VFfOy^2UUN)8fNPdm~y%aKOhxfRSv78VC4n)1Ek1>WO2)vFt{HkqNvR&*Tsv zO4<=oU%~)g4F@)40qZAeq$7PuY4;o}bSy?MBM<$MLv+U52Jb}k8$9X_F_2f`GzM`v=(h#?gc_U%a2i%0D)K9o4Z_X zM!m!}KCrYikCQs{IB?T6nA2hecB2n0?cHc5VFunB!XX~6jN5#+X`db^_33fopP|~8 z8nkWo*`~c1wIt<&Glp=^54KxYy3CW{AkhGhQle+0R^gO(#vHocPVPkSV_6|?^kkZ~NY%*@A#)12Z(GHR7tE%AL z0EBto2b1mxK$GmBTRVI>7j&b z4wBr>3&3SN!+mdM0`HMGV|3m%Pnv0VOMAouqIa9%epd^m|v z@QBn4<#KqmkIko$Q7xU6{G3%j*p)*UtBIjI-J<=?0* zBLdDUmQ!%;8yyKMT1*$=yr6Nsa4sh=kUKd(rxF)3!dbILaXm*(DBpvIsgwD`940}k^zDniyns-$lyeqQ&DrCAIRnS_()3z z1WqijZ5L3YVpY^!=*Mz-K0eKoVSz7;DK5@o=ypG9F7xBKoF5-#$#63K$>r?$EK6ns zPU~%Cmq+7cD~Sz0eDc^}hV8c~%WS~^yf!Z9#Ai@48}Ko&jmrVW6ROPX1D_muZCqZ4 zKg!AhU-8vAO{83hp-w*IPl2eY{5AflTw;Y!r;E%F${CoSW(bP_ch&Bt z8s+`SwCR-hKc*E^-Y-m>LDFwbn@Q3iOe-PjFQ&~R=^v)e7E(KnX>&+2m^N47wQI+; zc_f9IHlL&@(@IG%&a^U;7}FMz)PZRWNy=y1B9b~YZ81q*nYM(a?o3-sQctEWBWVYw z?MG$o$h74o?ZmVdB<;eq1WA3FwvwcNOiPlq8`H{38o;y)k_IuYl92acT8gASnN~&8 zFs7{{X*knXlQfcP)g+B(+WsVsW!f5&#xt#kq`jC{OVU0}t0QS&rqz=)iD?ZaO=j9! z;xd(Kjg&W?X-y=}U|KUtB}{7}X*Sc=ku;ZS>q(l=v<)PcF>NDB3z>ERAundyfs_Y+ zbr4DWG3{WIRxoW7Nh_Il2ubBkJCvkKrX5C771ItUX*JW1AZdT59Z6CR(~cskj%i1e z)WEc3NNQx-u_QG!?Kon!j%mk}w1H_S(60wD?L?9eV%kY0ZDQKVBpu4MQ%E|TX{VCy zkxV;{@{VTO=_DP?v@=LLo@r;2bRyHvBI#tNolVlIOgo2APG{P=ly@f6&Lin;rkzjH zxlFr&r1P0}Az56=w2Mf(m}wW&ua`3I5|S=w+NJdCl}x*g@~&pu7fG)$ z?QW7@W7<6=y}`7tB)!G7dr5kSY4?%z9@Fk8=>w)cK+<-mZ6oPpraef~r%Zc@q|cf5 zFiBrB?Gci`X4<19eap1RNcx^>kCXHx)1ILA_#e}rq`Y64_7q9KG3{xR{$ScOB>ly- zXG!{pY0n8Mq%rMzk_@K3KvFxVy+~4+X)lo!W!lRm#hLaBNsMW)lGFj-ae#n0{lse| z{Sq)9!K);Re&UUFdZRtjFSQm%kO9R57ygHC$sE$%tu4U$&MWYw*uM?M^qcW^;Y+db zT?5qdMJrO?xecwfhV+E-EqeC}2Py@gDI)pLw4aO=C_1m{6Zb&1^a%`Z1I_IijJtEyB^Ym_?bXm!;wq&nz7>eYI& z_y3}7)vX$`Rl91+R{g3eTQ#hvY}K)vvQ^7!%2qx9S3~1Vz{Av94^6d`r!VVnUiSpL1*4Gl&1uv!@QSj?0Zl?INba|3OkjNFkE4uG0o}1y1?zbGPHE zkY)f^acPQRBcv*uDOwDKDqSiHmEgbTWe#Tn<5e#zDxlG6yd|}P5@)iYT#;Q!S9aZ4 zx^yabyUU#2QWSAu2ri&0Mc;toVg9pV)WoPUq$s<7tb#Fs%72#Y#F+U{xb*!%Kn=Pg z()?%97=Om)I|1H{nqJolXlqoqJuKjIw4&V*OSzsM%%vMwaGs1duIOs zly(wMV4#2&v~+?;C07_Bf|B-&{^&yLssWQvcRU?jH3*n|`c+4$69|}m`cVTWpMKSV z$){g6;O5D#`kyyXnaTk-PnpUAH&2<$R{cslFPAS6=YX51Oyq!@r%dI5o2N|WfSV_` zGTsja+&uYJ75x`c75x`g75x`g75x`g75x`g75x`g1L`#+3Kd+9Dm2{aM)I1>7lMI*6Ao; zqCI8Zk|Y=yF_SgEH%1ug$wp!)bQr0~THF;;tnHde2O4XelQ;et>2-!@+OWsZ4$hA; zika45+YO!~hu|%UeAgpy5uKQ6yK4jR$6J^-kfhs~Hi)F#nKqcDElk^kq&t~5grvK@ za3ZQO)ArPcBHX?7c->9K3MO3LQq0qxn)>v&E>O9S~@pN*}!d6^YU5On76PWpm`Dz^W zUV#0Io?l^qb0t=E=mz}xWq1RkUlO)iR<+div!g6ySU23{=rj%0Mku{ zBcA#%WT7`gZ^lEfhu#7X4h&Tdg5VZY2P9o%k4HYR7;5-t77s_|G_g1tK13eP@R@eGCJ22YNf=2l&^nEP!UFZj>W{j#<0XsiN zyBe|!qc30x zoj*c<=4s&&yfm;=8cVXt1mOM%{R2BnLjMAayD5w3M8bMJY+(Hw#qbuW3urO~)NpN3 z5lI$q7Y>1ghQmzn7P=WM!w~H(->zso%z7jo2Um4!*3QPN46|4`FAT4L_lM__85&M3 zb}O(uSnL??7zc}d2(`U{g*!u`TCZ>yUE9qr6yC$24~8_=w?Ocu>bk1>p`}7Bsjf>! z!rh`;46j^Q5H~9}UNJlwg=;0;Gal|9=J4{94T&9FB-{(O3iYd~uc@ySBfz|L9gDhf z$hS?P7`RMu^fFsH+&jEeJiKEVw)#gG!6!_Lp`qcmL6>h~?&MTe65cfLH-Uxwg!^J; z>AJ;k_``D-3)6nSDBF z5(!U)o$YoGeZ!_-BwPsnu~WL0E5M6l;Ys1iEc9yVe!RM-b_|E7<%jM!AxdQg|G?Wk zohdS9X{xb0SyO!gh9ruFVb6Wvbhl`Q$t+3MmRBZcrJ9pfuzkJ0abqMr8?JkZDdx)4 zwWHlvgk=f}tNmX(aEIx%E*#gT3x}t+=D^;Ei-eOfu-Ognz0xD$3b?*2>)|zN5v8M>=aJ=6)P{9CMo>w>dxbcxSC0=6)V}3UfC=?#BGkQ=PRCNsO>t%wj!GnaIKD<`1$Gjz#7IUXgUb0WUaYT;nKO%^_xR8B#JQ(V8|8!Rk*I{kVE{(1%syzo{Q z1gWHXsNJ+J&DAwS7s3??v$gQq;d7AHxoB`MT%wsuk?;la@P*jHceEn&*?}ur_+l#V z612VqF3_|BzD~x%m(%Z8;O|#>e#iH^SomuC{TlooUUrUK_7Inog|DaIZa_)Y#Z7Rw zmV#BKw~)%MEPM;8Y=$?!EESAO$-;M##uk(W$el3OyEH@)Qx?9P)b2qZ_oR7>$d*+F zEPNmRem_bA2Hq;RxrmsPEc_6uJdBc1f%&+tBHsC8;m1kk2`mL>;xXrJS@>!C=^6Y9 zHkb`3_lCE8-BavIE%^Bs=o|WnUxaCH_$6R!w-@~u3BLl@DNG|pL|W>J(w(ank2m~U z`1N@B)i7*Edkv!o8)29_<)_uC3yFl^LH`k-kI}Vpl5XcedP%1zdN2HbT#to6u$Wa$M{N4ZECw!M8v)%1Bh{8@NsrpJl?S4_{N zAHHFFN6Pz->G_oR1Jk=w-cRW1QKoZB{=)PfNaZ)C??icjFnwpbBK~6fu9Wu=)B6d% zh{p5*A}?YveIVtvWBL%vg9+uHlm}%Dr@T1RM^GMP`WVXV!1S?{m(TRQD6ccq_olqA z*86T0*lv9#oVp0+P{|_Qg=0l}poD!%gvZ1CVm%ZR$c{{(L_h2VcXOhDi|hio9^sdm zK9y8vti1!7j2p(?*M)G6M4`|4pfN2V`}Bk-=~u6xqXf8VIl+O9S4 zRL(nKX54jX+avqN0j!Ye^NCLp(@OysDr5@N7g63crZ1+vVy5p$c{7>599z|h%wl?y z^5!tToSb1E)2k@2lAzoX8LBzJC*5MNIISAcad}^)3=gzHq-AX>0G8i zNYeRCe}tq9nf^FQ7c>1Sk}hTXvm{;4^yi54l}vw$@~&q3%anI5(_g2&>zV!r<=x2i zcPQ^>roT&hw=(@h%G=EJ?Zo~LriSLpV9f=#q_U8?;fUqO?me+{d>y0pXonP z-ZrNHOnDD6{TIr6gz0}!-eXMvlk%Qm`oEO-6f-o+dxjb9DDOFDgedO?X2dA(B?eoA zade5i!i@Ix>ub#DM0sy81Mh>OW!_>&cglN*89g8m9Q{3J^rE~En6V?}ZD+S`ok3?cLXgK zrMioTnL&MXG|G$_;%uUEX3QapF@rj?Xa`uY1iqRP&1Xg_70?-0E-9}oGpI9(c4x+Z zWZRP&%SdkrW+W+ZM`o;~JQyrSiivY{m&}geS}C(S{%BuVFN^N#>-f_;kthr+VG-|Q z7>gpy=x)&g%t%p5gX|v*Sac8iaR@W^ryqwhqXF{5Mznw#^^`Y)87-7IiW$w62mLtC z&8zDwYFa8&V)Bh)lQ4F6GqlG6(Q$||o*5fSe=itNrOJ`$KJn;87(Wf_OrnL%*hDr( z%%DknbP6+$puB0!5YuxbTFi`NDQ_k-#C+U{&SJ(%lm|;tVG-X5YG59nMSuA!Q#WX9E$ zSH+AQDQ`71ZlJvVnQXE9?t<(c39?RTagy$Q+R z%wVwtyS?bGaFxilBhk(A=cYF@2YrG@p&W&&)!~ z`;eJ5_l$nT%$bz;2{Y%C^cgc3k|%t@%mtM96*KV?fHJ;e=2FW0j+qIPeqd%fNk1{u z>Q{bd=4#6Om66V{R*kNg?l8KlVH zn1oUyjqn}Lb*Ty#!-kFwjrGS;plgoQ)mB3Ul4cegKtBvb32#?pgRNhM*%115Py7L< z@*S!Z6%8#Sra#1vTFYVu^gEn-0a=fP@sgApTOcYM>fo3PMUO#AlmHX$ZWzU?D%sQw z9MZ9YS!@F7?uC-jg_(9Yygg1wOo8eO0`;u-UNfE z6(ylL-CDyCs1-P$Y+|t)q%{*Ip*0In*e<&wvAPlbaGLLBK9IwV*`sFK#B*VBotxx-qouJx3X(ea9W3u>Y*qJRah+(7mkIA z%Rez(E`x%PLP;pWa#_9zM`zs)P!-{j3~I--7_FGaPQ-fyk#{mQk=wv(qEqSD(^%|O z0)}-m4=^>+S)_J0i=9PkusSBb+<+u&>fw_T5PwuWwMo>n*!iS=0ZM}HMKI&D3#XXc zZuLt@{ZbaYgw!twu2}sDE&^x6oiX_Om85qSN&*%Z$wV_bdbDsDyN(pEXCMj18{wpE zKn$)%czC}9wRH=N-Arn?xynT~3+{H(yMx7UC%snak;JuI-Ix$7jj_8(@TZj$eHtD;ROX2$$2q=oyqmbv(yRoQpx;3(TYmT@04bdSh|0 zybf`>s^OEu1VPOOwZUSqkj<+o37prhZ&~L1eVdn=P&#wA}E|N zp*c?MeLO`NIFF{QbUQQYZaVfcGwE(R_9-(@p%?7EOrSCoWOcdG-ll&UK+`aUT3Bt?#rP=vwO4%iG4 zX4fa-B4TkIcq2pOJE0`B;GRjW(BlVh#K0HtODelEkc0{hyy7blI47uuvS4(KuVH!({a6L9cCk9uJeGxUn`Hd%o2`CAJy`dYokZDO0jBrts#V3;LzSy4o zI*$tVffsj&Rm0BkF2lGU}Q?e7$#`=V?zy#mr(vJ z%!iRVTDy8Zj3O-!EIyZhnTL|VEQKcswW$p-w`fSgH}T>NNMRvLLSZpn4EV)Bn1aC^ zD87__Uxt$SeL3Ja)mJss&k6c@C5tEM=W>`L!6p}M7#6RjUsK2{1+`y`-y##Ip7w;* zq*Kk}s|jHZIC5Iio+`y_Nw1E9B#6Kh8=(gtgRu~;DOJ3Y^qLq*LazmTd00=d9}&Uw zWs1eu(~lca5`J=kPpv9h4K;fZsU3`xP&)(%7u?SRx6_D-Cjv9B_+g}YI7&kCNVlRm zXAI@d;zyI>F(?Ve<6MfC_d|F`7C(U$Pee&5p3F>Im5ZMWJ%dexg2LB9l~=;J1KxQ$ z*`0xsush48jTK9j;R7x;8(I7u(mod@p$%(wS5w}FaJ6|$fxZe$xR`#w1SMf}88hj& zIerCR##Z&zrm9yb!3VDbC2)YN@d~{fBwXBffWd<+t08}Y9jG6P-x81CiX&mC`t4|2zla%ga z@jFQgo_)0^CGU|B))YX^`&>i5&4L`qyKflGy+o@-Td)ii#-UpVpNqHmO!(qd+_=n;? zJiZ;xV9dUol;IZlUXnhu9_Sz!7;`G>Yip~U;fp_D`UUa+5+zY%U*p28xU#>+_#rX-3|TD2Y5+ z>Kp_T0}3M>pAdcx!DJQx3CP7c|{a=k3Mv=q5W4ZmoOUy6elqACvGb4nDT$d?t+L(T#Op zAxh#j;1=8QL7c>|t#5+BvCSJ<9^PYzjl8K?LolX0U)zCcjBlAcub5hB1_Mc;mbgw5 zrx>19@x0ljK8JxM)aSX>ao+A#FD3OdmPb$3@)o+(@ebXqzL?aPu)M{D4jXNp8mEa# z`Xd)CZ#k*2KuM5U={i?&!{&vjr*nA~EU%pOVT$0uSHslHtGk=;AyQb@K7)Y2HDxyaV$N zW+tur=E3xW*#MKOo0}qehk>nR7eOTN2vCKv*$wkkRfUa>$&I?U*8qv4z0C@CIAREX z*l0Dhwxt>O5e!`f)(xpjVGZ?jRNm3B8!8Xh5JsjS;3A0$)+!9<=`ZiN zIGoM#Fl>klqMHz`#1pA{PJ+oHY>?_#o`mPwE7FAsd#8e6-r2@ZPsI&?L?`c*yi;M< zW!`DfCW21N-Zm^+>Zn*U`9Pul*LKTiW^uj`hqdv-#;i!+c zWH{>ZI7;Zi5kFlkWH{>3B?}pj1_l`qi}FD*FlacXKrk@WH>E%@FjzOGKrk@gHl;u? zFk&{PKrk@qHKjl>3ZQsOfnZ=9YD$4%U>IpiAr}k-Em9~L7{!@V$OU6CQwq6Y2xUqk z7mR;QDdd7dj46d&FbpxJkP8MArWA6)Fu{~UE*JoqQpg2E`-&6_1_te=6mr3Eyp%#N z7-*ML$OS{_QVO|Xa9m0u7Yut#Ddd8|Z7GFZFjOt2kP8N+r4(|(@UkL>f`Nf!DTQ1x zJS?S<3kH6r6mr2Zu9QM97_602$OS{MQVO|XfK^H%7Yvt5Ddd7dQ7MI7FvO`yp36Mi4+P3eq4xB$OS(aL@DHgpZ%c}a=}mcPzt%=mv<q=kRT15tTafF23A&D@WalS(kPh)*d1GG!4ErRD=qk8 zmu#g4KkSsPwBU!`vXvJ6uw%B;f**FxR$B1G&e=)}e%L)*X~7TsWlE!D7GM``r3F9i zq^-2zhuyT57W}ZIw$g$hcGXr|@Wam9N(+A2U0Z3v4?AotE%;%VZKVZ2?5in_l39S= zwv`tAu;aGUf**F>R$B1G&f7{0e%O6mX~7RWa4RkNVHa+t1wZV>t+e2W-MEz&{ILI~ zG)iUxcI8%D@WamBN(+A2om*+a4?A=#E%;%VZlwi3?9{Ea;D_D1l@|Q4W4F?RA9n3l zTJXa@ozf_o1=zh?X~7RWcq=XVVHa0r4?DVa);D_D5l@|Q4(jC;GW^}2=q+DNLB)L@RD-au?^HikFm=-mofzQTpxp`Wux(e(9`*89at* zR|G3>a4%BY3@c@`k_}~e(*rke_`cZemfG@Eqm|RS1YR$!S(tTdsA)bO{oL)bhT8*fDKGo*J>ZWP{DXgojhgC+n+XBUWcvq+yzG#wc zAWX>WSPCU3i|gRyx^$@3e{|ywNI-6STd= z5u#0tBgC2(M~E>kj)hhl;!BG(M3)xF$<}d-b%eOmB7d5dhM3YK4H2cq5#mXU<4o&V zVjX8$$Jy3#j&+0>(n231NQ)!Hj~2&L>-RG2xWGC>+-UJTM2!|lh#4)85HVUDAzrjN zF0+mhD_W!>QnWZioM>@`DAD4$(mFzfXpx5a(BcTup~bP%!bw?2hzl+9S6N4h2`$nP z5n3D}9<(^Fv5qy?vDP})S;uf;s`OH z#StPtizCE)7RLjvG{kxqX^8YJju7Wr93jfHI6{nPaXidALVRbDhUm`Xc%*ea$~r<^ zXOVx5b%dDCA`KCp#S!8;i{lAa{)yJ{Bju2B>q#>fRI6^#SaeUf3K4Tr9wT{nO z$LFmh#84Lc5J6cSA%3zrzHA*|v5v3e5w>y1@YNm7Xb(yd(Y&38Z&>bekM@bCl?)!# zs(n6dkH@so9=C4Rz8%#0`@yZ+|5~*_TD5;>4IZ>dt8OgX<5ti&+w1T*Pt*U_I!Cm3 zB6_U70U2Qpvh{=8Bjhds9D>i}ntD6P&C~kmAw3M;Hx#D5p+_{WeLIjty7q>a53eZ0 zhDD3hK^CVWa!y@zmJO**E>Z*Z_7TnOKJ`SnAL8M^U ztSYJg*^oNILuxmN)b2r~VB4-LsX^J0I?_XGutRE(AX2bzSe4Y!Y)BpDAvMe)RS-l9 zHZH4@8kr5LqdlZXIiyAhk%B$cs-(tcL+Th0sqqe}2|=V_JGUySeX=2StcTP@ht$47 zq+n;cDygDuNFDDXHQ6CGC5RMka#tmlRgZqEhtxEO)bt=y@IH|$shQc-)M*}4B@U@s zL8Ra{CRI{%vLSVbhtyn$)Vv^4^VLa}Wkc$G52*zXsf9tL7O9h3k`1W~JfxO7q?QGd z+E1O-ifl+-=pmJGNUaPal~gBHkqxPfJftceQmG(PRqCWxXG7{@52M{?h4GyV|L8RaX57p*7 zC>v6jdq^GZklGYP>JW8Ohh;CrcL8M?uziRVk zHTGTQA$7b%>VzOt@N$7Fsgtv*sjEGtPH{+`8bsZC5uhSc>QQdc;nt_&h|l{%?w zvLSVYht#zWsq2DB!5bW^&6m~P%#9vWH#nqj3?c=a@l{FPl1)wBZTl@AQmqcDJA+6;LGQvL+YL&Qd`wY zWwn-Yn}^iB4ypTsNZqeaDy!wi%^p$@IHa}(k$O;_R94H2w|huE*}Q5%!bt69#U^Pq}~o9^^Q8J_p%{% zkB8Lz4yg}Z5E(ZS|1)*dg^v5UEeqNqwFTse3)7zHmr=8AR$UbyDACL+U;c zsc#)p-vyESUY*pB*^s*5L+U4o)c=A={j5&v*K9~V;34&!L+bY+Qh%tE`YRh!+dQQH zc1ZmbMCxBgbTl4NImKy)zu-@Er?Wiby7XEA@!Jt6n9AN z5Jak%I;q~-kb2xhYA1)(&OxMhQ76?m8&XerNbTy7>K8<+zdEVivmy1QhtvRv)W9H8 zgVagwkqxP*Jfwy=r1lIVHB_0Dp4C>Rr#+;c%@Rh?W(ka!Y4zy;zic#n#>HuOV}w;v zeTvf=EqPCp9%2QZIT)IeR6HpuG|pdsWq^vf3;0vWJwjSHcL|D}fVinstCL!r4XJlLq#7MkO+lm}BC2Zht;>egyB<>O9a0;DNI?K-RZ<6L zL+U*bse>F+2M3Ybq)zJ4Y)HNDA$6ET>hK^^5D`(erjE*n)CV3?M?0jB2_ki@I;rEc zA@!k$)Cmr$6N5;dq)zITY)EbQkUG^Nby^Uq)743xnGLCrJfzNYNSz%->Kt`a=Ve3c zV-KnG9a0wrk-AWw)WzA5`ou%(5{J~KL8LBICv`DG)k$5O4XMvO zq^@&FT^~g126a+5Wkc$752>3SQnv(=g7AQ}KSbtSgcVsVY?CZupK|Bpi1ho zY)F0MA@#UZQ%?ld)RXF@p3a8Uw;oc@IHaBpA_c+4RBP&mY)F0QA@!m|>ZKr3@J$O< zQmU$5V*Bnx>2a$r0YN(QWD;rWjcu2kNka{PG6nxb~mDKy$kowU>>H~+=he4#^ zvm&abKF)^JPaaaAIHW!eA_dZ>49@L?5IQr~7n>SqtB?;KL! z2a)VFQYpMyyKqE70!Y)Jj;A@#dM>W?5&f2x!EI~!8Jc}V@^koq@( z6n;NP(Wgu!8&bc!NtyPm?S0L5L8L+LHIThm`Zay&3erJ$|D}l~h*S68`a! za^AN$gWk8tk3^}G%4$RGzaCP~`}Stg`}X*iDpgY1Y=|{94=Lw;do$>Ld;Cn6DyeKX z#2UJXl=Hs58T7tAey2;7R5lx84Z}mqd4y~RJwnD0g{hLtX5+qLdPt3OI?vHTo#z;J zQrT?WH`;kfIq%z>LGRn+=hIYcDw{p>M#w{IqEl1*2GvxdI;m{lP%*+DQqKGKX3+cg zruzH#=JYHEWFz7sRqWK%jG&sDsa{jFvLO}qkecm~niE87t~#mt*^r8PNR>LI%7REO zP$#u08&Yu(sl^VdB|)T?s*~C;8&Y{5Qp+7uD}qQRlt~%cJQ+1Qdq}NxNF{?v!6z6M z`za%vC!6A$6cb>YyM} z@Ig++<}0uQMpotio- zsHToqCS_!^j&3aRka7Y`m_dOh@KceBHDzS8j&3aVka7Y`m_dOh@T--oq_SB@HMV6q*{q`* zD?Fsmc1WEQL<+ues#;UotfLzV52^DUQs)PexDi5V2E1iu`rSW|j7v1W{;J*1pnL1xgd zApB^kDygz;))G$ekh;xjzRf|+2j8?*B$d@WhsL=cQg=9{wgi!CRVS6rJBP-39#VHY zr0xnL1)uR$tSLR4x5$kPJf!Y%NNo)wb+0<9Y~CU_F7%Lc_D`8X`={`8qN+8OP4qD1 zau2C(PE9=+R8#O}Q&m!bWpnji;UV>~L+X(rQjaQ=%Ibw}<4O;y#~f0R2a$S0om4h2 zY#Ud3NImJ0dMb$2)9R$M>O3FzkaBjKnL#_v@H3W*K4oO{hLQ1zht%^E=^DXmj z_+8f~nD1m5-(kLYqmuF7QsWQQjQ5cmZ&x#(XnrK2{fU}!p|s@B)Ql%djlWPcE|MC5 zrDi-?YW$6w@f4}?cWTB{rM3Non(;Jg$v>$XPnVYbvzl?SwB%pajAuxVe^)b}DK-96 z&A3Er{I{C%EUEFoYR0o=#_e=9<2h1eQ_Xm;texA1)QsmzjU#Ht^QFcyHRDq0Ip?Vv zmr0G=s~Inl8h2DPUMMy0q-MNGYTQN5c(K&Do0{xR07~LTbFLn(<1haep=Aq||tKHRE!r@jx}>3aRm6HRDRDlMGQa zPDzc2su@>FjSJL_S4oXWs2Q)88jn&lu9g~)Q8V6OYCKNOc#YI}f|_xS)Oc?-<65cl zL^b0&sd1s2alO>INX@uGYCJ{Fc&+rRnx&A3HsJV(uV zoz!@qn(=z6ajBZ|2C4A^HRFv^<3(!52S}Y{iJI|&(vp{{86PAyUan?*u+%uAX1qyi zoK!PDL~2~2W_+mBIHhKMnACWcn(^UM<7zeIBc#S_)Qpdm8rP~BA0;)eS2I3ZYP?p> z_!y~ilbZ3dQsWjibgA)iYQ|?sjZaWBK2vIZlA7^ZQsYz9jL()DpQdJf zjrG1r}@nzDIuTe9;Txxusn(-A<;~UhBuap|!q-K1T)c6)P!ikasu^D|HNIQT_y(!*RyE@rrN;NE8Q&x|en8FmW~uRmYR0!n zjUQGszEx`csG9L@Qsc+fj5kY-pHwrxU26Qan(-Y{<7d^3w@8hjS2J#v8o#J!e5cg- zWi{iwq{gqR8Q(26eqGJ@9;xx0YQ|fo#&4?`-zznKSIzi7sqy=2#`jB&KU6b*Kx+Jv zn(;QN@h57=4@!+cQ!{=@YW#(o@xxN%uhfhmks5!aX8fqs_&YV@$E3zTs2M*lHU3G> z_zCGe>}NIOC#5C-s%HF@)cAKbP{NgmL<^D-q7}f-9PO>JETJ*U(-XK<&Yob0NF(jxjhHSuAy$S6aPdV za$o<6cbBvLOdT?#E_=u!zsLcyryTOD93Z(I@|zqWcaTGVmjh%kd5iy`4w=#7JIY!9 zqz;+U;=Sc8e^!UgV7Zf=<*(|H87y~}L;juvKQ19Y@Y+jx`1LQC{WUm|`3*=W%Z*|CwYkasI za_1Z%N5~=j~{d0gEEr;Aa2gosU$bmUPj+H|W&H-|q+|`GuLuPcr zV;bAX&6hb+heaxXdLh#VmImP3xp0dgNXoz^ zB!`@s17wjLvM>k8$#Te|93ZF2A*bX3IaS^hO;d-=7|y22Sr)59X7ohU<&ZOTfGn0n z&dLFDh8%KE4v;hDkn?hYERjQ&<^VZM4!Ix)$k}qpML9suk-Pd5b;t}?pDSm%OdT@A z)#u3}m*)UEUk;hb0kTvMnalyQOb%I*1LOiZWGV;9g>uMMIY2IwLssVixmXUlCI`qR za>&{oAeYJ^>vMoyCWl;`1LS^k$fg`1m&+kra)4YR@7&g_LuPbt2|47(93WT9Py9f2 z$c+9nDTh2b2gq_c&a_%K@@d4tYclkSTeKAEgeN;Y3w(mdB_=X0-S!IplFU zK(3ZUo{$4%wH)%K93c0XL!OcYMe2|l&C@7{yd($6Ci#h9rVg3W;>~i%D{_Erk)QZg>W~?A zxlYdV8gt zEjd7Ll0)8^1LPrc$h&iZJX8+3H3!JUaIa>$2sfILbL z`DhN1N6R4}&jIonIpmW$KprcHd^!intK^WO93ZcjLq>9dyhaWg%K`FQIb>cAkk`o}+vfmzy&SS*_K;ft&<&Y^ zRYN!VgURMggURk5x>;g;tKWFO)OeuOc(dQQRBAj(YJ7*^xJ+t1SZdtrH>S_m_yg$f zAvM0sZ@fra@(`) zWQD)vk)cN<#*g`p>C+N^JjP4SwVC(x!UOZ+u2( zh2Rt^U$kKJMSW1qtdkK*3j!q?hL(+_PQ%R zbTXfZ-fmUL-v2knpi+7+PvvD0)e?N34bGrx8vXn*dsl}r0e z(EiG=or1T&-Uz)Zsz~4dwboIerD?O?7_>R`V{7P_J43$@x-0bepu57_pg~*1#*#b3 zW@|XIEo^KF-885*^h#?uwmIAZ@;bGKyKW74U(zMqqm$k#zw@2p9SEW|yvra^X$|+= z9Nry-{9RhZgIdFTwuTENmhFI%koEOnBSpp zzCdE$>M@T7mA)u{R7UA{dCY?=Z*hJ>hW$N`{kYcf1gFOLaoTE0{#dWkzFR`~fzgzE z!izL*b9g^TGnwBLG+V$=R%E4R|6%y)me7-Ac#zjHmES9{#4opmo&oRO)EYjlHGDK(0mnKu zRO9i!T^z*|Nbw{`v9=AxQ%UhON3pIA#WP9qEJv}v4aM_F@q9XZfryGNm6{uQ9Pgx#b-(JIY;rp48<2( z!!MT%ZVkVASNQG0=6&IJTf-k-qs3w6w@frWWk0`{W=c8DA-!XpCge>~y> zi*o2vGnoDCfE_9ai}9EM`<2IBU~wLoRM_th*kN+8Jf0`O{^WTsFvb~yUFfc}za6l{ zbiEb>tlpEMoGGF0g!_F98cVU`NWqI&m1}0W88h zxxhN}&JwVg19p@gtPAfV!18z(7g$%`mB8E{8)@%=9W4jz#=8lyj=Y--tUK>60qf*| z9U}+p!Fvd>F1&{etS9d&0qf>~9qR{!xlyF2ebGgBa1@VoD@J-*7u|TyxhSleaq{u-?44 z0NaiCc7g50cM@Qc0ox)2pj`NWNNZ%c!|b&DzG<+?=s`BMo%zlJZ7ko}g|-WaS)t|L zFynh}b7XHYm}reskx7f}YU;!L2=mFjkITF-@9VB6i_BDq%=!7l(qyojL`OG%SH7zN zo6dK2f%W74Bw#ZfunXj1{ds=@R>J$cz;@%iIVT2PFgnF-2kAmN((ZhBfi#!z?m`;C z2e@e=(tHQ$B017PK2RW)@qsR+K^!U|-IpNJLI>$$InrP*MwiH9o*rKE#_z#B0}LW9 zb&xKRBMsqVe2MJG-2=>?9Bv4_oK`qUm&%cb@}c7FR`Q{)vm3^T`Rl3NLAp$iRKN=a zQY9~NAr0rl{YX^~(&cib5qyL|TFpnekVf*6{VTBNHu(v3u!bT?MJF} zkgk*?jp1ViQUf33LK@4*x{)Fi9hj@+FylDfK|uH4$j7;0#`E#+O0q7!W(VwQIoJd~ zL4d8}6I@_>@x3Hq8yv7}Y9oxx|iz)E&i_a2ZXYpAsu-SaJ`y8!X z!t|8*Ryo)lK1YC^!{@lb=JL4`X6f0*ZE~=Ae4YS1kI!>~&FAw8tc{81W;s|XFBM=H z@KP68886E`P1nTpb~)GrzCeIo#22{07V?D>u=K?94msE&zDR&w!WX%~7W2gtu=K=p ziyUkTUn0OR<4as%OZn1FuyA^A)G7yC#+M1OEBG=O*nWIJ0;5?hx_|m^`A#{~a=u(3 zUBj2VkXG;&ex&Q13+XO7Qi3N0(hWS}LR!gJ`jKvOknWZvC3#XH-NKVDq;g*FN4m{H zx<`&w!7BvP?YzQ;RLNoCC_THydfMV3ZIvUXcuFAM$x|+*DqiJAvijA#9k6@lV5|5l z0k)N|a)GVpt0iFfIbiq6!K!(+0DFK}yTJD6`%A#m%Lw<&!Pf9K0_}B5M0&C{YZZNB#PG8v%%fVWBivW9-x46L8@pS}71DoY% zuRF{hk%O)0>jl`Ge7y^71K;3gW`Vu!fITV)+sHQxuy^@J7uW&(05>zdmfm-e9+M*- z$PW}qAMyiTNC)wQ{74@;NRP{r4(107q)+(4E~HHy)=9mS`p+DsC*(+n@IwUB7yJ+x z(xLoNcU9rpedQoMDMvbt!xAmbSHIzhxsVR$hx<8w=O8^LM>>KZA&`FHN4SuVt=?v^{a#Q ztQ_e$ew;x1oge2yI-VadkRpGIH7rE>$3c2tj&uS)0g<8_Kf#4`B0tfG6g3>A7vxAM z@sk8nJART2>12MgyQ=82iAEf-7v*55@KXd>jGy8HJC&d627?of<~c|&`;qYH_Hgt3 z0xXk`KaHOz06X&2T!5$Z)6;+s(yRFc(hCr{=o9T^!<@m-5HMZ%87`PJ`I!WR9gPF? zni~e5tF=bE*)V7Evjj{JewGX7Y<{)`=5;>|o)<0(i1Rv!pCgcZ@pD{A=kjwU=kq-dYkXusCzKpX4=et`fR%r9_(UC1w#oZwq- zFi}qgwxnw@ba}MvCrBEjYo0&FS2#RYaNzg1FOAGZOv+y=Xi-zLBk{5BWZX1-a%>=Qp2R#?(T zx}D!HkSh4?E~Gp79THlfx{+*mNZCkR_!fb*if?ftwenU8r_bC-b|Y5XNO$r(1=1RR zrwi#WewPI4a~V>tjdVA^TOif*yIn~4@Ouae@1`AR|H6ZG5joac8)+-wDv+A^Ru|H} z{9XytmolUl8|glNpFmpA?{gvD&+nHYedR~8T=W1N>;e9O06U03-~!vmw@Iq%YY&)p zL*^Y3yjr1^oSRftAA9f)og2w^spD;=$NA#|=|ujx3+V~|goM-gZX`TAYd&(a4fZ5| zQh=SxpLBse#h;RZ{on>eS{B&pHrUhrX#sX7f7%8141Y!f_G24hXWL-U@@ECux%^od z*mL|jNrnB?2H5#F*z^2(0d^sO-Uapohbe`9hwofG|7!#6VjJv5{-OZ8l)vZ#dx^g! zVfJ$yV3*rqFY}iL*p>Wc7uYNO6$#icZGc^EgT2aM6=2u$S6yJQ@z*4$`D+_s*V|yP z^VbE~jr?^N*c@NPc3+x^Kj-<~1aD%y=_8uGTUH+~ByO+P~0(+0YCjtA@4~FB<{WjA3{C$D6 zjlb_g`hb5RLHbLE^pK78A^%VyJ;Fb9A#LZ|3CYvf|LsAt`ufLgq>uPV0_p#2?@Rz} zD%&`I-glfkGxy%v*E{>Z4`wi%ZH8+wVE5r+GI+o0|DO5H|2*eC?|Gi*-gB4vwwn&BA{{avaxteroFdtq z>JCSwZ%yA?BfV$(Ru$>6>9C7P7hFYp-x2AE>4-Jbho&Q{NJmXa{~U=+t|IMnMEcJ3 zoi)-P(|4*!->op%vQ zua2a8GFoQZ6H9_s|>jl#VYp|213raA*%|%SwY+{m& zMGN!~t9->k6|lN}cG#6+S7r@*ing4>auDt!Y>=C^a(U3hM>p^&ol|ixB2ItT(R=Yrw7s3hPZPX>bZ_1TngpZMd7T=!wXEPvz}@ zZgOMP+lrD^#%P1F~}*TqC5U5EAdvmW0&(DD3_N4YTu z*>0nh7vOlT+GxLZ@D6npm$ylY7;pW&uj50lwg*=4D8;CJV2USbjkZS?Z~J4h@{%Oa zRDYhb*zYN(BsPpG^zY{o3bSksNM zhRs-0G3K~2*0LGvD8^hj#sZtMNHNxOW2|p8Djz1{wcQvS*^Fi-VjVZerZ!`9#hB;D z*urL1K3>K1-577Q8QUlk3)~oQu^HPc#zHs74mRWMim}Lz@lKmj`9Kn{>&DonvS;|A z-2AMi*p;@NO3@$0-$g5`=O%2o%CG_0HNYCSJ8e0I4Fm~v5w^aYustio24UAAYuH}2 zMlkxIi(saARC-Ggc-=g&X5?n{kB_v85a1t2X0nitz?FM%*aV9M>wwR&I>A4<_RV z#dxC|BW`oaxLGl_c4ORTGj3OmPT!yM#;vLS@zM4j1n&=D{> z3L66wV+-qauhzj$*lCqvW3g+jHSBk^;EXag229@qeW) zr{iaU!0Grrhf+6pxe4odWfH5EiCr_TVb9W*Q`jt!ENfV&*C=^sby(GFl<1a@i;#_7 zv#nu&qb;Yf)j_Jem~9tzSk;qj)xBR0>{`PbmhSy(IEAeVQq#pnxZ8DDJ-1#2y@#?| zUELUUHlvqfyvL2vU^DtC#%^wm{x)OfZP&eSjQ9)zdaFK6iP+tZF~VkyQj9&^7-Mb5 zc*XdqFGkuPR`f|WV~S$@(-$M{Mtlr_=9sA%|MbO3yAiLCkg@XK=TBdZv>S7+#>x+7 z@;??~5b`(&S3id`LtmS=oH8R9B-ce|^mQFppKqIJp>jt3+!*WGj13fHe>cVw zo3W8%9N@;-#Aa-&7zesBmf4KuigAz|V@sRy2E};48)Ivm@g~LifEy#e@k7_Konjp9 z#(0~}c!y#f;>Os?X6&pOhq^I#wHfbGj1RgocDEUOD#nN07<=1{eHG&{H%8kXhklS^ z9IiFeq6~ICEz=KGjF0$7RlZ(mf2l-2Off#J7)Q7=j#P}wcMMC|Abu zic$IGKYvtfbTsl>sGVBYx|&E^PIX0^_x8FwkhJ<1%XxH5jK7%OjNo>3d=2?-@7?qckR-ls&Isx>-N zC?9E(Z%v^CwB?jS1t0~s6r$((jzYuK-7%PDLTNRf-o z{6d-SbK0{1#l5bCx%IEnr)ka4~ol%VElsV2)8>uoKOWzRd(9pU@=V{Anjfz2vU96Gv zl|Me)>CY3WGO7f-mRQ67L0e8?8-X-(5mxy=0)NR}*v8nkF@-hIn`w=m!kR(MF2e3q z7GaLNuuZUQ6Khy{Gp&hJ*iw*E7h#p}DDb)3u(MpJI_3EDv}H|3x~BLS->6o; zcg*LzGU^>hgHegNKy9=)T!Wut3{Z>a`;BLWw#AL;_>`$&##g(SGUjCCSLvdB!Q!LlI=u+T@ z!hX=odx}^5Go4R>jCeD}ZmfJiWrZsv-b}F@E8kC9>B@*VQ|!jd_fuB6GUCk?yRq{9 zlviCDn<~a;%G$i#kkg$vAtrv zO);)>W$dUJJ1NFDT^aFaihX&M?{D+*;YRL-==<8 zRISkr*K_>8>%HC09A_zWtZYB;xJrpRmB~|4zt*!&c$-23^1sK29oz$I{EuPgq8+tavIMj2T z=TjKBdw%A*Pqui4c%^uyW4z0&ztSZy#@e+2Y;Ld!+Yhj2pZ^^xiF7 z^hSNOJ`Q7heGh#vjL+#8>6cIRE#@)4)}a6TYQ6klYP@LcJl4# zI}qbC-wnPSF`n`B_A|;Bzeav-{BFVcl;2FhmoV=0v-q8mE&l2LMgH|M_V*v#t_BZIIWLFZhnvvL!krx^8p>j02({jUJ0}ee?&>yJSm@ z7ygt?6voyuonyLUoF20@2J??O9P?`o<{w)t)*OrchEw#`ty0 z$&}NwB{eg(UTQ;(15-z*K8A5a>W8VQmo)FRh_q;oZPL1=-Ggyv+AC?RFj~_7NN2Jo zy(qmry(Pvm>CdJ=k8w}>x9LY^OGbP~Zblu9y)uSpjKKJM#yc7B$(BqxGc?nLv2|wW zOssF_+{`tZZ(=;36_ADbWwpubmem8}qO1*B8)Zv2%MQ(kKf7)Aec63vOLbN~v^svy z8J9CFXAZ`LIcIW^r@2MBH{`a)I5Br#?n2p;*Celf-tDp_KP*2hA9 zlX;Z+IrDUkUzksrf0ivxE|dnBhRK%FTS|MBqK-?KmToMCziBo64GZ|otCu$}$Mr2A zTmC}%OxaQ~rD9P9`jq71!zA|5OxEIpyj9|!_*eI_#5@tvQ@8(uR3cTgGY4;KYv{b3&&@1#yT;Bl!{!?YZ{3 z#?MuIzWuI)^VOd7fa~O(wdXzPIy!Idxj%57oxAq@hg^r}@4N;j(u3FO8fe#H*mb-P z+O>H2I$sOzdW;b3A!*lSlvoq%nmDhESsE?YMOhc^+Kd%zr)~!V$x zabk^Ju90?~o)qikcAcEp$}CL~YxRHE%6Yw-Nt48S{pET&){H*xE7t4dzqMZYzCf|{ z8vzZZ$s)!6){?2yOsv`8OEX$Cq*|0c)t(Wl_P0|_oo-^?{(ibSrCf>htVp?klyd5{ z6KnU+($1cGwg=V{X_`pAf1G;i^b_m%&(qH-1^n$(;vQu9BjraC>vdj9wH)THPf zk)jtRMb&94*7V|}sV!B#8ruID&ODK-7cEuQ=_=Os;-#y-yB6D1c7aIQi<+|Pv=wW6 zann|lx{E~WUi8#er>|Jwi=V#s6t=xsRU$1BDSSy%Se?dVjW10aJEgK&S|(EYlBKdb zoy9s|x^&j0^m38Xmo%l-X)V_J(x$a0wO5GLzT~N`PH(Z^mp;9nQoKZ3B~tvdq_{fG z#hPE9G`FXEvHeB7)gsj|TdJ$mU99`%OLt9oe_f>fWlec?+KaWnylJmV{WT)>FMH~% z(_gIr zm93&ws!XY<%SBw5s#7lZl5so%+b&9`N|lVdY{a#xT4m$(Xk(Ukh|;NYrK2t%aeb;@ z`8YlLn5Fkb3027wQkRjqMpd(nG^O;uD5WY}O6qbF*Qx53lcuCT6eU%qOG;f<;#yVh zveJ~+E>T)lzO>ZkC9YT1FE34r?GYt*Rg{>z%)~XjI?7B_YM+QwyJ|{JU2fvKT|MQd zDY?C(Qh>8Z<4T)(TY{A?xYwbJ^TK(n+@l;Blag6c99 z*YN5rL(QY%0a1!qZ7HhDQC!EXw;Y{HvPAkyl;l-ilIpS)*YfHvOHFBhBTDnCFHLoM zitBmxm#4i%9e>N>kSNh>qD0kYDz53ZQKp(l)5D@vubEO+m#et0*G{==O7^HI*=wp~ z)nzNL?X^|5n$rDVl8Y{^4C?#tIJ+o`|GRhHKl(}l>T*B`s(r* z*Z=w}f2S5uBApj4;JR!9>NX&9;QDL>_Eu1A|I-71h*ogjwgPoK5P5L@wgabU2WBRT zmT+CSgvz#H5V@eczT1LRYbaw}w1(@xHK^Nz$Oq9LG%cc*Xcvxlp=lXjqGgDdp=ueF9*(vlaznHYb=%Oi4!vj{ zqIGCmhq`@;{1EL!+decc#3)*bXdy0Ih`No491(5ARU2_?CDWO&XeFYRxM?Nob|Ugb zv=evjMBP$6hB1H9QbbGnKP^SwRz$9dw(|elin_H(Wh_v%7SUS%qP3{oi^vzzUjC}R zXj)9LXfdM2{EZf)ZZjfhM4S0LZAQ~-LPe_)t>$mG8g;u7c_Z4*-)%SQmg6y>g^QLW zTFyUcIqJ3}a!0hCf6{i;tw-9zB1G#Et>+)M9(DT>`6JrTKW#rwEvSq|i54VU(7$Lw z>NX^DNVK7U(}pyyC`Pm*(Te_MD^j;3kw>B({o8h=X-RRSC5e{wA6k;SEs0zbZRx+X zB~5Ee5UokHrvKQQ)a^;+lW0%>wLNKCRFY^>qD5VV7Nu@eBBw-~x)^Or)2dQLs}im1 zBDE@YyApXN+SSEsSDKcUCR&zgSr@TosoR#wEz!0vX4`UVUHw^xXkDUpUF6oKZeJq5 zMEknf?aQf!m9Z?*!bA(Z1T9S6#zc;ZHg+l6n5~t0t+f9COqH?fqLqnOc8OYXb-R$H_<(c&&ci*vNOa_e2gk1S8*oyfb(ly^?K$MaZ$$UTvJmofL8@(+JT zwn*fk$iK^+f6h7BpVbpND01)$`zF2xFpF5teGS+KPiy;OGzw1s$i|9@vM!slikFO>}FQZ zZe@?NwrmG$$1bo=ynx-s`?Ah_5$nPavb#N^SWk~8>^_fPte3}B*4yJP*2m*J*0)+9 z>sPHV>tC%48&GXL8(3{M8&vH}cE9Y!9*}d`V7WaTB9CN4Nw!}Yp?5o8$rLbHNj2U+Te+7UGM?+W=JMmAMya(5VD266&lFi z4(-S`hAv^7LeH|zVWn(K*wbul*nYMxJe6$^@5|l^U(0rwWcIG9HG9wWBHL;Dj(reO zi+vdJ5c?=%2iqMP%s!61gMAV?mwg&}jO~reW1mGm%s!9W$-an=VEdxGu>H}?*n#LX z?8}%&?5mh@?CY3M*f+6p>|pGD>`?3)_HCReI~;c-I}-OiI~w;b`!2o)`#yd!`yqZ4 zJC@+jED7z|j|p?w@q{1QiNpf-Q{toSWa2LNb5bljmDH1+PI`m=lB{FDCbwZ{l4r8B z$rg4lrHK8OGKQT``H20V8p-}hy_;P~eVI$CXE{r2%z4^)?veI6ua=(5<@5num;N^Q z%<$!286CKH#saR-ILQr}4S8VZ1Rj)mga>Ce;2~L4cxcu!9+ushhi6aYrtD2TBKsJR ztlpSMRqx4Tt54za)z|Zc>PL8H4S$|hqmWmxaTl*q;|X4~#wwmuV?WQWspGY3*5I{k zw&itdKEm^AF5>w$ck_ap=XqgHGB3($$?N9a&+Fy9&+F$V^9H#O@`ky)d2#M}UQ#QW zH>%Z=H?DO*H`kiUo78%Tm)1JTo7Rrx&1#!@^V;|Evf9t^^4c4Ci`qwdMV$cNvQ81d zp-yMss?IomW1Uxd>pBN`n>-$+g+|`7urt52a1HNNc#z*!RKzRm{hHvo^4Zq_fiv#(n z;=26N;x2r2@mfBn_z)jk;=>;+$>WcgbmUKztmflNzU1Q@dGRM3 zE#Z?IeaxS3^ar2ZIF(On+=@Tbcrc&Zcs75w@mcTFzf8oz3Tzp5=3!w&L@e4(9Wl&gKi6zRMRjJ;fI_i{^`) zmGULcdh(^sCh=v>Ugs}2JHVGWuf|_#p3PS@zlE=CKAf*=zJR~l{3HHa^K<<5vP8b7 ztOZ|NHju9?o59~K+s4%AHKQ#2;b7;9=^53O1`baz_(Z2%HOFN$#+(K z%KUshB*~{5tB|)!I>UU!0*T8jr6|Kf!y<|4d?hc#Vz6rRZ&Hk537Chj1K3h9ovw)# zZ&(H<>lT2$4CbYq1hyQ^Q+FEd6)?T-ORyDS-ky!XR)QHlv%pq?89bi?dlk&rb1>Ly zFdxr-V6TDsdu|1L9n8-w9qbLTK(8RMHDCc=gTU5;1$*5Iwhk=FYZKU;V4+@1!PbL? zc>9BG01Njz2lf_NnD=O~x4|O3`+#i(GkNa@+XNQn{U+FEut=%<410E^e31A7-NNq-3JJ+MSWQ?Q+2DTW-d_ra146TvSxjV7tK5jmcoU!Lp2gV0*wajlID>2CHst2lfeAw($+HPr+&$ zUjo|;Rs+}B%kUXkuF(SaIarQQ1=ts0wSDrz_JP&%nF_WaEYIf=umfOqe7*+z60E@I zU9hjf@_p05z6LAu4FvlJtk8EL*g>#*z8%31fz|ch2=*;l1K%ZJhr#Om8NrT#75n}I zb`-3k-z{L@fi?0g2KyeY#P21rAHd9hgS8AWfc*ki5kS}XSFlzAbbZf& z-4L)A>?~O8fVp7jz-|om0{ac@rht=R=fTwTu&%++g872o9sC=ZA6U2GZ^8V* z?g?oI768^gBo{0Y?B0+mU_oF#LxzI|gY^jc1}p@uSIB!{pl11M3kT~R z`XHDItY2tXun4feq3?i2f(-~=2^Iy`KP(h18f;LQ1Qr7}Fsw6JEZ75K6<~2-_lGS9 ziw7GLHVrHRY;YLr&6o)GU>NGnm;^R7+zgfsHY~h4SPIxf;ZK64f;}Am09YE>@bJB0 z>0l$mH-cq=Jz`1#%LE%`GJ<7+jWnU&jM-qLO{h0xb+AWG>%eM&jWx{!s|hwHLI;)u z_PFT;ST5LO5v{;#fsKnO0;>)7M8q_(I$%#mi~`F88y|55EFWw_#7AHSU{6IBfE9vG zicA120-G2)9IP(bVZ8SxfQHF*fWvK!5V-~iSh?)2=;8`Ij~}|sZs60O2DQ? znZX)?Jr^|}tTEX1sEJ@^u;-&rfi(e}5p@8p6zqlQ24GFWW=5xhH3NGwdMsFTu-Vc5 zz{`rZh(D2A?Y zYp{hebbZ@^Es3G)dlT5=SoBll&0x!7(NB%HfGv%k40bEn^4MWuZNXlSMGrH!16vV` z9%gJ0_DWnPSO>6GaUo#0fvt=~4>R5lwmJ?y%yg!@gWU_Z zF(C%5JJ{O^!@zogZBFP0))Q<~!gjFxz_uo=0P6*|B{2}JH`w-s^I(0zwk381>kGCc zu{l^juy+!dfb|D^FYy_$0buVYo&_5S_I~0)ut8uulS;wv2m3IoCfEaDA0#~uHW+MI z(nDZFz&=X)3T!CYo}?XM4}$GZ&IWr3?33g$uwh^yCl3J|4z@SB3)sV8pC)eudj#zB z+yD*nyOCu+d=qQx=1b0sAUt3fNe%FH=r~JqGqo z%9mh|gMFRa5bO!CL#gRtE4J_a@(>~Ly-uqVO3O~vEB@hPyQsd(HsP5?WS77aEL z?0fvp$9Ur;u?N@C8LPqOfc=(f0-Fo=N2UkZJh0z0yGlmme8U1JWww%%jSIjo zWWEWu5R7Ne!n2A+hDD5J1%oXHtCo2NYzdf0Ru8bHV7jauz?Ol@SsTG#2J_0A2eur{ zGus6A3Yb3Ycd!*;-r0S?R)QI`ZvtBdW~ffSSHXO<$+sHJCua`WYheC4W5Her^UL`S z>4lF2lA=sN>p}FJ1)`NxQ-43<^EIh9S>@Bdc{2E|y zgGJ;Afo%jc<&Os21QwOw9c(jLWd44zEnqSEZ-Z?Gi!P`Qwhb( z6!Zq$0Ty5I4cNP2Nd;TM-UCZ4ECkyLmQolE_C8p0;RLV`z|sl_fPDy-TI3J*5m-jy zDX?8&=|%T|?FP##DhJyGmRYnO>|?O%MYF*^0n4r%0`@6b&7!kld%*1-HJ*kQ2xrD0%4z>1sv26hyzVQDY0@4y$w!C%xa95QiP3<-di-bTFByHj z0ES4O($@f=>u!>~pv`p6b!ED8T?PJYZf8AoJr&l|&id&3Dy*-a4b%-%*dRL_q8qBP zp~myLUu&l8q3fd?s2k$rElJ)l<5Z8!N97$%-Y37! zP11(gO(Zvy+(L3INn4V3B<)E$klaRl+)i=_Nk@`9Njj0-Mbeq13z_dG=}K}BNjH*v zNxGBtAn8eRA4xBg-XwiU`cjmBwAG(v0Leg-K_vH+JU}v-WC)pu($<3{50MNb8A&pn zp7BXB+rvfr(e84GJ}46kz^+QIE%Jsle|PShh#3vJd*h&3rH4{EFxJ$Q z$ug3cNtTnmLb8HnCCMt1S4mcryhidm$r~hVNY;|9BYBf#J;?@=w@BV5*+{a9WHZSY zlC31$NVd~?yhB?%NZuuRk7Osw`y?Nbd`R*U$u5%JBzs6cCP|f-Nm4pV5lI$Fb$P8M zWzbe8Nj6D=yaVk)ep`}i%bO*sro3K~lI2|_izV4#4v^$Pk|2^`IYg2}X)BB*oWw*D zK@v&7jiRk++KQpASduuBc#;H?M3N+uWb&nuq>`i&ODD-7$t1}l$tI~zQiG%>Ne=Ci zOHzxZHc1_lJd%8p0+K>97m?H@sYg zq@1J$Nd-wuk{ig}ineYfX-(3Gq%Fx!BsY`XLUJofJCgP!9Y}5?xt-(=3e}P1PWrJE z$z3F!NxG2SO~36*TlbK3Be|EPJ4p|co@BX?wtA8DCh0@cmwwTYq(A*QfMg*3IEc3H zCwYKmFv$>-p(GEIJVY{#WH`ygB#)4cAQ?$AisVs}(IjI?#*#cn@;J#8B;!cNlRQcC z6v+gVi6oOqo+g=0GKJ(BlBp!mk~~K;jpTWf=_D`EdCZ`#7fEK4%p#dh@)F4$lDQ=F zNam9)AX!MV2;#BF<70^Yfh2t*eJZhB2;WVzmt;T5HzY?$j*I3$kXuP^Bcb(Uok+Tp(7CZb zBm+t4jM#9JQ6zKr9mc=JVQdWXERCWlF)P+O_!}8d6ndK61qlgEy;S4w@EgW zY$Mr0vXf*N$)_ayNa(7vuSpJ*{6KPop39c{J4q-lcy|b+T;sh-C?oh_l3^s20(>mV zc#=sZQ%R;nST=3Z<>vE97L(9L=Co>j4GCRUPRqpKA)#w2<;mM5A3dHlq70<}0bKH^ ASpWb4 diff --git a/target/scala-2.12/classes/dec/el2_dec_IO.class b/target/scala-2.12/classes/dec/el2_dec_IO.class index b56653c5d101cd2b2371a1b182e30c3b6210cbd8..9d3c06fe14a8d80478af06cc147add4b2c922b4b 100644 GIT binary patch literal 84335 zcmcG12YejG_5bYM?VWTQby>}_&DpYS+3Lk=w#BJfmQ`#^E~-ACbSL?2^S^5PfvgN%|{3!74{m5B>4Qp#s0A}4^U6*P6v^IH+(2C>mMsGZkV6&jrkqKDv`Lc zzz~-M>L9X2;uTQQ?67ytE0LA$JW)L39~tux&lPtwc6VTO!aFxVdR1AfG4rNlf0V$TR*1jo+*17is)OJ-iQ5D)>2|e^KEFnEnQxysVSo zrpebRd@jF3lke5@J2n2Ip5LYMv+TNd?bG-*dVZhA@741UX#7Qm&)YR5_^e%74xRoH zO}<9YKdkY4_52Bqzo_TWX#A`go&KX5zedkLL*w`A`6o2~qMmQDa6e)Fo)xRpZ`b%W zdcITR_bPmDhXldr{i~Nx(d4t7LO+*J*W_yyKJVXbO}pH)wi#_531@zo_SzY5Xjzv(F}tU!&*OYW!Y3f2+n{)bkrOepb9r|2B{6#%~M&oBC>GU7f_%(X|85+M=&p)B@7ZpD9L)jw61=zurrN&Q)OR_yUUR*VL zzEk6?@s{gJ(DW=S@|>Td@w1e8%lS%tX5|LsGb>k#&oCZcYJBGMD>Xffy0|T`*6Nd` zi^H-O&t3X>E^G1JrNnbrzzXGVXL>A+nNV)4E)L7>TDbu|f0xFO)x|@3kH*gzd{>Pf z^z%4rX)I)R*2Onji<2&WoRqaV>C(qZS&Ne{HBNH-C~=baqY~dZUx}04&U(HQCmCOj zlU!bjlZ>y%NzS(j|Ka*|aZk2ue0`jhwK(Zg<0KnLvJxj*|1Rp{q^!kBml`Lzyb>pw zygp9KTAXz0f@xGr}6c1Qr6<6OO2D<4oaNl_R+;#S&5U}KDziO zYjM)0#!0S6iIYrTA17rkPP+7QQf?6SWOmTUNqL*bSK}ntuf$2NUx|mDuf$1Se_foE zwK(b0$4Oa>lP-Onl(jhNQsX4ouf$2NUl%9kA+4TDoOIc{-BF{vKIGT z`nV@+anGfXd$JbyT>7{tYjMw|#y#d&;I`_#pRbR5b}jDZ>*JnXi+lNM+~azbxCi}` zuf{#jSK=Pyt8tI>mAJ?FYTRRXvnz2A?3S;_Jua`rJ+5CDKkZ7~WAggAXV>ChzCP~R zwYZnB#JzkL_w1Xw9a!AU59rpV_R}@{#OmUny(t*q^7D1;OgoRC4GpZGYW(E&SK=q* ztMQZbmH5f{YW(DUC4Mr#5zv#vTK1U zUkyxLUI|Q0eo+^g>{?*TR{~QOcZdvd@Y39tA!5x&@Z~n2=}sTlM_o z8b6@tKXy(qqcm2x!gAPz2Z5r}e8DfRfgP=bM-)qw416`Bu!=ggl~k!dqByjOQmRH2 zF0ZYmN*8r2DTlU_Dpey2mscVRI9#b3Q8-_TD2%T}6mB?2QE&v5E-EWBE?=hcm7Q@Z z=Wk_vOB2(h-x)g^G&^MJB8_94#@FwR9Xm9>erN3H)cE?Hv7<}lt2<*}pM8SQ+pCKx zjy_FZzcY3m(D>@km^qwdNaO2Qe2x)~uV3*w4r_ed zAM>9YJzrVzCCT~uEu9@D{4(!`ZQ4dlrM_~XL&^e^G#r&DZ4GYMX6xLhWY_dc z$RDQD-mJ>Qqv^h?bylnOsB_DDw`KLw!4%*Ac-d+_!+BOugVb5iZ)2%1 zkXO+&-cvTd-{RbD9jHB8pG~V04^&PDPAuPEoK-bmZ=cI3fpSlCyIi{uG$f}cXJs{d zH(O(4&s<0tkTQBZ3y!t-mCgn7=2|9uYUdlvd|omvl$TR?aI}lI zfP6}8dd@;Y_LlkX+T3GfE9!=N%WSD$Tlq-Ki8jY*CeW?9`^PiOEw1E(s_~x6()tvj zIZ*!;TdG@Ta@Nek1GOXdow=FutQ{+9sTJ&B-$3_I4wmzBiu+1@J1x#>_h9XOeP2OU zA=JNG)PDukzqg6ie{Y*V;eZtD@60{cexTgvmTSC41C@`?7S-+zoBe7LV@tj!hC_YdUXe<-<2<*$rbT?OX zIoe-3F8ZPF=%~f%9U9>J>_u5s^Y!+esv>vHl&&7>b-rjc1n4?r#Y8Tg+O?IWoQPxV?Cw?qGMr8F?Lp zB@^A9woGqx`Qnii)}EpRC5OFdrLLRosX9E`U`v&@8TqC2jYS2cMeaDGo}qw!V1GwW zvSr1xCh)tOi6eZx)c6AO;_i4)-9hiBf@1~CvkrO_a&2qf@#CZWj*m>_R1f!V&8eEm zteR>}IX(j8`EcurBN=W-)!dO2vkRR$W#gWNfdx8HJ=A)lJTrcv(qF#`{KJmrugM$- zf3bSKRp76ap4zmQiGi}Q`m*C0@qH!pjVq7u1AAricrZ{s*<5zKYP`Q>++)uLzo}lR z-$5lCi!ZguM?15srdo=QZ<`n>_1EXkjly_wS;tYmhX?zPZ!7MtIOw-~8zx|U4Q`!V z7ti9_iQ$E!ta2Ey702j-(nIw-z@9N^y))tulpY+n`!W*xq?K6xXdl>JX}?>>%W1Xe zW{&ri&-%BfWxAFqr)@{tvCb9Amder`=%?zbL8otFqIc85-mSC+{2cm`x5rYobiT{l zi`v8A*hMoU^bc#N%j)L1>3)bi5GM}?b~GI-a>p`%;C|V>FXtFtS-Ut0@r1|whOzyV znPo>u!7nBTOUJuc&h3xy6a7dBtB%$?3#z%lg8e44t4CnmCx_xTuG=|SJ!@?ZEmyUJ zi?@^cYbwO&lHntr?U^o_^%EZtaL?-r^M#A|FZbht%DKj}_Jxjta_~ng<|S57Hg42< zoH*iG>!!hWar^d^F9y=n4ncf8*l%|S^$Gvp=tw$HK09cK`3c5RX|P_)w@>E4e3IU@ zy*Rseva#&=z9KPxxV=WZc)S~#?kZTfbD(sbjSrVxKG7)Z?{7&MV{vMz)t=l?I8e&R zA?+*k^+TL0%qn+TTV4B$dP`V;Y#Z+_o2WmKmYHy%+_EltEMuIH<26;|y;TP%ceJ+@ zXD7qFr}E=Qi##Q>ZT8#@U3o);BP?!~`XP=5%VYDcs&{QD{+K^6wAM*}ikIWT-c9^Jq~vw7;WuwD16L|43nWoo~0YuCVUL`EGeJxwB7+ zXZ>Xd`}11pf1|Jb@^T_V)CQsK93I-ZxAW8-RZHiCy?MXQ`|~z06lT}LILNJz?}xa(8|S;_fV%_YYs;qGh4uq5{!^&e zl3jMFK4A>v)n@3&To`8#fAh-Yn+kKvg`f6GiLK7f3uC=ie#P%x)>79xm>+!oDG=XQ z)r?pxL+>H32FoePD);;CTDkll;!&{Np3So?-WIxJbmiuX_*N+F={>8hsxYg zTA!Db(ZR-#{vPI~*@l7)7w=bCUxK|Gvqk+>|ABcreWJH?6xO|I!heEtBZcwFn*Nlu z{V;wl%T@j29@@?AdbqU=)<-Z7t(BqoZn-o$p`&Ej-%zkx8luVZ$;}PZj>eVCV_jKI zaD=oec1xzzP&eOQ*6x;8C(0GE`QD9eo^EitcgHlY2D!y1t3_^*Ymz$$%EphR6l7Q| zjca1hPIj-(t}3s0rhy-Bny>Go(=M3*n|Ih?y%xj#JDrv5W$W0!@A$xkO$nnJ;kZXu0{J$l6BoO-@4IEHV=q+Q{ONE>*hKO zpD)BbE7}F)(#6*+%QHI%D#qJRY=n4OBFcewwCtcRzOLwOADzgqf;gT7`vyKw!+NON z2laRJ{Jb&8&cSkDcPI1{AMeWggY9qJiEJK8FUqNzZA)mcg7vM^4!&+m?-G9d+5WnX#ApRt_4MF_rtAuq`K~?d}s(I^{d`HQF5`T9en;&YA_I5!$ zYhvrmH5r`;N{;!{=Q3QO_iS9Vb>^n=6}1Qbopg?Rgx;~<+S&RYIhmuG&~D-1vu&LP z+Y=5z91;E`Wi6jiYDY%*!G5TxYzpFT9_)8g*}Nm> zW8MDJ?PT^B>p`}!%c)}a1V85dO^FApXWR7qQ7Mkehw;HKsjZvnf|!3p^!xi^-Nol$ zy?)8b_%QxqUe@@TUOvCK@p)P7TUoz=A2wI|ATDoX^Zck&nHTNJDIA{y^L9_|uoBN< zJn;S)?cJ9XGm%+w7}gyb65j`uw-gTP8H#rEy64;-Qpk3@Yb)F0~QT0Ky{2>a=n z;_Pa_wJ@+h-UH_f4F#hK5T{djx9=~4`HAhf-PXFp{pqltSYAEvmffY3ITiD;FK8)t z%X6OWT!r5&6~lU(x))xpVRBwLSCAU30+X_&LIw?XVx4Xv@plzjH;+xV6~@@x5fecOR@T`YL@#cG$MV zekOsPSJ0KP4&GFdkpT1d3U)4$SvP*<#F71l%WI&%t`xRj=}rK@$Sym&^F-=)vCgMr zy_5&|zVhKCEBSoR*6(nBkihK-^;h@i3m*xrHnAp3NIE7%=)N&1ho66x^7YWB_9|HS z&jswc5DzQ;z3J4A^VRbm-Y-l)Kj&ymQOQ*VLBT+^zs;V-&z%Bg zEIx9(@0)=0Bex9eb2yJ;e0HvIWE0E-a2{ao2==?$9&wH`803${57f==X8YyAazCtx zVVuUZ^S^D0u+Q%(E2vKFhyCylSO+hMI11x7Sg#&-Ucv1+(t6^|(S(65mQu}s9Fx8L z+@}Zj&r^eC5bwhEj?Be-(EhnAM_UWmCU+jt=;X} zRYylx^Y{e&W|!7(J)f<&RDC^FBi*a1qZrm(YPT!hWZ= z4E)v0+o_!YObY*<9o=@uHt^3x?w@QOxg)owFsp9Jx<%+=ev_EZ_QAn%7+g1wLpzmm z7+m+YZiW3Qtha;u_`0-WsJo0Ni}Jzmddeo?{34@pImFW~uJy(J6^Gh(9Jfy{uVeE_ zPoujr)0LGRC|(_J-?Y}XyVTKeOpY(zH=Y$M$DWyAlWVowYm?7f?P@L`_n);U1P_nJ z8g>p=9@+`G=jUJiTuAkct!dSTeY}66Ut?KZ=j$;)_`}2s z7+3b#E_QAMah9DE1-jWeB<#c1u=5>u?g{HUWt{^4#?O@$yt3|qb&;2!AF=pbHmmF_ z4)T5XV9Bi2%jXs4ya~=_cR(B!>mD`_r>OHt^A7b~C>72f)p!p2`e+NpRp!rLHLka3 z!G59v{NEj;YtIn}Kfh_!mj~-tINydiU|FYc55#S@PG;>~rQ?qsC_RIn53Nz>J1A$; z-p=i@N<9Z=>ye)FN$@*~_d8pUGCxe8Tc9wX=;YQTa^DYq;Sy@&Nq z4XhLSIbHQmIOn%khTg-z!Oh|b^jmt-KoyMZH5tM4>z>Mq{`6d4pEYW|SwHqyO|-$j zs1Vj0?70Eo51eS*U&PKg;9T3)0R3&ZF+bhnN_GWuhgLabm(4d#$5;~D%F^awpAGwN zu|AGpYlC|jmm+RK|Hi?3f1V0^&*qv=`R6F*Sy z3&1=A^MuPc{wdcS&5$~Vrkp6MD#}xP0&Z+j-;nsuS zM#90qCKSixCL0&}@|5$mLN|}c%&si{bdqdn|_LUs!^M%?u|5>RSNrRph3GRFsNfe+_>%nr-=dVP9hY<_HL(=Maxq4Z%-D+uE6*t=E zcC9XwPmc}o=R87=@8i6#fx7W}Sf{uw<%h@NoC*BGqwJ&Xr?Y+G_C{}Na(-4k?4y?R zeH532`M+e|n&o2a{b|)tTk|T8jrEpJwxs(MKjr%YUt?{~{&+YCt&*6Lss0m)DInGaT=4j)QT~pOFCj330y0*VW0~KgB#cGME7CfPRRVa89SJ z|3(V4t9@-J+IXB=>rP|yGd%x*eP0%wKQsULNNHY}?_u77eN90X*oUp(?Xdsg&$sw` z(U}%Anpu0$Ta?TC@2J{;6Qci)LI1@jLI1gU|3SRv^6md|!1!1@}_ zH$?xl^{k3dOB4Oi?Kj=FqoS%Kr>46jc+ycgHate(9X@7cd#su_J$I2$~I~c#Mt!#gy;tvm|6gZ~f`Qkc= zqrvjTdB`yq*VgFynPu#`28-L^N7nVl+2w~JZstMUIK<+Ls2?9EeBBQ7-OwbAABp>I z7$3%mZhf_bR*h#?j9T46zuVV2Sn8|Kt^heZ?>`>DVBZewaW)QkylSh3b+VP+FKa^F_lj}Z1?Sq?aNby|p7Siv=p3k>w^oMU!#SL5C&UTAJqPAz@T+~v-f0JmXQi#M z4svXUb&!|GGgt@pmW`PGyvv<03s`8y84{MQHP z_fFsbLU&To58*j5_-FM{?}_1-j=s$>@4-1sR@rzPJWr5=>(JW6t?302hxj~n4Ax0} zeud`}!?15~nUhoFJ@;Sn+!D^?gXJmlp84@uFFRM@=SlwVP72SJz+c3Am+b@d;+a2! z-IemidY6yCGUjK&cEb8}WiTEcNP}}xXlHs(bs?PFYUNG`$KTjWh@|=O$wQV(lq_=O)ZACi+XJ z#^GGKczOAVHK6qqoSXaDdjJzX)eEpr%!G46m(?50PiOmBcrO6<8=K+$3-+OXQg*OD zdF)&e-V=cR2E^^zZWu@U{R%tR8Oy2~tKV7y{mlANzh7bJe4+J%eSXj$?Y(t#hI(1b zgYD(djeNaD$B)8$3$yS%gY5^j_}|bD=Y1jd%FxCCY1o&u_ahE^`XD|HR{EL0Y5S;h zcAgWOZ{HoPmvW92Vo!a&3;N;QDAb<1dc*lNJg+y@J6*FU%v-(H6a9Jc{J0m+?|QX< zfH>y!Y#Pge`P|nJ`*BxeEzH-)`99W~pH*F$Rei7@-jj%rl}MTT#ZdFHsj0g*GD`b!R>9Gm;>B05aCIQq#5wJ`~1Toktq=yOX+H@mOU~vISy*}Ozsc( z<_dJ)9m8J z@a!xILCrvuax0OTkr}_=1Lc54+SMjCj!h09fse7A-r>2xQ6+oX*zCM##5+3|n3(g- z&UweiJl=^#XhRUjj0dPB_K}$Xm?j6R87ol2J|CO(`8_^~q%h8ucYfB-bj?8-qpbF` zbDpFAVZBmjZcyr&7!P=$WF;kp0-oWidCwv5*qmp^KMh}-3C7I)gt$+h)C5>5^Ru8? z#{;JTkYF$nzfYZnY7Whhdd68*RhVOVa>nlou$qrg4J#5_$;ld1E1mIX{IhK&`uqDYPdoo zS*-siCMSki=X%B_y*|%T?^wX+8J9>#c;1{BEP&<&CXRY$B(gjl!*Uo7a&y9SeNZ(~ zWaMoB%*-U9vm>BExkS?OOmIAw!OSx>KkJ#BIR;kXvMLMg1q~jZ@Ix;q>t&TvL-3#j zoI6bw)38CSFtyx_;OqXG3GW!o$y5QebIf7%S;@X}FRz|&cz7Hf!^?+(p0_X)nDes% zq%dK8DRMz9V<}>k6*Ur=@pyecuvxr%tF&fWU_^12iP}2eA@oKwY+AGE?|G{^4QIY+zz^ z3`{lyjY(yVnVSiWj{3pRy{w{O+<4#6sAqUwaa`TKY7sSmZgC3iNYz_mpak`HVTQRP ze67lBPy#jcj)2v5cOIdDn*hcd6Yz|bf<{HgHv|=iTUN^eeEcdRp`8y)HY9@~aegi^ zR=kf5Gl*dY2zzsTU}AV|-sk6^&BCCbIx^>(tJ@0Vyi1tMDF}Q%|1qUY1ZQOQ5SU4= zAczd{v60AXB+Sedfk^o&3k`*cvU#vjI|TYhsD!G5Mn1Fy<5M&KVfX-8$>IZn&j%~G zQ4HB)H1K>B&U#3hPFURX1t7%uXXnSjPpHaRm|<}(p4|@5&Uj{KOFdu{-UmXKxdX^x#!Ng~Wgbkp_Slvd(=4TJV zxP*WJQ!V36uz5hqh2B$Y$Lg-Q6OY5%ZGa6}z$&>@;Azfa8X|cdQwoYeEhur0`;WoI zIOU&!coI7o7>5zVC(4-F$&opcjGKbdH$3JIjI$Zc&hDn5b}{3J7GSoR2bblO_T1RK zXWSnco}Xd4@ob7#SX0muX}k{V+y{eJj3Q+mD^sGvR^xoCssl>&1z>6buawBD5T_sZ z4j+Ow0iW-L_k$_eSkCV{wX4&}?EJg8|PM6|BZ8nnikz8JyL1 zOhqZ5b2HS+iY}ah0PchE3SAM*VN*J*mfz=@oEU=%A~O^-=Jy_f9H=GKF*FN?5aY5> z=~b&9@`B};F@|XU0-qw8V?({UAZy5sPZ*kaks8B%P>9lNDr>|WfL=>fqb4&YA8_%( z2mlLHh$|cP_o4Aej}?I+XuXlinPER~I~1!pAcQOU4FDcyk~Nly70hZH4+hT6oDwP^ zM<*@TAj~kD^!OCB6kqMIXvbDMJ{Dzx$%mL=E_h*f1P-$w8&+U8{{*v~#wk-cbaV;^ zg^&RO?G}E~K(RFB2FAysUxAUVsDzS(JQ)O7cV&e5t{0q5^;zEEOo};R=t38`@KXu! zg9mKPLIH6~0pc!Q*MgzNDcJTX)z&h~JRup}>p&@Mvy#DG2UKfWL5!Luvp5J%C=5D) zHa&&<0T&ETMDqCjm^mC5)Nm|}n<|eB264jk0XyuS81{otbI)gWnj9Hn%V}sP4^Dg< z5Pi-^5gYnq?2b(?Ko|t?NMp9u_*34wLyR39wqV2~0hkl`3Sx+5@y_Q6Ka}nXFkT8w zc0LcAPgozacn*O#C^$3&Js~1n$tg_>KE;#^r(x54F!E0MXGVr6=1Rg@u<|fUm4&m) zm9Pd)V$}^WZ)2t^J!PGO;=wL}4?KcO*iwV(Nzw86f`l>kf@}%GczhtR?o!6KcY$&F zsANqapYaZRMqtIoM*(+EHnBTdPYutFf$wWm;V_#E;dTZ#769`LD`$m_!C(fgn_%0m zc6e~xKx4JfY0xToinr38eN>L6*01C3uR(AXnNmj`Q*?8sHMU`A;(8|wbKrXIf z`yP+Gsj17e9crW9weN)TcwSeRyVujyylc;PFcjmoYzJX?_jV5i0jUp$g39b}?E_=R zuv?LgYuMAESFYX(~Xhvw(V?hf+hs-g|;+y&$7xqt({HHJ-iZ%7-y97 zHgK47&kEA+%MAHtp3wYqLw-5Wk731%8YDFAZEbJzxVJZe2pwI`Ev-8{)hczn zo4SH&K9q$bUJs@6@l73WPs84AYCv#``)*Gw3|Ok(Fppn5m3!2CJRpIRHY=_pWknYCLCQm!qUlR}kO|41y9`_!I zRHErBibZ0j<*L~jOKZ5=ag56hp>_&XWtY3LjWa@hADW~xSPP+e^;XjwE0}zIKyyGq zwVSvTE#!@dJb_cZoJ;GxT&NPZ5a6K4b5X_PMVfoOvvu2E@NdPR)f>g1)m!e*$*rB> z&xSZ|faoWIUri8P8}>#xvTJ@r?FlJXXK z1;5*)i@eF5J3YeR8D#+!YO$-e(Nosg+t>~_@$9C-4Yg&)-4UE z(x;^B zF_vw8YyJ*sy0{O=K`xc%px@9{gCF&a2BfI4AP*ql5|D>= zO=brW0s+3KKBVT(8hRII06Rkk;5@;~AD0A8p(+GZ)GTF+CDl{u3F$k`FyDo_b>^u5 zD4ax1dEpV>BAjQlC(90gw!w;z6?{01hhZHq3ax%xsCouk9g;uFPD7H7ECBpanCVBL zeCFuT3|P=V1KuT0$RNlBnIQYTQ2tYXkTL@sYrj&%)7H0!4PWsCt$cG{2BUB5DMU60 zZNwk{hu-lmI9pVRxj%*{`P6S?T@GP$=BQR5=#9eA-Z(|=sPu~TD(it?ax09@Dps(< zxhOY*!T}TfR+!*-uuPgcI_{l4;+=ql7&ub*%|iU!?cH$226OOG`cW6Hv?zL zlVf~*KqqKS@u)RMFvh?q(vVhx0gpv6;Q#kFQt2PkKbgh<#g-3h_|e&t4=Z*^2nWXS z&Ink;#|Aq1w#Eb({I3|X9|px?8sy^JwGLa#8<0H193_$S{*P2?qZ%nSXagjVjd%+i z0e04QW(LPBJ6UB<;uM33Ws76){fC58_}tqVMxU{T7VYp|z) zlS?geyk9IdC@w0<#ZATrUgNN#p_CO=_rHt+m~v`KvLv%crtn&8G1S8%8bndmO%YqR zh8T>+__qIv{F1K0A86=fI@AZ64QA9GvueS|MD3_0!;;BbngxgDM$JC|h!jL!Xfq)?AvFKsyE;utA&HCq2z7ymsn!4 ztfk}~@-9oQgTrxV>S4bpmp!b91+i{XQBjw_Pa^%H zy#K>BJ=1PI#tiC0E2usZ5Org#@O_m0TXbhLCI1nr7E0b1Dex-jnLbKBApd2SX{Y2v zk<&@ZM?TQArZ1Jyf!Y)IRtOOkjK9hlK>Mk4m!O4N%E0cn7HD5UGPyiV>+H zD#ePFk4jFF8lh60NFAaQ6{*8iiWmCEsFWa56I4nRsVOQY3Aq_6C5zM?l~P3ND3wx0 z>KK*MMCuGGEfcBZR7w}A6I99&sdK25DN^TADNB@b0hO{v>LMyF7pY6Alp|7?QE7!p zT|uRlB6Ss&R*BR#R60$huA`DmX#O~rR*Tdp;d48Yx}Hk8BJ~+6tre*osgx&DH&JPw zNPUh<>qY7dRLU2rTd1@_q`pL@jUshBl?p`a%Ty{9sk^9DB9-&f|(EJ#css-=cRH_lF?@*~$q`pU` zI+1#cN}EOMX)0|Isb{IQRiu7MrFxP2F_lgish?2EEmA+FQiDjnK&3{JdXY*^BJ~R@ zHH*|MRN5wL^-C(Xh}5sCv|Z%>mP)N6^?NGq5UD>dXq{W0{bT_bqe0w zRN5(0f2Gnck$RU(yG82nRO%9`e^9Agr2a*vJwnTWsI*t`KA_S*k@}EI`+3SrsMI4; z7Ao~}gw;l+K9RChsbA#AP-#GM$l$_R=u=R{v?Av!ZszQHaSuixiVJy=<@9O`i)&)7wQeBESpVIc>P-i6FMtQS-n<%#@KlA#akh2(lfCtptL zpZk~>Ej2G%W?r=1yr^O-GtH_ruXU4o(JCRj;S_dNo>%=(gcaMG)2vw6oUmeFbHa*+ z%?T?uHYcoD*_^Oq=Tmhwd$v_#uJ@RzN&jV{CjFO*n)F{LYSMq1s7e22q9*;9i7Ix* z;+A-oLw(Of81*#F&)JbPd#Wcyu-V!0R>&y?wf7E$V8qG=-ysol*u1NIwL}PEQPudy z$tmimJ)%EF{en;KPfGE^N9Luem6%#0W8LzEaAfR*z!r0VNXki6xvDJdo@C+2ywFruiFR!)(qv%5%Cs|5W_lO5evSYBP21O(@Ux= zhf~R^O9w1)>Gg?z;b%Fgs3d>!M^q9EovKpZ`#`5|yZV}tkX)j!d}#7_XoM)XQdDZd zMa57tVFcrt#~e;k%tk#o)DoR8=360_k2sT}O83H&kj2K0A}gAr_B-b6iXeZzNvK<* zD|u{SZ#|u|U?$04h7y9<_)!XG?**N*+~BKIr&On{YL~E}?nSFp7R_F(()rF3-peFI zU1y2DX2Pn6EioLGm$*bNaXB`Rh0b?)H>+~0GvM}7r9vBm#drSNi#CJeT@32PFEIy5*ODsN(qDw43jiO6zp7f%p z+&qN~FR^(F7hYoX6fUgT7Y$xIUl4U(V)GQPd5O(axbPC2r*PpVHcxtCwjWqx^JEk? z>Ay^?N&jV{CjFO*n)F{LYSMq1s7e22qD$B{?Bz-k7gi!`5qJ}ly>lr9u#m=Hl@zZZ z3K=Y9H-9l6;X`#$HI!MoJn)3ZA<+iWEF|ZxW@oQqnAVZIo;i zybelQ1aBuL+eKT1oZi_> zjFEBXp*K)6Da!sVB~v1GGbPg^^?8X@no!I>d=g$x8AyW5Ha;8Y#N6B%Kx}TD>gq8;>IU!Qtq~vUo`W7YU zh}0vLoGVg~QF5M0eVdZ=g_iG7a)C&FkCF>T?o*UpBzR9#aU4sb5oaok;zbl8*_w z-@~gj&;$A(rV0Oz4oW^wKEZnPbxJ-daBooZDUo`MlIun4&y;*xr2az5XGH29N^TIT zzfp3dNWDkNXGQ9tl-wjz|EA<-k$Rt!&xu<8my*v5-ba*tf%9aEk}qBp9~_pOD8~3Z4U{}D${D2OXQIL$N?s5tFC{-0sbNZ96y?A?_LAViJoXEb3Q+R0 zNFAZ%6_J7;Qd$o6(Yu-cR~9IFmHd)9+7u8l>Aww zu7VdGHwPvHb6dmT`P@h4YvgO4WUYK1yy2+*(!icWGm{JK6?%vKaVJ?NU*{yNnaC=2 z9T(v@D;@In?DM6aO?%s$;T2O?aNQR{zK+VDk#C5VKP}$~wd{tVGYl`zZ_;&s1R(PJ zmZ0k<`DQ2R`W)-8V9}wX@VX^y^B0`NCVvsWlYmWLF@L!4kZ*-5{D!wiG9D9%Qx&7SIGAS!gd08=Dy_1}fxlI-wR1!Hef= zwRwRK8NMyb2uiCz&&d|xxx6lq#*$?DF;<5RC#1d&FYK!dn2(DhrSUj|51JuzTwb^HFbUJkpBdu1m10y z|17^PkzB2<-08HYX<9+)1M**;p!6LlR0=vbCA?A&`S0*B+Cv;n3_DbQNd21ot%f?0t-xxOcrO&>lcT8xznB#mLFWBIP5E(_EjuDQ(wM*-Qcjhoc7gB zB&1hD=6r`e*J)oXzYQ;}bEmPdgBR9CDj!U*SFM|`9rle*djZoN+YLW|3crIpUSTG6_~#By`gXBbB>fO6;5**Utl%>O5GC^lkj`I>{p*5ly*aL z-qwjRs0iz2`0{~spsvJ4EW}1&nu521Q`i{_Awb~-h0_gWBc}xlg@Y1)562{&!ifl{ za2~=boQ7};XCa)zNeHKK4#Fv%f^Z6FAe>e!6wW{RJ)C}U3TGdj!pR4xaPGk=oO*Ct zuTVJg;P-Ie!6}?}a0+J~oWe;5r*O`}DV%a}3TGUgZdWLrZ}59K-QcuM;kPRk&NVn6 zPBl1%GYwARM1xZ}&)^hJGdP8_3{K%BgHt%i;1o_VIE6C|PJ0wSoL}&JIKAK$&Mr8G zlM7Da+=5d$wcymFP&l#R_i$dpDV$bt3TG9Z!bt_Ea8AJ~oKkQKXB3>m2?eKcKEdf> zg&t8ToF@>;UIcj-G68-8rwUvS&J;L>69rD;Tp%bn3vzHe!1-`Cz$u&za0=%FoWiL9 zr*I~~DVzv!3g-cw!f61fa2CKRoCI)swnE_)fZxLz0H<&Qz$xtiIfdOnr?B_u6n6fc z!oHu=OB6or`T6~2%KhaEy+Wa|*XQ}L)8`cS`JBQopHtZ5a|%0rPGNt~DeT-ig?&4x zuxsb^dWFJ{o!`TLom1GYa|(NPPGP6cDeTiZy;-5KN9XsjL+2Fs=bXasoKx7Fa|#>l zV1L{O{c*d(hs`z5|FUv_r$X;iC~T^EK5VHug$*^Qu$|@}TNOKC?Xii}h z%_(e?Iek!(hb=O{hYd2Pus!A!HpiU8)|gY+7;_4n++h75gZjh9HF*DRxQESX@cuh+ z4_i`>gAFOCupQ;}DTTsTl;1zC+&`nxXB9r|CWHEZ2>M`8$>m{3$tmn7IfdOMr?4vw z%KsGPVQfkl(|OkW<(XatgaaPGPsl>8})d*y-_m*ynKyyF5-| zkH;zO@HmD29jCCn;}rIGoc>Xvu&?9yZz}XHh5kvQu%F}k@Y!9kJ%5GvyhGjvegpfa zj#Kyqj#Kz{jmyK=T%5wUT%5v}T%5ueT%5x9Tb#nzTa2=Aw>Xs)YEdYBwZ-{1YbO3WYDUxIBEH#VLH9#c7g~pRCXng~C@^JRiQv;uOBf z;uOBe;uOBd;uOBc;uOBb;uOBa;uOBZ;uOBY;uOBX;uOBW;uOBV;uOBU;?$++S*=j` z>WcGo6$)Qm@q75*ic|R7iqrK9%~$9Kg>F=6fkNR6D=rV;S8)noS8-aR&{Bn#DHOh{ z;`#7R6{qk;6{qk$6{qku6{qkm6{qke6{qkW6{qkO6{qkG6{qk86{qn16sPd@6sPd* z6sK;5HYgOnn&SK>g~At8{2soS;uOA?;&i*hZ&m0Hg|;cQU7_%W6qkqZqd0}Hqd48A z(A^5{QYd^C#q;5tC{E#vC{E#fC{E#PC{E#9C{E!^C{E!!C{E!kC{E!UC{E!EC{E$~ zCr;t(Cr;tpCr-Tz9a1QK^~Cu;g~At4{2sn{;uOAi;`ES00}4H?&?5>RQz(4l#O2}p zCQjk&CQhdmI<3$dg~C@&JRiPk;uOAU;uOAT;uOAS;uOAR;uOAQ;uOAP;uOAO;uOAN z;uOAM;uOAL;uOAK;uOAJ;`CgFo~KawYKikNP$+z{#P8vIB~IaMB~C9<=%ot1Ore)6 z^a_Q-7fM_nzE9#5zE0xw8iih~(CZkrIEf^EO!_#n*o#4`nONZ;A&w2p3m%j{^L0pC z>@3XMVR;N}dnsH`6C%Cd}d(}hH^mUgJle<8rL zy2%o&8>sxUbSI|rYhN*~5*i+=^6S#wVJbI1DBX{@HN2K=SrJru53l6|xXN!vq4FVI zm7%w2a^LPQOKY&_rvF9RG`e(S#aI!$?i?~syt>ODx=d8M-K08f(Mf&cHq|G&Zizr+9c zcm?0bJ@g~IV&NY80ka1$27=%ROeKU;`0)YmKLbz~RvV{i^MjUHTy2Gr3bxm2u_|VE zf=*#(r^N;-)?TMYhLlbCl0}TVWE((|SOq{V2?SV0oM_uD@pvUnwJpJth&qrZ6;~SO zK*5&91X~s(TBbOVz8%npG|RHE!ML$t#e^BE?qTo?;;5ZZ+IdB&0VHcVMXQSYY&4HJr;7iScb5QW*=D;gZ@RjDkD^c*(=D@2^@U`Z^r=j4F znFG5}C;5aq@M;wNDRba8DEQOnz_}>+26N!GDEPDHz?mDERZ{!0S=)7tMk5 zQShziz#CBTZRWrmQE-IA*O2`joD>zH;5*HgT!@0dVh&t{j;gPj0~ezu-)#Uor=7LcuSa12?1KSIvR9p+oXl=D;l|_&4Uj+tF(O&K$TE1;1tvyaNTl zZVudrg5NL)Zb!jynFDvA*8a0Oa3@;wU(A7bqTqMTfp?+cznKH?M#1ly19zd|f0_e# zqu_s=1Mfk*>V0$Iy=ck*H3!~@f3bvX9_hJUK%I3g*DA-{R+>cf} z)*N^M1;?2K52DqMHwQj|mYiq~>_NfF=D-J0aH=`57X>df2OdJf8Ro#lC^*X;*oT6b zn*;k%@CtL_5fr@29C#E3yUc+Pq2M*#tkZw`Du3hp%rz5oUHn*(2nuEPe+fiFT!_Lu`-jDo%9z?Y!l zVRPV1QLx_}_%akcY7Trk3J#b9Ux9*;m;+ylg2&B)uR_6-=D=5@;AwNE@E-3quQII1&&O-oZ(e0s zk6(b-a?rfWuv%V-*V1ENWmqjQ!c}^sPQK-BWS2-Gm%FA$-fhbg7 zj;lNpg~}^%zZ^HOGR!Zp#8pm4q4FwR<#ZG(uf}aTYhGp8=(z@0IUj|}YjKqeQK-BQ zSGgF4%8%hH&x}Il$8nWsMWON&xXQDmQ29w*<+)L){1mS8{3ujjkB^=U&8rL>zo$|Acv! zVIlbQxXMpOq4Ep3%1=k3@{7328=_Eo3$F6BQK-BXS9x<3D!+uQ{CpHDZ^Ko7F$$Hp z<0@~BLggK}%G;t)`DI+?9Z{&f6IXd>6e{n+RemK3m0!Ul(bvqY44clrir4aP^D4t4 z(bsU5_eP=e>$u93QK-BdSNT8`D(}Hnej^H%_u?uaibCamxXOp4P@5f#J zaq}v}T>SyOmQR>h8RqH_;wrxzh01T>DxZu(R=@pGTqc+qlY?qEPt+uJYw5RDK6n`Dzp@ zzl#UAUzt}K7TmsvtNcwADxbs~{yXz3!{X&rxXRa}Q2BjaU8zMieTa!BxH$ zh015~9{;m>m0?cw1H6`hF|RVL$A5^cd?yN(Kf+c1Eee%C##O!-h05n}mH&)F3a5$ztUY+hwp z_q>FwbVQ-@7kI;C&8rOS@t1LxaZ#vz1#ft~d6i+dd=;-{qIs2J4gV#sGC2yBzrt0f zMxpZ8xXNWwsQeACG9wC=zr|H%MWOO{xXR^GsQf*yazzv>U&B?dibCZdaFwnoRKAX@ zToZ-LKjJFaMxpWzT;;kbRKAI;%#T9lTe!-NQK zag~ixsQeeMvN;Ns|Hf6eM4|FOxXRWjRKAa^Y>PtW2e`_PC{+FzSGh9^l^^0NcSoV} zBV1+o|6Zky;41eUT6)Z@H12zB zc3h=53Y89ABLnAqEH!!t2`2gN{Xu-k3wZUu5vO8l?k}Y z=_pht;wopOP??0QoR30fGOlvrf3K3Pv!#UpTBj||_>;l0{I3ugeqxH`qu_KS*olHS z*fNlkvy5Phf(uaaaw9ka1s9^=6-ICp3NAvytBl}e6kKdO4QcagBRCBOm!c(y{a$oB z3NAxS4*O~73=~|Bmb~6r?JN{rfr2*}!PzLd5(V=gk=FmVat;dKgo2BVC9goiRVcW` z2wsVTt5I;75xfco*Pva+f9G1?W)}*sMN8gfEIAhi*P-BQBX}(e-i(54jo>^Kyafes zHiFlo;H@Zls}Z~&1=pkC(~aPK6nr`gZZLv3qF^@)ZZd)kP;dhZ-ev?BqTogpyxj;c zLBUO^d+soTOHpt$T5`J)T!w_e-4nGw7X1^ZF(6-MxW6g+~0uQGyrQ1B?)=4*`LeiVENE%`blcmM?l z(2_rH1Rp@bhf(k+jbIN7K7xX;H-fz=c+B=`<+lZNf62vmqY*qD4i*S`{fkijIn?^M8I=UWl>m4i z1>a!=A3?!KQShBc@E8hSKn?d5BX}GIA49=kGlC~k@FEJn+X$XQ!Dpc0dyU{}6nrKM zK4}Ecpy1;u_yHq$76qS$cGWkG;CU2$0tG*01Rq7gXQSYUjo<|od=3hJ)CfL?g3m?4 zj~l^fpy2aR@DoPxaTI($+Ew2*f={5}3sCTrM({Z(_(HVW-#3EKN5L1NB|l>XUx0!y zM!`QYf-gkDm!Q@Dkr8|m3ceIA`8gx_VibHCTJrNo@TDmDaugi)=Q%G!!B?Q*pBqcQ z90gyAw)rI^_(~Lf6$*aY2)+shUyWA#RU`On6nqU@a@Zdmy%q&ui-Lb+EcrSVd>sn@ zoe}(T6#Ox?&9523pFqJMxBUS*EdQes{7Dr2NwnlQjo{Cq;7_68KN-O{py2CK@Y_c4 zjVSojDEO~N@J%TAGbs37Blu<%d;<#pyAk|36nrBJ{)Z9#c@+Fv6#OqE_zNibCKUW1 zBlwFb_+}LRff0NQ3jQ2AFg`SbZ$-hM$4Zuo5quj8{sLCAY%zlGK*3)`OST!occS21 zP_W$yz6%B4Dm%hAEV9!G{%SZ_EZXH5Sa;u!)_@w7d_7zVfbT#JmtX|ni-Nz5mYie+ z--m+lM8PRW@JSSW7Ya@@g6~JcUqQj?M(_hD_^T*5(+GYL1%C|%XB)xaM8RK2!8u0o zw@~oiD0rn2{4ff>2X&IujNnI5@VzK_wGsR%3ce2o=NiF}qu`S$IL`?FHVVF9UKc*q z%K1j{cTn(ysI@m5!QVr{-$22IM(|T8_?u{(i;du?QSd`3xYP)K1_gf$1(zGa&!XUm zQE;UZ{6iG{2nwz;f`5d9AH~j1wE@1xb;VJ!I- z6#O(=a=Q`yDhhrE1$P?3zeK^$qSfAI1pgWZ{{VHCZX@`&;b6sWcSC>w2(A8Jqmti; zD*^D2QSg2v_%#⏥=g8zVme}aPhjo{Z&@bjqQ4j932go72s4M5v}hIZXSqmnnn zl>qn!6g*@EzlDN-j)Hwg@Sjldi>Tp7jNrFX@JndPVNX>5ih_TEf)5)@eisG5jDp9E z;J=~ZS5WYT5&RwsepQ}C76H>n@IO)TuTX2x8o~cT!M{eq^G5LdDEK!hc)kUH4X&ZXmzrEo;OTm*Qal=DoZSRH$ z@0Y(JvhJ6^^_cv~Nn2W^jC7Il`2F$|I>dKR+Oi3d{-#xsw}kg+^c#)?Q8s~`hv z^}~DQ=aW|5FTZfV{EPeLS9Qhx>ZEP8kkJ(%W34NQlu;BZ zqa;#B8Dyx<&WX_M3Lz8T>`jp}sv~98LdMfrlQu`n*cvJ0bjX03hu5khQbtpxjBSxJ zwu=nr6dUfBe-ptzJ0ii`O~E@O!FNT<=rW~uk0zCLT7;_ai&R8Uq>R2u83U0r4n)d0 z7%5{YQid;5#z>@$LyM8nWgL%` zaUxR2Igv8X6B*1-jtDlmAQJYXNEw%CN+TKeGEF3+TVJ8cL{f8Aq$*r%LNEr`D%6K$V#^aGPo)8&JhPUtPWFmFQlaUJez6tO% zI+;j|tsg`x;72CF&qV@1A1UK!kurX+D=sF2TfL;oM2dwkN7DZ)WIQbvp5a(n&BD6# zSEm;FjZn|KwIxC=eNEv^Ml<_tzBy_zd z*6M$al<{t)jK4?9_(!CSe?`jpPo#_wLTflWWu{zh?JgMq+An0CQqTJ(u7PE$h`HC zJquc&4eN@Na;;$G0AmGbY!QrAz<}Wc5~mBb;bv(N8N6pBS-U9`cw3|lw(om7;$eVj z>5fR?_DC6>CbaGX8L-W2X!#o6^6p65_D0IsA1R|ZQbs>yK%r})&^%t~0U^n(3M|WN;r%?K!6W(}+v`0odM%QdA3n9n7>KAR zB1vK67tVWrbyA*SY96u|fWZoNTAU84Hmz79(YxDKc2M*~4ls z41883?Aehr&W)6Dex!^GBV}A1DdW;e8J9=OxDqn3(;xZj;4eN{{y@F~N3RZ&LSo5A zIF4*0lnjyta+D;It4T7sourXxNjiCrWJs|jOIl5`r8<%$?IA0qak5gnh^&%sCNAj- zk}JJJ)=KY@JWB#uXIV$qTTUnWmR_>aGD8Y1my<%vEu_TqFe$bCj+9wGAm!H8q{3Q9 zDy@6SChHlb%6b#2u|7a*tv@Dp);Gvzn}uw#Ehk%T6{OzQK~A@g5V!4Y(qOxuG}`Va z&9pxLe34;~pWOiTgRZA?~l_MjA_QqN~Zxw2pj^?jfJ2efIOb?4*7QCGV-0oV)DJj?c}LMFL^rgLh?-F z?c~|SXUGo||3ZG0luDjU$|XNZswB@RZ6iNT@{kvj&L=M>-AGHA|D?u{_fuWugVb8`-_&mMVd@z9DD`T|l6t#jO?_OlrT#*a zQ~xH})2QS~TPww+ZIzs9`=z+FDWZGakT$J6ZjkL2;Q0+C20T82B!d4ZlT`5bSn&2# zc!~ku4m2BR4$u`qR}njbAK)OXfvy3%7HA$kBdG*E7ARvA&^X|;7Y$=)iPK(1h;x%f zEKYvrPyP*m1eg4WUEXJx58#hQlMh+yBe;MVTqJg}u#1)bDQO!^$^4H>vy_8fV%Q~? zU7YL^$1apz;+b3myCkwp65}PaOA5QBvP&AfEMu2+cFACuOm@j)h-`LQ&MrCZvVvV! zvdb!VIgMRhOn5cBtYMd2c3I0VdF--|UDm^eye-{Cq{7}uW$aSUE*0!j$u67NrHTnxvs4Yc)Urz*yPVE0o7rUxyKH5bdUkQMO9Q(!vP%=Y zG_%V#rmBTqwzJz-cG|(VfFsS;kQqKi~TwH%vqmt*k61 zHc*&xC*m4423K$c+)!}e1rui!<18eAnrK6U3sGkZv7)dLwZJ7tX{1FBaZ5xh3md=R z+dO%{duKvHxR>O8&N;9eZi1WP7C&;U(`|4&tbw)OxC8F=co*F5@gApjupTzRy>K7g z4-deDun``DhhY0Kwnbd=Cs>iXrDLlGv$45e8wBIFbDIn9r`?WpSkXH)jPem3*H!!S*@2u84{LUagKB9!D%i^FUVH@d4`InRk+7#4rEti*_ra)vrqW4i7kRJd4Y#48EKOYWc*ypq#{vM7cTl_(Cf05sd zd{!2K4IPGWIKo*3s&RGLcg@hssJ&+4U()JaquRfG^=IFxXNiR>~+!9rXA$d;{OYcVIxHOkR|c ziuwV5ghOC#q0A$cL4z_uP(}mlFev6PRr;m;zSPy1g85PjUrO9d?Rp)7pWzqy6%>Bg zQBbN~zrpYD2mA?tfdb}InOsVa>lpk4$3aPk zN=v0^DFH3DoxNytVsL6upfIvmJoZw?iIco`GMoZS;8alHH=%NGLP_4lQaByX7!k4Q zEc35I^+r@^WV1(^;vQ*^XmW&Jqj@IRI9=;>wbPYwmB%Y!l}Ao-RJP;>xE`(pWh%K5 zR>MnvV=D+=M>aMp7Bc6w11^CJ;ZnE=E(XeRmOHKR8|OQz28))iOoCuv1oYBX(>I5= z5_N0oTHB%VgRw1dD+Y?n&?egjLmSPJp{0%gZ#%6m&G6s&iP@I;*gWV{^n0fxPDh6^ shxXHOIPJ`KR@&L)w_iKAEgJ|nLpx{ux$tzd9p*vO<;2O163q$8|F-|xHUIzs literal 84311 zcmcG12YejG_5bYM?VWTQby?juXUno>s~4-;7N=raR{lA&nz1`b;C-3ifzyHS{@0)$|zHesU zym?c0_w>yBk9>^~QfaS}NP^ElQsN&k_W<>@?Q#$acq4}cbN=y)lE#He-?-mFtP+VE z4-9iTpbjESBwhg(&y9G;y%Jf~!4oC3{?T#&$b3l;WA_BcCcX0uv;KOC$iqwX{yC}Q z1-q0r;qzJ=XXI9!D|wY1<8VmsWOt)Ad0keYBn?YWYgRzA%C>Y#ic4;6i~)YFMaR$9 z_DX@W_ZGxW*`j-@bfa!14$;&$V z?V5b8!sqfkHTgb0zf0pU>G|CnKg+Ib*M5y(tLOJ?{60PZpvGTP_`F@ig3sEO<?@oV+`vowC6o_|u~FX{Of3-=S&?^&@r{dSFC ztLHm4exJhUc1RF>-oJYJ6iq(MDfDysbWOfi;q(5@*5v#2{8bu%Nv~&(rY9>-r$1lg z*D8Fjf1{?SPtPyb_)B_zxyH|;I{R$a__ca|oyPCe^S5dIB|X1U<7dU|^l#VrwR-+e zjo+u|cWL}3J-=JyXC>(DvtRI8f7R;w{Tjbd;d8qk)buRr`NJAND^XYfQH@`#=O5Ad zeR}?+#$VF&XElCSl1~3IjbE$hpQZ8p^!$?=e@WppKa?$ET!0;1S!(=*xFp+ykx16uUXI5@7KC^O__zdIGrN(D2ze>}yq>J0~8m&HA zx;QLr@!X}4=du>hT}nK61*}l+4yMP_lnLdw>Ef{5p_LoZ^LK0fSY14n_iFqC!FSc# zK|ha^mZllP-Onl(jhNQsX4Ij}j+&KPvH!^OZQs?X2f3agy=X zILYOeILY{GoaB6q@E@*U7x!el#@EM5S&Ne{HBPc|Br9=}_3x4{PRd%Gbg6NY%PVn` z$?M~!ti?%}K2FM7oOJ2qq`XF}r#?=~`5IpzCuJ>8y3{zy?V!X-ZXaE|m6bTj?W2ot zvKA*@YMkVHlsL)c^>I?x;-pI-C*?*_Pi6;woRqg~d^JvT{YsqV`jvRd`AVGR_1DEo zS&Ne{eVmlFIO)>INm+}NE;UYa{YsqV`gL(q9@grq#7S2{BKQN3dzPko<`25KCu?!f zrH^~E7WZ8GxF>6I&!vxhvKIGTYTRRf1#YX(`vv;AXV>Chfj;ipwYXQH#yze_iF?p5 z1!~;md?oHNz8d#9Ux|B+uf{!QH@gz|z-|R<+~e{}+~fLn@zbuvJtnV@dv-1E73kxh zU5k4KO57`8anHVm+kwTsf`D$lYCkg=#|mO~anIhY@e6e8OgoRCjg73HYW(E&SK=q* ztMQZbmH5f{YW(DUC4Mr#5o2u z3O=i7K+jLo__4YLqCHLH7wGw!8oy1?&(-(=!7o^HLIXrVY}y0mDgm(|5C{AkF5lG4 z3?~9#K_3Oa2uw{L;H!a&cbF2GSO@p%0+U?}Oa)82z+~3~Q-K`iF+KXgWY+>y zff|^&yb_q0{E{v(*|oq_paiBY?hvDz9co2jDrkd%WuF)AJqCITbPFu|aUsw2x9RyO zG=4zOf8xAgMp>+Gh2^jb4+2GH1%h8z3p-i|k0_RA8Te{MVHI^~E2%PlL~&>lrA&<| zTwYsAl`ZL3QVwk;Ri;K1F0VusaJVuxqHw+vQ5au|DBN(4;@}7^S_u*TP~_#C4eU%%pW9MSmt6`w;}@s+77KCXXOlh0Bj5a%l^ zKIT8QdcLyaOOgu;TDv++{p|@S(vPIU+O(aPN&OW*hm-{-X*?!R*&5xhE!O$X$*!4I zkUv6ayjfL8#?pP&>#bJnG3V9|Zp)fuLn*!k@v_x=mh+t6Myc`SirC~psoOHqLvvyB>ZuX(R{Im?WW%ZGv{Jg_4c8e{>omG}>krL$C_)N)KIoFxxHT5I_)ediyf@-9k91~JBKPp8xnlg32jnbQ$k*5*TITIt>uFm-lj5N zAiuJAqPKkFfW^7TI#_qCA)8hw9;})QoXpu#l2tv?V4u$@g>uhuyIgw?HYTSgXJs{c zw^(Ci&t6Ozlrs9d3Xga6m(2(A=UblT{IeT#)zHD|eHsj;ZP{80Nal$Tq7XsnyI zf_zF_dhTLj_SS`-y1e7#E9-~*%5AA$Tg7PW$#%zBCeUqp2PQHrEUx6j>WSW}vW66( zxlsQUTdG@Ta@NeEgLR_~U3r=DtR1UpnHB8c&`1wV4OQ@RO8QHEyDZKb_fXwJLw{j) z5!Am%)PE(^zpt6qe_y*l;h+@j@5(#gaj?SYmTSGmgH?y1USQXn$)1z#V+pI0Es3?` z8Ize?MqBM}osTkn9BD|f^-g704fl1Q$S>)ywX9wT{V-I2Xpi03I+a;BIs*1w zGf?XD?uYzUHHZA^G}GN&b*Qxw>@`$B)v&WLBe6|N@tn+YlpHJ#G?fc`1or1)bYx7G*!v%ctHrPgkHR(HZsxvHPHt7E8Q)-A{C`Ey6>gx%Iv*=nG_ zM_cx9S=8HQpfMNjE9TpoeO3FlRL`_RJ0^xI7I^!Fox|(F+Z|qy!oKoxq#n#}mauw& zePO($Ds~$27u$Al|L!iVDRDdf?aspE6Pe|62O5L@7PC%rj?U~qVJ{i1Kh)EBR(|JD z>10orEz{dlv2^sLwYT_S=@IWasq3eDtB;H|+ES(MMt<2sQ*q%~u{+MFXE%2@ulv>SXWl{bZha6?URFL{)YVdF&Hl{>jbLz$WZ@@?InGchx~SL<0Oo)p>6Z) z<5^rgIkH%sRRQC*@;E(McDP|D*fR#LcSiidvO^PgUq(W|vQdO1<{ZunOXwEep740zIDTL% zv;5c?_{HQ<*+kE(`2+F&q95r{^|1zLVGZ|Ju-{~M%_xlfQ zO0w&wn#xb?FBap6+iR?w$Gg#)?!xuE2FoVc_;AS;lTD)j{?>$X7N>^W?8%KqgJpai z(*APa0K}=HtO}R4&2^x-uax!2_KCjo$%cbznF$9gEbEiUGbZ>rURyoUSAA$|XGd#E zb~4O+DnD+l*i$;!ZqLiml{Y*z%Hn33AL3ZBJT~8|de?>GkNflUdgI%qcri~`%{AEb zT04iNgphmi*Bow-$|L@hN`Kb!{v7Msd18O(%DQPk%x7zJDvvereyExn>rOjf2=QcB zU14jHM2~c;aj!0S$F8B$;lBJWW5wCf{?4|sqJzBsqea>EzCFsi!nz0NyXB?iu6`w+ z4U``m$j_}QkzzId3D7?Sb@Og{CPZ#eeqN@xxoS*}v#lqaGT8jRc3ma-chLVv{X3yQ zC1+3{8xMuqb#opTKU#wLpkG>Bg7xI%3FI@A+N9*3?84*0@sU|SH&aKGgZAO$Y3+u@ z)iu!n9Dk%$u`64*bgrzotY`J=t@1Ubr)>cLW9ydniBR7vh2OgQM1Ep_>1b=hiOnTB zHHZ7sc|TaHm(K@#^L|_K=Wkgo%C3WPkXI8w0C9T{&UecJcPGTx*3Ef~9S33jr%PqhPtcTjp52Epo@`%FPq;tw`9@dro_GQC6iTOW32V zAwM^xlZ_w!JJ_ zLfRa=HB)M=U+5|Ca7$|v<;vIs?mdlGUx+E!6>jH`SKj z4C@uWT*YF1P93acxnGtwIBl@5uMEJr_pV{%KHoOqkyU%BrJF87zv%R*w!-}EvTo(= z=Hm;-+js!tUz5~SxwUic2_4n2zE#@6*G=i2gIitJ1<|hq zjcL6TeO2>N4?gd~cs||*`;Ml%j!ZXS7qlNpSXnpPnxEUN)&thp)Bf}mLeESDJv=U# zLVTxtBGflMKDz?q_k0G#pTzcIh(G;Ru&yesE?HH*VBK2aC_Px}@9AgrL*22yZir{i zY<;;lqw8Slaew-JhAZ@*jcc~f+&rH6M$o6%))y$sY$DF@8@nFqdyM8|^#WDFXKG-F-Z8KdI^KXcL{{XDJ`24Ha zFF6?>#y`x<8b8y^=l6C#FN=LE>lg6DmMR~_qA9E`6qCGi<<5OVX?yVb9;yH{5 z-XCLq`*UL^Gb@k4x+6p4`+$np;)8X8hU|_pSf{wG>$u#MHv#%hva|jeW&0=a+nF`l zTgAA8eb73L%m#U1}m0eKOIw& zUE{YF1rEe};9Q}xa4Z4hbn2dt1H~{uvHiB&T7P699o7>$H4ARpT{e|lxd8iu))Kco z@5#wa|sX8zP`=+8* zwU*SX=Eah}&7()zzKfqDtla_ovB~!Q+ylE-)=pSkToB(&7y9(^>wAN^-50y_(gX4v0W!qcZhX973-yZ z!1q^-99_ldbGCkm^MeF#N2tHDkF4`Iq)>>XrHiocZ7p&ypAYM~v|zos{;J_!C#^LJ z2ji@@k z&x3ea*E`W}A6y*Itr>;=bFz({b8$OVP8{uOhJAa*@Bz?U++RB9mbG?wWLF;> zTf^fM?3-O$yY+mw-ct4TR*&|qp^g$*Z>jAXNbhhIXVto_`?wv1pZ0EH{tNq^x^nPW zFK?%E{xc=~cW!L^S=+%s6S;q~b>z;x)}pNXVe3|*hxtunHrodW$6;{YI05Zc#$j;X z*R~Dzqp;o%>f`Iu%Hf`Jnk>o(zw0fZg!7Axq8x~)TU{GU1}YD??>u3j%Bg4bNNtM{nDC#oHUtlk#Ts`F zRUO_1@rjQcG5^B3kP;WNPpqHntKjEf{9H)&i*0E&Mg6>gp*cJ2x5I%S;#{>IOh6uh$TfOV0VpC7UKTRx}kD-Q8} z_fYAa)ywA<<-7^bWp_dx73&^052vW}NXt(3TqqUJ9o2Xa`ub@r#8u|cUNx?FWWj!- z5&YjBqifGm2S2}Q)0YS9S2*8>IAB??Zx6(6woYd4T&?4eA1phIoe!;5=Q}88%HF~4 zv06O`X6upOiYf3riT68Ok1{_@pI@XfpXlT^AaWI|T-Hk425w)zzNxU}gxpnv0FJ;9$xw1U6+t$X-53*)Qt59+YgEdF{DyPQ?3XCZvCy9Wf<+b@QtIb+`@Sw~=tL zuL;HRxXH$azC7hTt;o&eF|#X+Klw$gYlp|Wz}^sdn0+OO`h1~o-hWPNM$%B({225b zI~Q!TxAFMM#^Kb;>_aW1w#zFwc%6k8A*C)?!m|7M)PuO2dHhwMz#(#YUancuVz*kFR>zICyIpIF zShHMgy+5P+X4j6!V3Fmam`fs!- zyT;dkvYp4Nb?!7aKg076*!N|@`7`r>kCf(x`5xvS*w++RgMHZg-46Q?{(OtC7oBM_ zW0`e_yv2E}|Bk8sH!1q>IP_m^67-*o_aDStULUq^UYqIG)~9f80Dj5#(-xV`O%!r~l-eRErWZmT#ySj+Zd%5y>3mk0ImeOOro z?8D<>ogO&J&n;lQCa1vopYf;XWlTapb*^d#zk~7H*2eZHD*nh&N}*#Ko-eM4I2tTZ zoQE7|ac!-hpIOeHYp}Qteq`NHl3j5G;$}X?jl(Rii2Cty!q@FE-wjW}_>s8ZhVfy1 z=+;*|Y4t>A<(SnS^t=6CLuI~(>`IWc^Zw)U3-;}>9%ti#$E)@_SSMTAeNJoQVCm?P zy-$qGZaCM@hV#ZU^_(Xsqie8k!CDo159e^MT@WYy_FR~s!LRlwduJRho|U!1I>@mF z)DD`L7?&@14E_ zMed}aAHs8B@XwmzzLO)Zo&8&2-h*?Ntn!I=c%C2!*P(Ss+R_Ul4)J;DIINTS{0h${ zMquCIGAF0Td+xvDxh0&(2g_69J@e!7K6b9a&y)N;T@;=xfxn3LF53s@$1{HfyDR03 z^)4TO<;>55?S%E|s$e`imm%E?^;<8&rQVq#oAK{&rO(LOb(PzPr$iy zNlwM6HK6qqoSXaDdjONYHH)xL%!G46m(?50PiOmBcrO6<8(ZM~3-+P?Qg*OD`RrT} z-V=cR2E^^T9vDaZ{R%tR8PBR7Z`f7|{mlANzh7bJe4+J%eSXj$9ewrlhI&~lg6-wc zjeLE@Cyv2;3v=*1gY5^j_}|z8=Y1jd%FxCC8Q7Pz_ahE@`XN3IRr#5}Y5S-OcAgWO zZ{HKFmvW92Vo!a&3kTrbDAb<1dc*lNJg+y@J6*FU%v*gmlLPti{J0O!@A|ZUfH>y! zY#z^m`P?@E`*BxO9n9A!_&(NJkX2KZRdZ+n-jj%rl}Nez#Zb%f>G8lwV7_T;VmdJH z-!(lSn3|l+3%zX`_s-2ZNF01Lv^>s1;w6%bfF-g*MNWH1j(W%Zd5u$3<9QB}B#}fz zmV>0g*GD`b!R>3CoCn+r5aCIQq#5ve`~4#zktq=yOX+H@mOVNMt9WNr?G zpk|;+xs^!F=&axGfpWki9cq)B#-~P(!pB%n@5p@Mn3BC>d~U%r>YbYpOwN1e=Dp+N z9`EE5v>^y%#sgFm`$)`xT$2OUj1?$lpN~!X{2re~QW$62yD;Zxy5^ybF;@GzdCxKb zh+Zi(Hz;*XP6RwqvXT-)0nfq#Qrss`X#%X2g*niyM%Tebedb6*FD95e8Dq4vA|3@JnNYV%uRzMhBCeL-lP6WXs3=nJyI!=EY^RM zQ_XlgB)>63Ge2upEYi+}!Y7A5={g89CcO zJ39sF>-&7*(8Qa&8`k)Rw2J{89h>$YC*(VT7vOzzFjz zHc)h&!vPq0$tr`HS2dZQCrp;4XNg`&VJuM0L9E1CP?s&3%oIM4e`Lfn7nmFy2b0Z0 zV^UdT=4S(AV}9^+FRLgRH{Lfq<{6n#99MU*T13sCUz!FxQuS6CC_%kloMo;EU#s#O zltRtCqhNL2oku9(CV;WV1U#cd~j@cH>?voNTqkIsAM>$ib8?-HhR8Umlse_ZJj!5JMp3}#X*2qMFL zY$UQ82{SWAAX0JKLPH^Nkq_;_#PqCx1U>*(viLyY^TA4P6hpQc z4Ll!(vmR2W6Bf680SGbvxrK4?6RI*6XIWf}XSXABv!2#5Tg_b^c{9XEvyJw85-%m5p-I%YCwF!8xzVFTzfR=3gdg}K8pE+HVm zRLeM%Y#vZ@q4$*9vAQen#N)7b8(;$#uuASUc$zbqhDaXAl!78q3rd_5{^Kw)PWvYz zp2W@vCSb(yi85wxYII&Cvv%6`iUChMcMVKuXz-9TQJwLwSneYcj z7G_y)Je#5w)--fP8n1&o_rahQqevOY%9NVOh`0hk)VDmVZif61*@@&W|3ZF24{60Q&Gz2 z+zhp{q6;S>fcqf4LRSQH*p$wy<@b4}CdXld$PC4d`@KgY2Wkm*49$We#JKEJdey3j zyHu) z;>t$-eQ5mAV?`hcT5oh}cEr!y4#g@C2;mBT1AvE_WQ`?a1+$vQgMl+UuY?N7(MgLn z2s4Z(Ju%HJ#aBBl+Od_6k40Hv@*yUei(Z%=fy3;_h8392Kgleoamp1A9h-(hA!I;6 zyMjh_1eU|q(lVT1Sy3hqK{8R$`-~k)6 zP(YkgfVfN7wP1K@8n!)3wY7|LPe=y$I#9~mtYmQ40o7Vo5TjTHO;4eI zzy*U7kvy?5ZVtxz>at)NBrQ^-1AwTrbb8EavGY+gA<-f5C*|J(wJ>E{9OfC9FY{ zSak!;+nA}!PFts-c(4oL1CO8*w$xyHQgl4NAYn|sAX|bk9v=v-yOgo*U1VH7Dp}Jf zX1ybxQCM;DQNW#(P3%tAQzP@^;QQKCIKt*axSfTK1;G5m%2^>}Fqi@BCfIhX9UfeI zkNZX!VOYcBf0#`YE>&PI;Pd-o=gjOhHOE4FP$uXeeAmYor@^eCqYZPP2=Z5|Y8QvW zQM}{+IjB3BLoGJwh-}F)Gc^b1orn1&ONGH?#-?bPJ&r*Dg{tYD6qe5svY448WU*y> zge>HKf`oWkn>{cY> z8uvEpm8*9`IlI#SFRz3m#u;V24IHN2 zvx2nyazlQ(Cp5ppkYB;`V_31G1__P(+B%v&?j4QbID4V3PKD9iRteEBc;o4E?`_); z`M~P&?C##n47lCBuVXKSC+>Uhrlyvj9&Q_mu32f!Av_K6JF4Pg>LM;(4)Dz)CO%X2C72!f{5iZm>q+Olvo_3=>8P8}> z#xvTJ@r?FlJfl4s&uCA^Guo5!pneI>O--GiMt4`cr?G8s4+J8?;ORt>?%UhqIndV3 zMwsC6K2SJ9K}%DUDiX&*qHDm#_}z9rLg)}hN zol;e?7Pl_!1qB&bSWMN&?W#n-GzH9g!o2#T^FDzGK$ul4$$Jqh3YJP#Bp3^t$NE*_?CR=()~b-6rmkjB2iRW|5CKiCNzY#QUWinp z=_-mvVx{G(*%(V}xY}`y%M77*3RGpcyQ!TsLVX{aq%v3wp?LLH(;6$7e0)H2KtQ#d zxDzepjfXseQ@or@>%3g361EWFpvQAj#p6Yqd%Ux4`#$h*#h=w1#h=w%?$60>UEt4# zIBw*zxMSq8xMSp1AUqa#jB+gQ7v>un59hKt9?oTPJe&*lM7U5>gv;W1 zxCR!-!?`Su>$xm;vN&$!8STmBjP_(aqdghVXivs7+LQ5&_GCO(zm8q*X3ySTo_#$n zp4}b$dY~?jj-Gwx5anPY04dFH)EmWb)LZU13G9yfXjdcC$c|=17{Msd*gdcy5)%c# z+pCMb$z8iV!rvKX0TgPnyRFGn-qhFB0XOmNrqKEx14A&)Nz?Z(-Fr4FBP{TxNhgIL6+fU)|O(52w<{ zq#NUiUHUkbI1i^q5GOle&!hV_Nmv&<*zTao-2s^!3PN@RklAlw{oig7l#-!cD?{65 zs0WokCEdmv@@eSYVR+J6&JS5_8~O_%w#KR)(32dh2lA=(S?NxO{Tv*=dgsQcCgCxb zZ9`kZPH4Kg563|+mF|}AVYn}XVc0Ke4$lws2W*GEbBAH8yCJlz!g|iaj*gR!KqzWc zXcd=LL#6wr2UsOe!C9qImuGZp+y{@@P<|ej9v0BA=&Hew`b7g$R9KJ)kgp2JW4b1@ z0|$wn3cz9-D|JSd+% zHarU!^v{BKi4!siGC?NDz9^LckRPPX!p7RK)bNZAZDGS#{6H(;oR`Ds+jbg}EkPUc z$N!;sd<)JN6=Lp>;YmLA+gO)F*qlA4)dzZ`D6}_DQ#&fXEd7G@z%RKK#^)3(Sm9ih zn?T`!34SX~@H<#0%^sWZ&K>nm!a)o?SCmNIvOX2spfC@!FN)|Zc#&#RC-N%owfPT;7V-#j7&|A2F4a<+3A*NY*8Xdwf2Y?IfDA! z>~y1>y^&BK;hpu!sgxRCQCtmTe&h zV==z{e2bp|yZ@nPsJA71O<%&ldBGQ*&^LJq`Qz*<84*298Wx2UMBFW4`Ufl%K6 z;hLUlw;pE(b)gkhp9qM$u~qnfO8zUlvxSnkMXHsOcSH)j3VNoWl6T2_%rYI6yf1RP zDEUC7c0=$GsctGsBDI%F7LnQypMeQ%FZ{5O;Pq2U7Q8_!*#++)l^h~_UiW8~BRH7nvgi7&3-#C>LL~4>si6S*kr6eIYOQmFynx|5VNFAe6sz@ED zQkqDeMWq!Yb%ILiB6X5V86tHal`=)@0xD&RGA^Q0wn$wo6R8`ilqXW3pwc>#x|vG(B6SOu){E4q zsI)<(K24{Yr5V7O8(xsYj&#L#4e!%iC1iCwT8tX}?IlPo)DqWhGSV6)6jq z`Z&UBqf);}*{L)ja$~48C{j);4T)SzrGtW(KqZezB~j^+NTpE8D^h9j8>b?bPNfl% z%A}G{q_U~x7pYt-jf&JNDvgQM8B{tfQfsIb5UD&W9TBN~DjgN64OAKzsf|>c5UD~c zO^Q@8m8L|hluFYgRZgWDk*cK9tVnI9(ws=uP-$MI>Zr6JQd_8WOr*9^X;Gxkq|$Mb zYNXPVNHtUGERotyrL#q92bE5U)J`g$BT^kyIw?|JR619rc2ns*k?N+>`69KKN*9RK zekxrkQoU5VNTm9ybg}4kkTa3MzDv&r){Y!v}{Y6 zkg=tCSfxNP`1D!SeOWQB0h=OdGd`uNTsft`1d*E$C8+#6JLX15g zHzlTOL%SZVtXU5AxR7V;qCmcGGPwxayJB%m zyvm`z=OK)G2IlAN$eBIW6C&8`Y^5%$m3rC1yov(-mU(OMdn9u1Y)s@5P z=11qL#QEo5w=uJG`4!In^0(`>0Z(4Z-3&f9*w^LGdmIb$YLkD5N+8 zA0fCqgCFic?)Yp?lU#EPA6mJ7bYCb}qMQJP<(K_d9Rn^1%Hpdz#UtfYH8 zPN+iTqVc6U^P^R_u!gFP$%lIxn+%3fH{M<|$lwnaxwU@G_exy)fGkEVFqsikkFa zrq!hXGEtNM%S283FB3KCzf9Dm|1!~K>>BoRrHBiwkhKWB3CZ5M6arXCW3Nhz*AImZ z7SdFig4|VxE>8Ha;g=te=q*`k)uk!xH!V>b1 ztEd^)`Ju1A3OQ^h4!#j9M6e#$y(k;m659K-k?R!tTCI>vhNtW53mD?{Budtk4e<2X zmP$#1NUdNmBxrK{JuFH#l1)r3lafM_%BG}9q;e@K7Ag2OAU%}7ML|gkDP>R>C1pYk zURWp>Ja}QDLh#m8QYlgelvIh-CQ3GoR1qcBB2_|3jYySIQY*AnP*Nv&Rg~0=R5c}A zM5>mOts+%V$u^PNN=buAHBfSDGsV6A8K%~A-$%R78Hz>JCq`pPT#Ul6Hlw2Zs&rouyNIgf%Wg_=`lw2-&->2jX z!TSLvSBlgRDY;6deoV>LLhh%OTq9CHqvTqV`!Xfh3EnR$xn87xP00-+^;=3lB;&-t>@=<|%m6DH%)a#VoC{ll+Z!)xzM$j|@>yu0981aPL_u+sd|ssDDfxmZD3Ox8L@Jq*yG1IMl6ypI z1tnh;sSHZKBvM(Fd|9M&D7japR#I}G(7c+G`$ft{$pa#_mXcEcreX;Tclu` z`HskKqvRREYp3K{k?N%6IgtzB*_E5Hf#F9TDEY3aPB$gb3zd5*`MyZO+h#9_R4*kz z5GnZJu);(!#@}h6=2=R9EmHH8{6?gXQSw`nI!?*&MCvR` zelN;6LCGtEcaoAnh}3zM{86MXpyW>?brB`6iqs{Pye3kYQS!P-T|vp8Md~U_{vuM> zz>AJs0+WIHZQ<{H?x*r~^7T%#PQC%&aMXTjVDI7CsYUh*y+i)6ldP6+aFR7lWVO1E zi}0J34*5p*`O>cDeH|_Eim5BO?u#H_PvuX@H^s^ymv4qz_CU}XffwgD>pDLI5cz#e z&~=M^s}pp6iuG5p=ulC3-IBHW(@tWOKLg)Mz$UMRKiqf7cR&^Ln4zHN3F75gp2Hz` z%AbpqKP!Kp%6H1w!%MRAT_B`dqiqu2TFrCF_ke|iNn;9wwD&$9;P>V<5#dEdV zyg-Kx-xg&ArPZJ3WDD>-UYEyXNwWL|t3!qpQeTG`_EiN;$RU3NCKr{nYhhlP;#=^d zYf!1ezT1p+7HLPsvL8hfa|H5q!~iK+N)LS@1O*{}ICy_(j3l`SB9|Bc)KnPvoCE zNv`}73(7(LxUg2f*pWaF{M-pmdRb>cmgA6r3Gaqka^XE^`PaJUZXXX!Py2n~CBM}- zS7r0&{ytXzo%{+6UA5lYv;??6!b{M>O6qbr^wn7TPx5Q<4TnCgsq0gR{AU;?@NTpG z7x@i|A+<@006(qlHDa$+7x2_GYzwojuNJ zkA+t<$wA$hrlBJ_av5z%iFcIG0S8ER$4tp|qg}%wb6_dlB>a?e^ z=7!`4S2PZLy3?K!mLFWTIP6(Ydv;iUaAo4K=Q`~xS$?LzeEn*{VPEaEpTR^zdL?A0 zci7iB?Q7*X;5BvbG5J`wpE`x#yq)#K=V?v+L!(62WnBvs z!~WU5;>VCuI;KXva^(}nm zz&TJ?;vyDeBQPbwo4+aSyo3;-a9YCYMzV?1LWRPi2)~CT5l-RsgHt&B;1o_iIE8Z$ zPT|ypQ#kYB6iz%ih4T(hYZMA+9sC|nIyi-M4o=~egHt%;;1o_cIBifUoNn-YINRV9 zPBu7&a}7@6RD)AE)8G_NG&qIx3{K%RgHt%m;1o_WIBi$>9SVgr49SuX z;1td-IE9l7PT|~wQ#iHY6wWL-g%b--;k<&=UWE^575pAfDmaC63Qpmaf>Su7;1o_M zIQ1wLPAB+1oK0{FClj2)xdf+hD#0n7NpK1$5}d+$1gCHs!6}?YaC$_cM->WZ2Sl=O zgggtG1iye21TF{X2b{v`0jF>V5R{t(IXDU6d^iW-6ixv+g);z7;RJwF*#C11yMIn$ z@6Rdh{5gevKc}$k=k#2K!j7Nc!+xJr*zI!)dwot}r_U+u^EriGKBut9=M;AMoWlN| z(<_wwD;0W`LSbLe^I=!dDeUPvg&jSou%G7?cJrLVUY=9frE>~jG{ z8kdJJw>X9Gwm5~awm5~awK#=uwK#<@wHRgJX>lqk)S^)MLW}cl$~}CY#qZ(UEKcFe zEKXw-8mmyJLgN%l6$)Qtae4R_i&OX#i_;_}KUtwE3WYDQcs_i8#VLG!#VLGy#VLGw z#VLGu#VLGs#VLGq#VLGo#VLGm#VLGk#VLGi#VLGg#VLGe#i>itvqqutg%#)LDHOi0 z;`i`v6{qlJ6{i~%TAFm!in>J3Wcwm_&t2v#3_8)#OYy$1{8Wkp+^-uu2A@ziOa*cOq{}(Oq@e2K*Abqc*+p*JvUaS}=Tkn~|N7!dm&uyusjB~ zy$G&n2odQf>1MbCuJjR5#q*_)LQuBYi-8+!0d^8FlAwf7O1CH_lz<=;l{Y>teFoQ0 zg@Dj67GBb=pyYPx4n;{FEX6FK#J(}-Vd?XCLn^$M=|Un{OFLBOJqYluZnDJc1}eWG z-G!Ek4sM| z4cEMA6L`_LaGl{^^dxIJ7~Ua$UGGItf){GMvU~5GJa#EpFxU^tQd(c&#cPm`zdcyycGnKKqLt=S<`+U zp=rOz%MEYZZ=ej(;~`D^O|WUNNPkd#N^9DtLTK7w@FK#S_D7|N80k-t5=~<(LaW-O zKSE)Dg8#4bCcPp3RVi?uIN%>42^*onEL)bGWq(-uFJ9~pAsMu2g7h~yJYX$Lkp3>c z&5KQt-ekpc-ajD4`YA#BC!}PadJ9r^Ua2fQz>}oE!~Zwo|3BdWKjHsdyn^rG9{K@Z zv2YK4m)S%0(07?i2&M2t1KfWGp)Ra8PSNIvEwQ-TN+A_&uhU{x%j!E&bJecBRf(`+;0zUHzIShkz$UGg>x-eC^>4hr6B4*agA9jSJwIWRnjLBPArfj>aO zdrX1Zvmm789&=y`1@AKlwxHkx=D=3e+I{B0@T?1I^ME<9jFvoP4s1tD_Lu`ZP_Wk= zI0glem;=Y6V81!A69tc%1IMA@fH^Ql!AH%3!RMO;XQJQ>&4IH}54+eL zI2#3DY7U%(f-g4*&PBmjngg#y!B?9DuR_7sngg#!!PlDupMiouWDe{?o#Z3tz-v(O z$IOA(qTr941LvXOo6LdNq2N!N1LvdQTg`#jqu|@jfj6Mw&zJ)jpx`^qfj6SyJI#SN zq2LIIuOa(6I4LSb!FQP}xd;W{V-8%5j;b%21DBvB-)jzBih}Pq2QEXwr_6!NQSd|N zz!fO?E9StJDELux;3^dSm^tuf6#Te3a5d^APnrYQpd~+L4qS_ZziAF!hk~Cr2d+oK z-!TW?f`Xql2i}T;ziSS>4Fx}M4%~o(UoZzg69vC$4(vw3KQaeyM8Q8X2W~>aFPQ^3 zqu`&L1Gk{yUzh`LM~CFE%z;}`@Ndk4cc9h&ojGtD3Vy{Lcqa<}qd9Op3VzibxB~^h zZVuduTKg~Nz+Gs`e>Df*g@XTX4!j!$|HB-34+?(E9Jm_=|Jxk62L=Dv9C$CQKT5_T}um=Svn*$$0!KvoJUKG5-9C#Q7XP5(zpx`WXU>^$3F$eae;FadUqbPW_ zIq(%fdeRbojLFk6ujOX_$Uf4Fb5t-!JEv1Cs1&aIq)P3E-?q5LcwL` zz|$zW!W?)81y`8^&!XUJbKp4?Tx$+IkAmyXffrElR&(HED7e8Kco7A=&4G`j;3jk6 zB^2CZ4ty30ZZ!u!8wIzS1D`;_?dHJepx{n(;FBnLmpSmcD0q)K@Odb>#~k>46ui$I z_yQDsz#RBO6x?SHd=UyBFbBREU55>s17Cuc>@f$v6a{{(!87K-*P-A!bKvVy@Paw;4Jdfg6xezk ze~)SV|NcED>k_VVM-(d0!d32!Lgm@k6W9w}o#s_)ug{AYxUA>kwcKT1rSY9G>q%VY zo+wnFi>vI3Lgjh5%6(C&JRetiAPSWi;62`FUS(L1Ux?Roz`V+^9=`~$<&b%mVYR#% zucgPl%CK5qf~)jKq4H8(>@15v2F5?6UN3YAykemP-Y zWtd-HjjNoBLgh8M%9$usUW?mu&b-R7(Q_THav=(p*W)S|qfmJRu5u{~l^?=Yo*jkC z592D&i9+Q^aFypqq4J}+%JZX8`7vDOg;A)y5g$Dln^ze&#y^g$yfg}xpTJdK9)-%A zaFtg^q4H*2<<(KB{3NdO+9*`sf~&kf3YE9wDnAs3%1`00{t@#k!$Rye$fqcj78P6NSpp;VSQlLgnXim3Ky= z@(Z}i&qty1E?nhZQK-BdS9wnqD(}G~(U;7t44clrh}ZI7^D4t4(U)+Q_eY`f%ecx@ zQK-BZSNTvBD(}NpekBT(_v0!bjY8!ExXQ<(PT-umQR^i z8RqH_<0`)yh03qsDxZ!*UQC#J-QKAzlN)PAqtg` z<0@Z_Lgf><${$6c@=09fPohxybzJ33QK)-F`8=-jA5p0MKCbeuC{(_HtNeEqDt~~h{BIO0U&Nj09rG%~ zoal#mE#EV*GR%p7gsc1@3Y9-bRa&JeRQ?24X^leVPtoqN%H~yubHLh|+6e@p% ztIUW(~3Ss#VUf8r{)MxpX8TxCNPD*uJ6bVs4`-?+-AC{+FjSJ@JU z%KzdjTcc31et@g&`QNLw5nScI zC{#+g$^%iTwBRcHqEKnYRSra<(uVJQhRmxp?t5%9UQ3U8mBxLK&5o<|MxoMys~m|! zWel#;ABDKolzDaFs`+P)Tu>6H%y)$5l>6p)vtiITMA-L|o-u6e^Q& zl?zd*OvY6%{_jB<0QE)q2 z?Or3e76o^p;C>^x4h46j;6Wp}9tC%y;Dbi+78JY-1s^hkx1!+PD0tWi-iCtrpkSX7 z+<=0+QShh{d?pI+LBWTOU^fchi-M0B!Hp<*9||5ff}2qAe$=-ojo=m(d;kSc8^POA za4%ZzStED{3hqO}^G0wR3hqb2$Bf{eD0lz`A2)*AQScxNKFbL1K*2*O_=FMMiGmNJ z;FCsh7Yg>E;PZ^&-6;4F3ckPy-h+a@sE1u-1oxoeVYK8+jNrW}cmxGsW(4m;!9KLw zR~W(jQLrBcUu6UzK*6IZ_!=X)7X^=@ZNAP39zel|(UNa4f(KD>04@2$M({xtd;|r5 z)Cl&V;G-z`MkCmZg2!ziSAJVS_m^C3Hygnt;b8H{er%tBzt1y;8txXO5?{Cy08gXf zPZ_~}6g-21KWzk$qTpGy?ROZ#hr_{2+dl)Tr5F@h&h@NpFUB_ntW1uvoCdyU{}6nqv6zTXI*LBVIE;8RBMEDAn>f*&%1 z=TPuDXjgs32wp(JCsFXDM({Bdd@c%p%m`jY!RMji$Bp3QDENF7{G<_l77D%q1wUm3 zpFqJEqFwb(Blsi=z6b?BZ3Lf(f-gp^{T(CtLKJ)nTJp0-@I@&2QWX4MBluzzd>LBp z=Z)Y?Q1Inw$uAhem!jY+(2`#?f-gtGSEAssKhJpu3cd;j|HN4Gl_>aXw9PLW!B?Z; zYf$jdjo@og@U>{Qe_;e)i-NC1OAh;Eqt~P0>rwD;j3wWIf^R^|1^R>g@SKJ!T&OXZ$rVKM8W?tfVZ8%tQ+da_V&!g4fXH@e0a3ui#J_uTf)|Y7cTn(eQ1GG={4NUqEec*Tg5N{IzeB-i z8^Q0R;NPQNb&e7I0SbNvE%{snShAqtKcL|AjbJMZ{v!&$&?x6nwQ2>_owTLBZD=!Ep#U#ZqJ`R>rfRz}Fju zkTW*+b$or}1D3+4N#e#w$-2Ic56K@oWhvbB)Sbk>>8Z!>g@A1%86+2Et@aYQ#uMwi zBm?&C%?|k^`nM1DmO4YaNxB(?Q!G^xi>wqyu4YAk^pvIY|E$O@5sR!BMXq5*e(aQ` z{{N^*Nxn`kGGmcA!DJ+DV&i@CCm)n=dq}>0M8m18N>#tHwwf&5<&;N6OeCGMH0rd{F*P1h?23 z3Ep7}-W3VHJ5olsDZP6&sfZrEKT;9Bkuv%tWei5jI2bA8P^66ENEyCJ8KaRh4oAv3 z5-DR`WGov9CN&v3f>TUK(mxw1V?I*Gu}B%mBW0WwDdR+>jFXWv&Wn_BfyiKXazwDf zMUk+VM9R2KQyR&zS7;&;-TEp`CX$+KB30qK(_?Rlg#B=&jE_djxDhh`aG(78qw-(+ z9+Ln1p!}vf<^1Cb`K?p7n*~sbK>vaW!xn)mK|#Mn2`CBCS!}xs(Uq&h+cEQ37JzSWF8VS;THNzq>M)+Wjq!s z~EToc{)-7-!TDx)`ZM=BNgzx3GfS%z%NG1_)(;cpXiE_`BUYT@SXjowg7a6W7x|4)&%1Sdgj)Vi$b>uOD@^~RcGCBdT~g!D zvrpK`DcheSL0*fL@#jbxZ?Hl_7iwaW{Q&CWxOjgB8(H! zFYiYH%jEQ6*%AqCikUd`MIeU_>3sX+XPOUEC zQIWSprXZrM{v*tja)J;E^Bp-UQbtOojI>A@>5(!rBV}Ym#xsad$+?j-R*8(|x>Y{I zgv=TfGI=_g_^>f4OrOtW9<`@If3DE=TiPkPK%n&&=i^1*6e*)fS6=wuRg_nv$%J>c z5GgyoNQEYXOrt_gl?j<@ka_)4dj_;V6IK|fTJGX4?}?;sU!;r!kuv%s zWeh+D6uK4)&Eth06q3xUu=NdJQVI(k(o5O%BUpb}FC~XBJcTZwkP7eMQ4Jo^>DWH+ z8PQ#l-22GsMaDryJq<|;YYd$A{OXiE$<#b*-vE{>&<)%Tr{oz?KzIY@B4sQ@%2~nG%N|y9Vc>HjVb6_}aekzX3nOJ*94X_{NEw$$%D6I8#?_F4o&3nx27m3r z@(1!2ICgcA6cS4|!BJ#0p=5|8kYgl?TuYM4=SUiPj--=UNQM+kvZOU6TdF6y(q6Js znjovBOUP>JR^pPLB6-rwWS#UD$+sks^_KNygXK(8VCf^9EVHE0awRFU+)hd@kC8IV z??}1jT~c9PLn^KHq{_ONY_^_7s;#$>TI)lk&iZ{)Z+(?)v02DgTMpS~t0WD!PI9Jg zl(=o@l1AH&q{((KX|er?Y`6V~?2wa5o4k?il$%JqJV-j^1=1;BL%QTU$!_@xvPXW2 z^vLg$z4jGkpS_sux9=eb>Fq$%vzY_#A%XcU(zE z;rCMyJ6<3G$7|$hjFpVXWDci?Q3t z@z?|8tk`LCBKC4}GWH&FUhE6x!r0fyMa~3rv9p|9;%q0EI;Y6x&dbOZ&fCb9&PT}A z&R>#i;v{lyTsFBrZY#MV?hyHK+(qOgai1g~jeCfEBJTU-rnpzh&D26}p*iGMT1h@d zJIQTylzf_=OFlzyB)8Lh$sP1Za9 zL{7!ONghmyBM&9yk%tqul1CEuldmRBk;f7)BVS9nn>?QIeez_&KgrhGC6_#I=P(uHo1xXK6#M5lDt6vkbDjKWAdHk)#QiCYstSPucz3^ zpHnuHH&U9&-%`fNn<-b2f27<)-b#6a{5$1!@^-3?ypy_;yqmh2yqCI*yq|iQe2{vj zWJ$eUvZg*J*;0Qj$*F&p>}fHQBh4knq}55zv>qugZJg*HHKa`_j~ZlqDR_P(i2;vK zAc^4r$s`rLJr=w@6`o*#w*$=vnhSI#(AC6F;Kw(}8lY=|t^=A6&qvBYj|Ivo2O0-_ z_L5=j9C6w!2yt$fh{egz`^kUd58#rw+2tK}c^CdrGxd6Od(bj7NQoo#3+rls3C5NNM&K;{oLl8``(+$ z6omI?a=+&s5ASl?1e@V*xCicqEpQ*)4_n~@co4S1c6bOLhDYF0cnltgC*VnV3Z8~% z;8}PMo`)T<6ULhTSfwB9@?#}_)(dm+5{wn~T~2TN2OZPqW1@Uajn8{w0Ty8icEgy) z9uwDNs(P>I_Q7i%ISHfpXL(x5^ue@0QCJem(QE zLPrj*IIQOIqKDR%i=3#1{qOYtg3g{0Bt!ZOu_ZjZ(D=d@4KpspyzoE6EF6+om>|*H z6W9G=6U9|@r~{$g$Ng~l^Kma9HbeMMp@@fM9@bWf?xA1}5jie*L#m3fLR=Kb^?F>B zM`$B7@kn6ArG3cmVV4XaX?R892ZiSocids(hY}Hj!7%0WqSGvh>qqQ9Dg!d2|DR3$ z=JxaHP^JS;2jTDOM6$yVlKG45USzYf3{2>-d&3XT3eb#0+RcJjcY#1w|KRS=ZGdY) zWmH(QVY`Mq8e!DXb%rf8teN0s4Cg!g{>fj8!_GpQ$;8$^PUsT ziV#YKNwNtx!&@Mi9?A9yndeTBl8>By?&xS&w+(3ssj>|`vtUU4!=T(81BEWjcxf$`chH(Lf`Ymevl!agu`TBb?Mm}r^*EQ6i( zCK$ymGnQp|vP?ymamO;pSOyf!r&-5a85@=rnAQX3bh+ipONhz$`g+`bHtJ(@EWZ%xzXt+ryHEE zgX=xK7B+gwCyu(7+zPkA&7epnx54f3g74S~Lf4Utje3PFI_-fg;WD@iE{7|CZk)AF z>wL#0PHMrTp)2b_s4v2K8Jg+q(;JDZwG5^0NPlB&Yumbso-(!d?b4}rYh-F^{r9%B z+UiXI^>@s+%G>5)@1oy39dkN9^$gli)6ukZ+j(i{_fNleVOukiYo>NV|GIE=vKy8_ O%H`CH(*>GSlK%l*`MX~L diff --git a/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class b/target/scala-2.12/classes/dec/el2_dec_decode_ctl.class index 5e54c5c5d4a5efc8175bf4a9d3a338d9cb584111..06e4b5ea66b5fb2257a7508e2bf4df8b44197f09 100644 GIT binary patch literal 548753 zcmcG134C2!Rrfjf=FU55le9^brhPAc>GWRPj`oA=t%S@FE5yLc% z9aFdROSwXOYra^{Ef%wd*5TZ2c53ZxX?nSkix@%ENEGsuQXPLIM#wZ8Y@N~E!g78w zmtI;cPL1Z4a^;8-F^z5Oi#oJ4l`UjVW9P6WS{HLOh1^uRb&S|!`PpK&yu6s}HI2~Z zS~<659uJ4j=CiZezP>;tVJ4G-P&D#E%8xUDe|~c?6bZ<2?|=Ubt9K_GOg1EMgq0iJ>W#Q^W8Av2 zA4Ny1_y&qjuBrSQm){VOB_#I)Dv_PU51bGrukv@f{Dz?0gvcIghq@@)t@59A`Qs}8 zkjr0F`6-v*P@&jA;_|yyeviu^SNX?W{+i0~bNLO{+@m3moOJo!D*v?0A6NM!E`Lqs zkGlMZuu}g^F27slUv>H87Jod9`rKfCEA_uBKk3RhL=^ioF2CF2OFOq+JL4+9=(XyHYlzv5_b9BDnIV>$5non%U@IZH7>t_ zU6!0;kvf;(t@0aP{GIc9{w|l_z%GvM?{WFvD*s8BKd$l*x%@Si&#qF=Bg<8? zeRh>Rzu5ILpIxQoTdtD%>?$SSa+M7d4}DxN;mUHAEPv9iPdB?t=AU-?<0_wBE8AE3 z>{=z?a;V8LoA7z)`2Y$EZ(PjOk?9r)z zx-Ey!e0J!PZ~1fPvp<)7%b&|~qwLSwKKpIv*YSL$a=R@)`MqePGf%t6RlfB9eQaOl zOaIS&)&ECb|KDxFO_fkQ{vnHRNArpskG1jv3n?vdb58#F+%c+UyHi_vD@~K(vIaHWqmAp$+!HY ztdGjK{G+UoT>TmF&wwttj%EdMC&E1_i6^^e`Ee~h~RvD@~Kaz0!Bk^G1ida}Q8{bRT4AET~+ z?6&=*tdHd%W&IWZ7U)t zs!z=FkEl=D_K%Wp`A6cb{xRnI$F%AnW3GQpTk)=}f6VodY1Kc*T>qH1{i7_`@{d&R zn&M|;mVYF^?H{GQmSo=mb|oY`A2Erifbj`@{h9qD&O*t#JByUl(+mN@ooPo`Idi__LaCc=K9C9 z?H>szG0Q*7{!!xN*bQerOWX0Wv_I+c?f6*oXI#D&AMd9vV(hl$_hXBgw&G*v7hS#; zAMd9vYiyDE*vh6G6h9oh%l2jetNdqOz8W9L?z?=|pT}H(p0@qD><7!AOZ%38mVC>f z%l=XMmOm%H8Xw0je@=YcpG!NIKbQ8EcsJJQ#6xK{K922l`D%O|WB*TaLt6F!G1vd6 zZT~OJwfsNHk1Oj%%<})lUsL&(|CjbHzb)-p{-5~WD&O+|(!R>K{J*rX@-6=_?JIF@ z%<})zzRI`!zqGIT`Izhf(`zc<_Wz-w{evTC+j3{B?wve%H8np}ckf8$&dx-raz}O6 zY@ZpdGLusQv!beUcj|m37K%L9(9mBItf;A6&IbDW;*s`zbGA90h*eG`tNYBz+R(j~ zs-2I=LzQ#Y*}hbMY~;XT@oXp*Io=R^B3-f2Uv<5H?rJ;i&s9UeHgc{y+kBxbcPDjV zFRnL7`pv#PTf}u(={o z5e`*X7q2IRk=h!RS6eaKkW8LOC*nFC*xWO7c2D{8!Rzg%{Il_7^R=$#=IVy->Fm~c ztny-ebqG<=rSf3q_4e4-%3OOgE^}w&d(4FF$4%FJZ=OvZ=-PCxZDwI~c(&>W%IOWD zoT`eOyPK2MXZxyA{Bp6W6Z%^ZyZUig|JatUgIC+~{i&8{Uw>amq`s!IdY4&oJ!JM_ zoNk_(Y}q!_)O&MwR18)%g%Xi#jpGB!bSO~y^cM3($LyW^XCkv#Qnx2YTCVh``?|UQ zJE;DLQUB0WdzJ<_1;Z83Rvvt!p}F6TWa0~ftL@Xr_f(V*Z0{=P@AvLISP8q&ckMP; z01sq$Tle(w8(Zol&<|8u`knFWit9ahkN4c09ck#CA3SvLWbt}3cl@dtJhZ+2=Cxht zljqO(?Fm)vj%Bk=f!1WxmD0AZ`HA@SN^$(i&GBPmaBf@ga8bFAm^>hKQKZ~Jzq-PuTI`Of{Nm64{@T&C*!in!i2IduQb z?8uF_<^G-b_E39k-1?@q^{o+ut>bMg{i)%>O32qen*2=e^u4`gztNV@#G5y;kNTWw zo^0M%F&v8wAqU$P9M5*P-e@ajdbSJ}ucr#fQ}^mCueRm-M+NoAdAmR6LrFRQV|~w_ zJU7vC>s;NI)dSa)%Y(b_ouvBivCHp_HwS4vN2f2Bt{h!D-X|`XCfaZ2Fb>t1>~W|M zbW|VcM8D77xgXqCHPJpd)-ya?M7d)l0{u<+?l@xMb8T-rJ~w;mp1<5nRVX**mYWU| z4*CixxAXRFc)GsW*flf6<9f|*$MsNyY)3wIU{zdgpSrX2-jPi=l5^u!zbkJ2l1}~F z2M^uo$sbQ0sGqymHa}6v<9W<2w_3Kh=D^;AHxA!CTUYMH{K-}CZ-~uhYx@JWq2$7d zxoIeVbfqxfnFD@L9c<{G#QpvD{p7G&HB{HMa$tMI&9N#mJGYJ6zq`|&pD~)Bbya8E zZV!eJuMA&pxH&|)zG~OEDX^RBd!-|Jd{0yT*{f~KOMM4cL?dweM3aTnx!SZdkJ_is zR5kJar|kO^_35BJ&r(a-OV!EhrdTA>*%T`^_f&-{m&s4gNBU#w=AFH_$M-ZRlM{WV z(AH#K<@Jsn&GS<8QONDy*O%_Q8{9E8TWsjOJ5)EE&SsBR+>U3@S2i`?95{V1T-x4y zGmrWwGj9DKv+EzPScM!uL+jji-voM_RU3Hg(?Y z?-`Ev1^eSJKG9E^-kU>v4zCt=_RLJ6oP~b3oOTD7@wXwAh;=r& z;~l2)eB#uh8*Q`Wmztu*ZJqNa%-h1w6zcVyQm;zK|6_h1IkdfV4mjObb)$XiIQls- z8MFKGQ1GJc$K~-$_hu@u_mMN?phJ%9F;J2&m@A^(tGaPHR!tob#SeXe~Q%~x)Jy<7jM z_ea`p$oX|;ZlZTCf4`sV*Jjl(G#N@~&rY<@9Iu-0JQNOurqhUnBK_^P)y>_r&9Pvj z5;zz?0$d%m;)>?jUNby7h&ZJ6YTNYqA?mLtySzieWW!(){&cQx%f8m_?K9}FhFeyD z!5?n6{b6oIln*p^aeL0$?RDCdJbt=q-|Ut461V3u+djs_#zSpaE8)Qn{2*`yKiE&}&%}}CY`===&*DqQRPB(2sJ)g~no4QKd+9&hyKUl9rE%y4AiJKKx z`~_UMACC`5TgQ9K$2lI6^XQI?<4tzImBKB9XD8a0(68h6eTc-JR7t z165~Iz=@Q*j(YV1=N;Di+`M)$9W35S-3zy3UX1o7lgaCS3$eWwh`W12m-aNL7q0a! zhdShbN6K02Um9{UE;V;`1rpW6vuH2y@?509udf*CZ;5RR1uJgVRBj6d`j;YOTh8xl z>})??BjpPD`%lpPTz1==O&{ET^rkf~s{@$-=Wp0}sAvDMt^M}g{q6N!oHofo^DILwrB$VrNeGmVEkTN{BtvUAfdXS?)6sWSZAm9y97 zyvxtK^SXxcBmMA=1GB{|y`?D{w|?vcoP9=2#%JVb4>WW=d;C(lUe;slR(ri#+spMh zGPk4WW)3*5Y?S`)Jf0n};{5u6TaR9<2XMMSkNuV%kGbms*15_?UcYwj3b{B-JL|#S zb35d`p*TKVXZz#Mcv{ANRZaV7-S1CLBYx{zKHhkk+EeMar`m}(DZZOSTv~ON>odvq zIlpkil=TriI}yK%&be#t%g0Y2*wwr%RvoL{aV0qr3MI}o44pHhGvlWz{!T6&@3U~Y zb$7}cpLA4R$HS#@E@#Tu?gLog&+HV4V>(K+@ISkDIqPUwaLC-(3V$|u41W1)YWa9i z1>z;dFdzB)e`eyn!_Us^_>Ox7CrWL0sO1b(7;g^y72xzCA7X?crAVakRTr z#x;&yrLsR4G_EXntm#x~;_1@h{q3h(8#?ExezU&%4PWmn4W#Y?M{RSNaLY>JhHS^U zEr<1Q8`W>UTrxL=cyE`wPV;)S(0{4v${gW*^Z?g&<-&4HOi9C>~4qk7cynOQ6xv=orQlHZ z^1<;VspES@*^aMAnyLd&BxzrUeG}qVxsSuX`;Zx*I}^TMKTGSM6=#q2RMckE9m(9K z>hsk#$S0jUFup$+3|Cd{-q(DheJ=N0?G@le;zZ=Pi+oXrdP!c zGqeD|yW6Z-J3e}^ZsfWd#Wmp&_p9ao9N%BE@8jCK-weDZf{C%mH38Nj+8h$qcVTP|3Q@*ArIks;*E%;3`Tnu^+V0Dh0! zo36k$>Vy7>Ol%p%{nY`w--q_s+&gkG90;GHywya14)bw*4)gIbnvYjfbNwStRvaQ0 znlb-|?r)FmHlwu9qPS^#oa2VTV~A&D+*>tWPwOb^mE8sT+{l)4p`mkfb_DfGv)(yZ zuQ}K~ggB|EJcW3saz}3-am51bi8A)z3wg>P44IoSesY~2s;fAK{8cXaH0$LYJ&Z?R zYVz8B^5LR)u4v2Hdc#t!6LF|LKG(5|d`U}n%-j}sZW9)}nA zG@r-5bJ@y2^86T27A=1oh*gTqRYPW6+TYo8J6|W7C+R-#hnj-}4fO}Fx80t&dT&oF z<@w}#iE({F1;({1e7kC3OL~CnDf2(HA3(ftbaFfpZ=NDM99Oyga6=09zd00!pW4Y5rq*3?P+w*DGxpBHY{^8@jPEZN7p-D-xW`;VZ0<42o=4OV@_ z-PBLWUscpLOI%c3={HXZ#5oNEyCU&e!(8&bX~j7d5AymFsKmI7#_s0eZW@pF1-2ii z_%u@5)-zcO#M9Pzwj6w{8#oZ>z1J1B$P3CieQ^Bg1>kL4v-di>8@L%y9auTo(20B; z+IzisIhYc>hAw|UI!ja-|O6TqvzR)Dl3nJ`4Rv=Wqy*z zDV#MCA3A;{9Xel4>(&_ZBiL62>ZyGb9Sdh@9T+k<1BaYHwDN;PVY3GE5+}n}ei3-B z<-B2MZg%wE9&R_`KQ84&Q#Gg8wAh*Nzt0yhL z8eU5ux|%FZJ$D9vRmMByC;Wak@R+S<`(rsCvV8K-%V#hit>eArGjjdvok@rCSWiE@NC` z6n~V)kuMAnNgNGgeFAPG#6Nc}8Q4U4+Hqtu9qN|%5g%$j(*5VpR$YG@ zeyUl|$9h2P$3?`qS@JJWB7edCb+;A!_Z;`vD)wJ{HVv7A`fL75YIz*&lof)UI0lx}N=qJRidT&FYsMTUIRjdg)KxYv7XY+40?>wD%hIszmvFto`sM ztS42TYs{zZ7$@Y(*e@dvlN{`X`BR@giGK2*Td-fly4lcq4*P5LS6wUC16nsHW&VoxP2G3NF9MI1lvlz!I-RLO zzW1tG<+(<@(9m(fXTUG~Phh+Ex*2(rdYn^Gd^t#Y>tKiXIvrYAkom>?+o?X( zuKMhWqf29>m`79}TF)A)1I_3!Uw&&_^8opM#I-v+mycK7+e_`id^hbpAIEVb8`yz$ zZ>Eg=wdGgR35>sTo46 zBgL;Yzt40YY&h)1kz+kgr+^Q6p4BSn(V5xej$Yetb1*g(*_#gB&YE!Id?#S39P$?(T(uq516W6Nj6613S=u0h|r(p}bPpaypc?{iEE!=EHgKbs%0%{hVGl$sx1|}(;?J9f%vC;I?wUMWcGfrtFj7y1My=K=Uy~F#Es-F%wvid zS95!?FQRkCK>WOnC-;8ItvoIHY-sb>L*{_~F1_s;?E7a6J3OwmJBX=5F74>gksH=8(^i zZR^QlKBwh*E0>RR7tCjQ{`o|G<&7lO8~CsCpD)UD&bcdyd+Vm_4`$3A{&Q9^13qZaW*SFZo`@T%pfk{jQLALvifxxtl#O~~WzcrMuAcOtnd)6=l8A`qS4-@I`C z?1{sOqc0yqeA^$NzI-+qjNaaHa7(&BHIMigd6AC!i6^TU&X1U3?1$0rP-xRsPs5-Y zK0Q%$Akx;@e&Ni?id~btc1Bk#2M(Vf?rYs1>mJ_=JJ0So*pd!BbvFw;d((*ju|Bk3 z?OaHQn$69p8#;npyJemWKeds6Db7J2%8H8{5a+Zw=T?y+Gck^RBk!kcw`7Ajx3u=r zITKIE@H&KZV(gRTc}V*t_Jh@u&-yTP>;L^Uru7y3Ppq?5(|ZxG zz>mtfK+a$IQHsxw$UH3KY^DDZw`7x)A1$389;AH)##>(J>gaigrvnHo_mTg>zB0U7 zEpJjS5A)6~59^{^-h!{Z1#fw4>CliYZ|q26MP$r`%;#dgJw7StNjZmelOpO-i+Z%$ z{1Y;7e5z8$Q~ii{J=YZPQhP5Q8t*9_pA_8QBgp?>YeRYFqAyONa%-&i%X2;H4^e)$ zKZSjZy)P2!$%f9Eew<6oeF<&yM%rI^GCBIF9F1u>VKilAdSa_~(A@wz(UWSKe~DbhT~vOivTyKe=CT zmiq)N-xxe7=K=B&!?%i3YvH#b<;|oLA%gQpSDFyC^@;{m~q3 zmi~F>9OD0G+VAjw-d^{SXLiyn#`AS|72`mizX4=|6D(kv%=ULV05+E(~|meub_P4|L2UA4l=7^n0)` z^G^JH5A~OwcS_0qB<-6h&nDxUk(SjFJjY_?6>`A=v={NJa^6qzxSKz){H2;du=189 zm!No)%YC9gOZ{c%8_+K_K4&Hm?6dF7_@?f}m2+FPIU2$F zHs)I_!2O9lS7YbxbGvY^y)zZqy-vS(AKJUg8kgG>jr3ej$8zv#oHJbO!ue@=7U$8o z&W~b$zP_B9vyCEY%?Hf4!*gRhPYfddojnWxBcIC{#r(N?cqVuM4&see9{B@)4rm=8 z;~1vAK=8^ToX_JqBWhm>@&BIIbb_A$koom%dz$Ec{MH1{eTNZGQ`|Saqg|dG9^`%L zsX2MxOnwCYi+%1P_^(`=^I)|9#X8iEI91NGJ9~yNA8Kk$%6WFB_cr|D-U#w5GOw0t zo5pi8Sf8H0IcV*lb2y)q`)AC5^jie^Wx{!2Qx?ySAP=4ntUbTl<`YW(@gW^4XImoTpj) z#u?;wR}qI{p38lse9q*M8K(Kh&xc@~`hEMggALf9r9@iKN54`$FV8vMeJkxR<@tev zZ|t*ho|&MyY2}Q}`$wtVy19nl+jErP!9KnkdbE!}(<5X&Uy9$mbQb(t=BH@CmZovU zbA|LAK{}L{?WFxkYdR$Djlln5J)?f7=NeaOJ;VG%{vZ8|^+ftR_D@T}{WK5hTutUV zXdl9I$WPEX(msU!R_{I@PnnODc4_{|eW~>vB*x#$H?^$}*!dnIjdea=&wb`^pIRJ%gk7W~%79k#M??;zHgpwM}PEBQJ^byNN!W zhdovu*p2$hJU`-1tY10#yh+B~LjI~Wf%Ad7iYsTEu-;&Qg}8yva~Eg& z4$LCXq-&YSBR@Q@OmLf(aerdn4 zUc}gB8nqf_)7WZj&S$6YWM^|NeWg;NC1TW=#wOpbh_MAf*_ZbWA}GvFV(A0mfo(HZy;xoFSdybf%1M@!T&jX6IdjRT2o}Us-5y zQC{L-zMRfXV?sDJSuW=bt(UTe{4{JI(y)(uN}A3sm93JFQDs)i8j8TbVf@QQtW45n zz75w?cx#8pz!Z53r><2HT3kwImddzR5tDZ_ zQblloPOi#qR*kP0Bxa+9rR7W>Q%PoBSOSt4*gk8eI&csdfg2=ga$!s@DRy9y$vAH5GO26 zcl0I~Go_gs01G{dn^Q}R=pf)OQz{nLom4Ii$`Je)RHb~xOIB}6rHRcMOYR~d;hn%w!R?2PUC^2MdHQ$iq*6_thz zJu{u3!Yrh2Qm%_Y5fy0P$0Zr0#bPR@(1)Ssc(_bCJ8NA#UT&S&lQLCaueRRJNvX|V zyey)xDy8F)JuF4GdqrfAX5cV$h3pzlSj+L;!OXQGzs0LEmBnadQZz!=xieY9YMfnM zvylwzb8w}5trtRRBZHyhbZ#{_J(gR*Fi$<2@R z9WJnl0xBuEP`b68w=QT^$6Cr$1|tdr>!l0?s88$#gIDZLh-S&{x=W0Y1F$8i=W|Ic z1XPU}UCD?9^>;iKF>Mz{rO>t8mz8vx#s1}Pj)N6QU`eMjCU;H?G|^EKqtRD@g7W1O zLZCtEG;9(dP$x`N%dKk$+WZvEQJvu!a1WQzswbZ*7ZJG_V<(j<;tp(+Yo_=e!FaBS zPD0n|n6SZ;DV?OsgyiFvO{a6@Vqt}nJbWyYay|Wek;)V43+c?+fwP(6p^*WfXFUvu zcSUuzhmQ`syWn$^x6#Dj{~m{;{pf}4Z02%_Ryw>4#@MuY*V@h^gv84YX?N${A6vJf z3R!DU{UBNEHe@TUy|gX4)n`?1v>})1J9QzGK5=3+a|*R_uZGW|Jb7<)G(DaQngH*GwsUY_{<-4ECc<;CS?zhYPQ7V>eP}O&F zX!t}XeX0+@xq!CDEyk50tk0XBi_A#+!q6q$2Wu?z%;*K`z?12V!xyl6NbIHi`v=Cx zWZ%HYtmC0)*YQ#s9`YgUO_D4 z*-T%0b-=)xFWE;A&(iRtkR1DVT1CuoK-M~;ETVFLsG{kBL#D)G<&V)E*ws=+pR zj&PZ5W8@-N1eIVLqXUCO=SJ)*jipbFI<%b1Y)IC_s(j^%v*}FV#gn!}i1YPWW(X5j z*cXI&DnrVSWiX}T)=s9!E@0wb7#JPB_{@dO=)j4g(Sd%&iZ44TE&s)W^Zs-dyWWxQ6%sI|og(u&)21c}Q%X4{kfYI(mcma^n=_o_v! zLlCz_F>G!F?IEkNZh8mJVzOOoqp&!`BbhO{S-X;Q6j4$1)Fw-USZE%NtHn7tGK|*R zDr5a4Co;q6e^-DVnq8Bz3+W5+RNQo1i#)N_a=Y7zjjy&@a*&BejfQ9Kac#5pVzM8k>Bylk>Bz2$nW@h>&Mq~ z$&at+k{@5sMLjiK)KtSIKfc}q`SJB!^5ZI(d?)#FKhNKvB;`#fNc>ew*p1(hd z=kHJAQT>L`rB7rooXcDs8^}B}d~pnQi42cjOu@?`5CG-k#=fv{V_!<#RM8b-bfk|g zQo7y4aJ)RR#}E+lLLsj%D4w@^GT~g?y-+nefPn7;X1o<9;i%W? z3mh*?n!6%yU2#ub7&<#Jni(D#g#7_5LpAgUZa#`?;Xml(gZq?NTOK9$?pHYWOS@Sf z0c{Y;5_IZ5Rmck3H=DnT9R#1^EG`$z`MF#x4$zjT@Sg8%p&zM>F(gwX=C5NFoGg{f z$fjlIP2&gD8ffJBm?Cv&8iT4KZm81o|BB^5 zH~)fq=Pwa9pf7Kgru(x~w{n;XyZqy{4)B;X5!Qitf)xQ3u?VC))1ucWJZLt9h8KKn*Z)K0U65#sP!hW zbrSV3xZ zfnkpVu5AU78U?`0qkwB$0i;F&2=XZ4+ExImQ2=Z_3WPSR4IniN0EtHd*R}#kjRGLy zQNXpW08*m>40sf9Z7YD(C}6NX3b?ivKxz~)vK|Fo+X~u2r(CP5K-ZQ6k?TuH`9_+q zZ3XQ}r+gz#*S3Ooq*K0;rfXY4JJKm~5cVu`kFKME) z7N-D?q&$XP0FjEOuK);-f{T@QEmqor0*`_V5W1x)!3U6b7a&Ax6np?_cL74=dWFM2 zfY7zodqip!d;mG@0)$A7f)5~vU4Rg&QNXaPy>!?`1d$pA470EhF+X*fhVtb;6D}qW zYcX*c1MDf&9ecW^DbqLhhuyI!QlsD-`@`8z1JEFzd5rD>CIPn=eI?~38Hfr-lpCK1#q@t;f4`)YQoDsQRq0@&my0+R&q(%X# zQK8@I0*XkD0ubU+aKY251y3gsLeH3H5rO=xPuIl}Nofl6;i%Ka5s?}N!jTf=bh@A+ zQlkJAcobZKbZP<8i4pfGxL}}Lni4Rw9tC&MJGFz}=^J#qw#QIA=v}@+r)x`r$n{m~ z@(ns&+X~u2@A3^gUE2!ULGQwFs*R>=TR}V0UA~c~Yg<7((p|ohrfXY4JJMagk)~@~ zK|9i2zLBPDTR}V0UA~c~Yg<7((p|ohrfXY4JJQ|0k)~@)fynhE-R&D`y0#UxBi-#A zX}Y!*v?JY(;jCYukE7LjE*kWWDhb=xv@qy~g2ozU_ZFi;Uw4tPZB2`jZXZVI+E&nF zq#HnJTHhG?T%JrhM}s)4QGrbwwn;6Dx&f63nUs&|MuR7PCS9D7vSv^Lrp5=uJsR zruOACsnOsSzd=Yl20`l#0-)YXfZ^zX*69F-TQ!x)6{EqMeshp@%z@UK0}j1a0K?G% ztVV5v^F1 zsXv(eKEF8yX-PfOk^qyvdqX{uiK20NrQeVOKhn_E%MZV#0zaa4IzU*69z30o24Ckd zQbA%;kHn-OiNtUQM2|$u6??jz7`6`4nrc$!*sG%;jc)36fFZAr0x-%f>va48qlB_k zH$A{8KkO|>fe_u)l>;bvbrhUXj##IIQTOU7kf4mPPR9=k%Kkd-(L+L}f^APhKM4XF zv3>Uztw4k1bwy+JrNdDloQEl#hI>sZh@m91#uVj;Jvzj&TS2r=2cVG0T;%T-r|D-H z)R5ovTT*aD31*!oK*h1NvM4QKrsLS$TPFowlzG-!0)XTm-1fmR^M`&j3g9RKtuq5m zIUWuBKK@+|{mN{TJlbdc78N*BMp|c)5N8iL|6%|Ki|SZuI8 zuCIPL9SPzD+}m>sjwxHMGwH`MC8-?*=y6PGX>U0SXz8Y|901U(qo9-0)*2m3PJ48S zVb_gloerSp)lqOr$!nbsK;_j@5J&lIoemJ>V0V#!O@mqRZ+=S(=qRzRvjpULOIKh; znQWa7@KH&}T5=BJ}W{DWY|@ z{J^7Zxuc^89_7a!5Us$M((j<76?mh9XH-bcv80D1CCAo0*!M#_xQoXQCB{{ADZfA_ZO9et1z*+$mcR zFG_=Z+o&LkZfeS*Ot@T=DRM=gU;Z9>1@IzxU~QkvaqhaQ$kfIN|(!LQr#b4<#YM=LJ+ zOICnN;<}OvsA~3r(&|phdQeirTuyuSmxSr-Hnur@l|fOo0za+w8dHEs$$6bIfJ*+- zQT>G`J^od(=r^W7k+StVV*pmo^jtPGJvB9F{i0afTJhUb&`61Voh<;ahLT+J*LNKC zNn8G1J>~VCUe=?OGWp()Q7}n2b>;gpN!fj;96cr}pU->c$u|DwK7#s+m-!1GXSS>JtQgB?`b44Y#pLCSyXFh{jlo&W`7+Nw2`8&4#18x5cYCunfnF5IR$fcETJ>!#~htTI8D-H zP98eYK+yRf7Hw-RfP`Q6Re&UIV?=8zKxY%q`U->mj5k8LU-R2iKuStFTL7D9Oz9lM zDPNC7I-KAY#d<5o41RhXt@xndl7dfC(UlIM+2_CVG`oxk)5#W|koVR~K_{JN=xhOi z5;f=%@2}Be?_+*@3UcYNLub#ATspyUdPa|2I)?DpTR|t?)RhC2d36*B(lLZi#}7d| zeQ@fggGc&zwGoNLCn|zZ%wBlR-7P=6V;+kHe zg9g_MUMLU^1$|bObBUDxTp~p$4$hM$bMl=*(U5PmFNN$j>5nKva71p+f~nM~@#mF7UPo3`a+gpQIl@#Bg-<_@OfbfwRg? z=`PM)^LU~*^q9Y7h&WdCi1HsPq?98CNo#t=f25F7jua%V(;>7dTcQ*lBiMD*A1S2h z)WEBwAVYtokfIX=uMQYaIeKLHj}%hGaCG#@pi=|+-Vd3d!RczqKkOvo7|}z8juGS& z&3LO2e&iAj_4+HXKu3S(kfI|7Z-W)+&`sT701I9n1t|Ihh7=tZcy$yt!_fh)X%8I$cy$z@=uZbyl8B_y@6}OopwHQ-C`IqpQE)&vb^YeY0p;nPz6Pz+@#BE<^o|Z_jgCKOpHg!6 zlGf=E4y;gIK0<*|BXrx>M#|mWW$W|!DN5CQ`h^&d4rpE3fP%e1%9jR4LyLYZ3R?6z z{1m0>ofcSW+|Y{Oj)EY4UOz>7dT$F91nHCbDazB!pSU2(wqC-t8V$X`Z%BcaKC_>q z488mvon>V%8v0JZ6$NPegno+h_ueKbK%<+wLGS~O^7YQZ>48RR_-cxGt=jV25Tl{* z_Z6?C?o;~IeTp*mGNXwebYEE&4ZX^5N`WBhXj($4dQa<>+!zSapQlgBN88nyLCMq0o4RuR zsG;1v(;hu)DCaI;vB`c0ho+&o`)aGC=u`R>eTp*fP70M@PZkZm+iyof3n^$?K-qRr zPbum56wx{za#(cqN9o%YJVg)rlenDM#;hC_nBkN5PLid7hHVa}}pbqFmn8)QxiC zo^ps`*G-=&Pf^O-s{@9kqelj1w!JzE4)kg76lJizItmW->FpF{t-U%54(O(?5BxZw z)V0$d&^jFqHK(MVckv~op}+MPu7HE`+&V*ka8T;nDO?W@%3909DFK3YfnN9*4gHh9 za0M}>p()&-+fFIDZAt5N01*2pB`6s0F^q=rt9nnTQ;OSegFfAzqO`SFM*)#Or=6m- zb%NXrw|O-h4)~2IsM067Qrzkb;)lo1+H#K#ptg}Z)NlB;lDd`kt zm%Ta)VDzcy6lI#dItpOurmk*&uS1Dt8<_eebBglC-f|Rt=#$AQ$_aaQ6ny9t#wp4V zdvz3i&`n)?Fzj9(1qb?saf))ncA}edb;>(Aqv3V^qhLgzOioem*tM7P+Vf*hNi<8v z^=)dWM6-OZjkXAG{p3bvIvRf3+hQqhji97;7JcYyr}VR<16rp80M+TA{f~z6(k8Xn z+bIF<*wZ4fos!3HtDIi4TXouR(Z!`y)z#34%XUgcJGB6<)A8Z5opQ~N4rrYYpeK*P zsW+^%B6{I)GnSxdVuXbYCrR&qp?f!JLWH>qiRb=kYD1EB} zxWwzD>`#@X>ERv8M~*IPiL{tTa-SnInp;@TN$~?Gj3OSci`Mrtyl!+&o@S@Yuc~ryTj64A->vbrch?Q!ul5yqpwJu>?`fy^0AKa7{%79=Y?X}K@9@^%evOw^4-tN0 z_(fFR@4#5##bkvPo}Wc;?z_4lZ}Fg4l}QflRD12*Bc@?)%mhY*}5 z)9KchitO|2iqXJqI;vR*wDNPzajiH)G=>FAh&oocNY)R z<27pe@)}va^xp7lKMM+P<8Jyz7+<_Q zNzbh3(893&X_C0ZgzP)n_`9%SU%b0WUsbYd`1rn|b(56eC?`>$!t6Wt5E1u73ffO6 zV6xELL-{42Ov-nBR?v`RbD`D;qk$I! z91gnRgWLtb1&6%TB#Bm_z*CM^tzJ^~owzP;nYHlGzixQY~x%}+PRCy6^Eh-h{{E(}z&7|i# z9~AGE<^ROpRqn)JZ7 z%LD|!z%%yG9C2QBP2-e1U>l)9bI-R%nZ|eg51DdjwWd_34>b4a?fL58G<1g`L+M2FuFgn-gK_yXkIUaV z;#M$3;*aPXWR2HN4XZ52+<(&x=!}c-SHfSVM*fqmwd>O}QwR*;MK@R_hddodKK{&q zMSeqQ(D0+#p8BBK=*CBNe*W5t@YlotMlJmYesbiuj4uvm@dc_D?5KkKC=P3Upag2M z*;@;W%f1=@7TLyY98^b5D5u$i@BAk2t1el z@d(rpk*d;8e14H!AU>LiSFzTa^`wwM5aqB7&#qB<%jF!sE*CAhBqEiOsyO5}NyG51 z_tS;8qE4;>< z*w_x!*n_6Fkguk{8SGy0_Sj5|d;z=tRgX+d4m%D6#7aXA+9${4sxTijAE!QfN|?=j=b$imG1V%}CzwhK zvxTX4VeV$CLzsJ5w^NvVnb$4Meayq)?PuQ8!hDjczw^AJ;m z!fa(~NSJMG?~E{$Oq~^Gim7wLY-hRi!aU5>m@qq-x+u&eOkEacCsX6X>|*MgFuR$W z5M~ck8DaJ^l@;buE@MiVPcxMh<}s#bg?XH*yfB}~)E!}_nVJ)3A5$e^_A|90%oA*R zNtgpnEerD`Q!Bz8WNJ;Ar+%Z2$2Q{N-Z^IVr#2y>Kq-!IHDrhZVE7npjbFfTIoYGGbt>NUc=%+zazd4;Lh z33HsO9~byWi^R}R3iBHCeoC0vnRN7v>^UpAhB}Q=b%OnW;Y#<}y>C66Rf| zJ|oN(w(=*!TxH(pg}KJm7lipNQ-3bZ=QH(}!o0^Ce7e#n6G52 zT9~h5s#chw8>JaA7GSw-}H!;;M z%s0#Ni1rHe=a~1jFyF$|abf;EQ)ywom8pJV{sL12!h9Q3gTnkpriO(1OH7>+=G&P% zE6jH=bxxS?Wa_*y-^J9JFn^gTWI1=yQX$`d?yh0-lS}+LCSEHx6dFFqLUKLSSsHad z-o%2tA5f(GO(%PWx=M@L6B~%rCjwabu?I-G652-4_n#ixQd?-lmXeUar7gNjLD2cY z6xV%2F}DFvJ;0j(b5t7}l^-jiuT(vfnBC+DRZ&`_Z(2QqHTu-mBZ$!#upUXwwx%6= z^s?J?TEuxiqs0ZvxXBM;mc!SzSZ1$o6l|Fce{^djN$5=&_Z$^CR53mwwH>;YfYV+CC^tdGN`-^1H(e+iH!H>j(en({MwEUJqX ztr|#Co+^*f3H~{+1Ds`;X1(mF4F;XpTNc}|0h47)`6eOD>gJ6l%T2MzT?ckcP=3LX z&22E0(l^kD4If%CNz(TYSx`5Btb*y&e-AC!`8wi5&ACzS20f^JOYxya)0Yz!>}-Hu zlJr7ngRv%I8(|wvN9#L{TuZ$itz+KxPCv}HoDBwj|JYLAhUome{IZ?9g6fAD=2TQa zP{;yK~mOWgW%IIxL!RBebu+_g>=cQnQ>%76{X}#qQHc#t?H`qL_7v5m=qzco1V1vz* zU-S|2OI9Bdza;vI_$ARt#4m|HB7RBq5%Ei+8}#eC@29d~*r|y{@Uc_+tSSrWTpE21 zmA}%6{Y37A>*W1%F3Z*6T-|>3qHno~ z??Cnn<9S9J$H!4TszFb;+PCFn9m41{`swD^gmHqYuk&$#zBpA_p3cc9bQg28nfW{A zOc}-ojFTjfU*R;um?~AqhjJaULE{vOeNz}iO#P=YPD?6g3gZk@L17Ft74klLyj;!~ zS}$b_`Dr}Q(WX?|y>Zl2_H=Fu-)E6kJcdf1HAYZ+EGCR+m`VuaJX4jz7-edcFvggw z#^*?VjhQNBmzJE?Tre(@y)DAH#8jOyE;F@F7*}M+#Ttb%&Qy~yt}?X~kH;w0zm%Io zgZjN3_korNwXRUg;#WG^Ij4ox#%soPs?#oE+~BhCgvnzMvMdLiv@)!hQUd+@TVSFc3bHaEDQzc=%l&J+_yo{+OVSE=;%ffg$Q!B#wZl>0R z@jXmEUl`xZ)N{gk1ye5&#`iJxB4K<#Q!f_A4{(cKB8(qo-phpXLrlF~7_VgNdxY^S zrd}b8S2Okf!uVmPeoz>%Vd|B__z|}EYGJ&Vd9M-1k23XIVZ4s1*9qgtn8L%<)e1EE zkx60vxbYJ-9J|>J`X6oa@csEmjAdL60l|M9%{pc5d zI%%p@pwCR@OU2gw+}v`R9;j^{D&k9Px#_Xo0vt!~QDu*+4?MNI4o12GX9dtadV8#w zoW!pfze?lsX<_^(*Z8x-crVxZbHaEZQ-3Oq_cQfp!uSAFe<6$yGKE)d(KBAE;{ctZ zA9x7kw~XH=`(G5s@38$Z3FAYo_cy}$U8cS)jNfDG?}hPUrv6bFA7SdN!uTjt|16A; zF@-hk_nG>-Fh0)IH-zyCrv5`1f4~$LrB5=2Md=S^4dPgo{)j0oN`K5$NEn}DDk6+e zGZhoYXQXaCA&k#5uTmI)!aOV~pJS?87@z06wZiyQ=4}2zS}O0zhkOH7=O=Hr!fA3scvEXBU2c+uQ2trFuuxGjtk?Tm`V%dpZRXT zF#d(90bzWNsX<}2tFuujqxG?^cscXVCn3@nK7Fi%Ho)Kn%sjM)AOic;1f~lM^Lrl#IGt5+8m=UJ# z2s6smoG@cdm4q2*YC)I@rj`V-BTHKrW+hWA!mMIyO_-aw51uc~%}hP#^@jWlD-oUx z7xCm}{DpXAUGbHpcs1tYG&=uz(XZ0&7vm|)qj(W=`PljgN-v4{OX4q$8;9dB!{d|g zH`y=TS}d)QJBh?!9ybofUluo#BvRFq#dmvVmWwUL+zLGcx^JI<#^QN$IceRvQF;yh z=BkB$#|1we{THg%55W7zMv3|%JZQ}h?HT;II5*Q0iN6X_kmZpq9v;2(Umr)6e(I5`G=$nk;%`9E zWmkV-mCNkMGqw3b?%X{2@+GwYjd&u|@wyL?l0EXKSo~+>Z^j5yv!?Kih9e3R9-!zg zt)Jr7UJ-vw{O9Aa^;X2ycJ;i1k@(y2Lje08Eew(PFVSy?N|~0)ES~wj@t}vYA3RFb zCB05Vz2%|D)xuE4cf{WrkH0Bk`}$I-n@>IG7)d|5H5v)%ZUHU$(frF^t5&hWg8&N{jf{ zsjB}P|2I?>P+2Z!7uRU=7H38L-wE@di~one6&>MW!%)q;ts9 z4-^#V;I;8>gHf6j2{REup^2cs{`jTE{s)hO9ZEaD9>fF2Mx%G>NiSkCOfM~!rs&rT zA5BDKMrQ)cN*ym+i_67welFKKnxDFbdD;?5;3pH?EEE2l(m-V_Are)z<`m19kpr2h zA41a8{X-(LIZ=%jB~gPlv0Q4w&(m_nB`gYUl+_^2Y!La6((fc&hA0iQl)sBSPGU=9 zE27Rs-RAh~QC-j4eYhn%ot-b!ubg@x+&$~pJl|-GL_?wxSWRr-6izhZ;Z*I)=|Rut z#Ll2$7!mphVOI^eXe_swNBZVj8FVCe!IB$|;6sq5JGs{3?A+vZ_H3@4oxw*4ON(of z1Tq*^*+N<2nZ`@iDp;xzxK)}yg`ZFsa!W14rP7_{`Cg@QR#2dDJc{H|d#@>XH8Fvu z(4Q?7#^9*Y`+E|5B~ruaVZ4F1$)~Cc5Ir(FER*@c6n;xUiVTD_!M>JyAw0@FR9L_n+lnMdDK8ava|C z3SjNJqf&9QP@1~KAAR7Ldd%Smn1$@xqi!)|&GD81*Jsd+xe>M_9dfrcm8H1)LUwUB zR~}fM%E>T0lDLkYf$f>(dO4b#9Vn6m!eqLEq_(rp`R>Zub2FC6B(m^7wh7LjM-o#A z4p5Mcs~JOXt*l@>kxR_P6VnOoBHwMkhd_r_XR|BDsEj1=JLNF@75TG&zre#>k_B#& zm`fDn=HDbrR?V`@O8_lpTU?9Ijt{eXA+Z=wOeB^Rm{Oz>Nh~9~$WX+uwv}I+dILJ| zm0X_PRl^Qh5^Hhv-?NB!;DHV*6?y2uVV;K;ma_%udE>3lhphC@Kp_Z(5rkVvMQ3HG zmB2ePyvDll0pAC&i}F_vY9wZd^G>jSIX_*#g;0H0;-v`H6WBZB=T=6uvO9C8>r8%e zv0P}iG!idQe0SVvPJEAPw7Ma)UfAtcp1Sc5C0>D$H}QS`)*vZ!l?UzzfdSej%+J$C z_kYxVSb^9p6R#ro@oH@0-6>Alf;JoyaBu$z!ktMtK5x#Qp^^B}#Ovbme@*-t;xP5F z$a|y_N&EzUw!Jbb60b+Q5mqYHA_ zxdr~u69&s6b6kuw!0R#@kGMy+djHoFzfQgX8@w58LF`Tci^O|5Jbs_W$A+CY?IQ63 z=6`TqH(;;p>zMX<;x2WukBw8DcX2QMo-qHG-5K_UpQAe9N1Z%b*IPr(;4^eYnE%M? zC}}Y}0gr!)qXzE%zrs-i^S+3q2IhSUCv=SE zzd`I^cf-o9ToJAPGWyZMpXAA={(UU*cZq)xi5DbZNCkWa;m}t%$43845p0``lm4Z~ z2qwO^IZ`DO-giH)EF@`5G$2$Wd5asA@_AC?hQh{I2;r00>A$J7wLfne2g<) zq*X2*mn$KD=_B4MgrI_}&k%yVouPQMUhD*hja?d4#{ddK?ykN|yTx z$f4h)9RB*`Iz*s`<=)@}F1?$Pv)$s2=p+hJg?JMdVezx#%_30GT5rLv6&%S4@m9X| z3nI|Sx9~Ew=u8$*BEjbh@piub4iVVFx8H>kqBlH-<$ec5fCi1PX!K}k_zFaTMu@LK z_}Xwp1RiIb@52)v+;blgfhU-U(}a&xh4=8~htL)B%aB6+9#`qZB=BJo*v|qVLur$1 zLVTR>euD0PLIe)*-9JQoZ)FQJLi{n`{}kQ-lnAu){m;@R`XI%ZpU3k%EcXRaT(v(J zfeyZl_-3B(BEFgDyI&N6F20NSW`XbGF@OcW`(+X6<-326{#Zu(bQUY)KeE_YsO+y$ zXJNK*XMqUN7mN6c<}!Vph_65d=qp2f1tLHn6yhtIkMtcNz5)@TFZ{?WA_DZi9=@WX zqA&6A6%7@AfQPR@Y;|Up{3dk{k8WYQ;Sn5E*$7ld;dVU|c;^@ke3S(eegVAMh6O&x z0#$1Am&#b753{q#@3Tm?-v%BiApxZDNdZ@)atlP`AFtfH4qQ0=e?FVpw(ee+2pp56 zQMsMkZTC76K8RwEx+q7m@^SyY_W#G*b-+ncv;CRbnZ3K+?CsnhC$1nO0^(7mcTswg zB1M{rg7hv$QBhG56;Tltu`Bit$Q=lxhz0DuVSR0{Vpqhj-;=Vr$?UU3oPF>6@jLP) zoBxx4dM5Ks0*{WT@!t-~`VC5I2LG*L)^9C|)t#pf%L|KMHpELE1U21B*(5xq6_T0B#wm5pB(8FB)a-I&!wEf8iS_}yPm#+0~?T;oKJ7l z7~(y9G3+{0F3ZJ!QmDQ`W}IwwZ(p+ki@XWvGK&v1eIb-;mobXNaX-iJ8^n%ftc z!ltZe4~d5M5J!r2B8ka~DX`g;fX&33vJf*nQ?xMI`>VwCB4hu=4El-zHjDO8%!00; zDLJpcg2bFy;$-^lDObYu=lTVSc~BElKMSL4z{G;0#QX#-|HhJwK{>n|2JdOmVdxS- zKD<;tf`g((F!)JDo?n={vx*XDCeDU!6pF9!d+x3(zA6}?wkOVw0qVS1q8lY~Ta@+a zrO=~gGMVy#7plBCE-W%?B`$*PBAU)v&wjevqw;Re)RdPpTpok;E}`=fq?cV!wC8eS zD~gQL#N_~?V{2*R3Su40+rw4RZ0WYrf;l8{HCtvUR)cXX?+VuvYb1|$J+Y4C(v1+0 zEd~={IXR!l1Ix(;Tms9E#zTfIXR6>50HYAf)s78YhkHy z65YHfiS)Zo&tE{$;8vdn!}Mh zp;%#?8;(OIiD&tb&k<`L{}HSi3dZxZYDwZH{_D%cI)(oVOCDzqJbuj}iP!mWZ-4m692&zUxkB;Mt5z##H09_IsME#h%L0)9YwK1qDcBf+xgY#s@gJ!kVs z|E0-6B#8ATkF}dv=knjahVJamKU~Yim#B$vV~Ot)5b=B-@dwK0q}C+y6IZc^SQqkN z_7dw7F8xZEa#QC)I=}NsP|hp(Pk&Ku;Jt!*iw!WzWsRuV0^?>zxY&kWKzIN&CNry; z;sPjNIQwsb|VpVYIC}M5qQa55f#-$#_ z+Rmk3RNwx@dWw7d66;wm9Zjs4xCAq0Wu-^<#+jD*t5&O&z>%aPP6i+QlWP z!P|M3N0HbiY{#bfxMZ|=Ov*^Y_DgIto$0LN6CmhB)94zoxY6FmD;}RR++vskKjai9 z66;egO(xNmyzNXS)|WhNIv8#90-s5&uX)&PV)4!7;**K>BM+NLte?5G!1o|Wud(L6 zr1(^L3!51;;GwLTt~-kt7M~90;5>~*#QL3UfhX&tOjL+TTRp@)2h7EJ%=3u#7ms;9 zF+b$LUH}s3e-Vio{8yLSMo?#fy5(S zO(IdQ=o+wD;=f);B1Jq5Y#Z$v3u#-viT`vnNF2C^Lc;*2B3^o;<-sQ`(`*+?Q~JkG-`Ehw)oJkBE^ z@i>o?$o@ReHh5s;ziuayT0HDYz>TM!ncBO7?j0a;+%qInhsSx2#+fyd6u-dZya*DH z^D>DX%;UgV9T`6Z(x)Re=y?Mq9_K9*sn6rkk$U`O&_hRRi1QvuJkAFsawv}jrt6RM zUq6PAGeCkq@F|Iu^It!Q=OzB@7bMb@hwTQf)5bvwe$9UYGxen$_nm)*G+@EP7Jcd$ z6vH6xTOsjZ=nAR$r=sE?i}%2r3~GTiqw4KEJbgCQG8XDYiuV@(0wzMmFwm>^L9MFn zgWyH4Z;vNqxstu{mf5Jyyznpnz4#AWFn_|}@K-MLAkPL{%T8|bKmTSYnAyrC&6H7+ zv|>gVYAcfF`aEAp^reQA)S5ZzFx@#Lc((WWvfSLBEQl5Vm@Fib=ByHvF%oITB?6}g zvISBptC=LI`dYyE;GJR=v&r4S9G z^_)DgC|NsM2R=<>uOO4~cpRPDoNYEG>p}a8S0>@9`1Gm;mb#)+m$9lZ??o*yrT7)e z1~K5+kVFRYtTiGL{_v74C-KqoE&OC_ zhyu^%3HfTM?>^oQW!hVgS3@o6t0AhR6G^s9woe(QNoXL&lV*cK%Slt}&m4Xd(_bUA z$(wnl)Pg<%COaiNLz7A#K_Vk~u8t&;FRS~q&LMSGhqbGGPmNLdwR;)FF7NgoDlCo$Xs5+vjH_HWz>xKAY=hKg({i{ zLGxX5O3K(jIfIZhm^D{&A%vcmGSW2SVjgi31f7{O4xq8l=dl(;&^ali7Pabfd1eNT zn}5=jo^%yhkX!D!c@~hT>It z8GH@JrOQc#KRhI_fC+(3F3GEi(VJ~TC9j5|Va`l;mmFNrF=rx4UIVHUEt1y)mCTb9 zd-R1-qWidc@K~O_-upPI{KiI+8;g=RByaL<{Y;&e`3x2m+(K=hyw47vUzv(qi;`=S zuvV}K9^1b^Nz%mv1b}UY_YG3Zg5=#W(e>*JG4ACt?t>Al`=Eh6x(y-82Y3+deA_eW z3Oq@7y<;yXVd-EGfUl-WlCB*dnd-f&C%{P|t2ddUidUB%kN8UVv}d;76$Emtgwm(kq~=`_LgnMv&xdJl5;*3;`h{hV&iQ zlO*5dfp0;6XtbVPdywQiJZu-FFlXio)ObDl9#8arD7&uxk0nXEU<6+9KdXHS@J0H(#E>&@hI4pZo!=my|17=THKuz+JzrfC9)#S)SS+o4DDEVvhcS0^K`jplTtTmE>4SfwriTkeE+!rzqARMxDf2R!7zN*eE2@88+1{g5wKFY!i~g|zq&Sj*T@*V zQFu`nJC8)pV6%W*1fQcq%^8*(Cy~WGECHVzv*zX|NrXSayCsBN!lqWYG#Pbkq>KY$ zUXAdFco&u#{2|^=!*{c6Z^o@f$Vv`45CC;jMjZ-R$x}F(M6Tx2A%tATqcwnN4O7NJ zqzROLrb5`z(tR8l%($?tUMj0ZhSN%M8yC6dF2KN0Gz07gCSMsV3{P898v@_-HMhIX zVxZt~_~Mfn1?)l7A9j9XtZONuckoAZjNbwM9$f z9>Jr+7v!{e!*55C$hADb-Qa^}o=6W8;oAUiFW(a)y)&3UA-a8HZr@^fF5%Do?$OZO z=1!bR+yS7&?duK%iKlf8iSWmNcL;nu%~KdgBK&FJ9qvm3dT=HM*l8}Lw+*saS1z^O zb4R(y5qC7#dpwEoEduuh7O|y>IF3ggPuy`l;sg?TfM;N`o6t?LxdTR@x9Nfh{f&)4yNcX*TzI?uDkuLwi7o)^6v)Ph@aZ-W z`wxly!;^tY6--MoWiUx)1^1pf%k%vKq_*AtEC#844j+&6#`y(&6wcGw4IhPb3FhG> z?AwdauHccKUcL0c{Cts@ru(h?9qfs_-^2D4HT9iGgNgei5B&+gYUdPxhOgSW^b4_V zUJSnxyMRl7z}XI*_Fu&2+eGd^@GUz-l$az@lQozUux%>=+qT1?!PtDKsHA`-4&Xl) z!H=<&Q4T*Q4&p%x2r5n)jcNQEEUA(bPLzLaKuSv4D~*yG#7^_y_ak;~F2N=*T}>HQ z$pP@eKBrQf*nDTF1Rjy!=D*g35A6A`^@z>)bV}+IoA2C|G!#R_>{&BTBPERh60a`U-o)j1bb>=aTm5h6ntCJ~!I{gzCD^9#7DX~dq& z6Q2R68*rtwh&_Xc&4Kelc)YoAgbSDE6N3)WK;sl*e8v$AiG4ChoK9^1q*Stq*nEjp zau%`q!l-01oMgbU=fX(_9J>TgGT_ouVxPygTuAJtTv`UF8*s#OVqeU|E+zJ*Tv`Dq z9`IjR68mxs;`&w*`$`_Rn%MkpM9HH7AOwi5ec9_KM)Kgy-Y;nP;okZ4iz1hl%TCykp# zO4$3c79~$Z!=(WyOlm<&cJfHi5c_csgbmjs_A7fxEuq`4pyWkjKh1xC86Mqu7;L+` z;)xbsge;gvH_k~39U}qo1~J%MPOAi-l43L(G_t7^CXy2Rpac=%K`BLpsl^q%zJo6X zC(okz_xR87&{Q?dGf@$q=c3RfIcGjbDYM<#D1AepThZ4>=C=6>V|KSom?i5UI&h4;DeuEsrAoV*OqyZAt^q+8$2ABROiAHQM*XP9F7NJEjb07hCg$u8FBbrlWGo6y#P&gOMz)5eQE-! zHGB!qrMAT3ZzfXhNuqtC15KhMN%Z0c)EA=lOBt=`kVxOg&z?Jkqz15q15yKt!(T|Gjv)@Wu}i@tz%ri4 zVZ>?3^F5q6+@dZu5>95~zm6tO6aMQMIHQS&jU~?EJnTd`t%-+?Ck~&2QWJ>7r=Zj% z;&kNkU^E`U=CIT>=>AiiLlw^eT)Z+h6V6@Xg*clyNAlPw6NgVasd>cV+tjHA#OcF- zJrzF3fzRcQU%A55iE}jnVG(f#a_KDM4Cc~e;_x{rbuMv6@USJs8O^1o#5tZz7ZT@0 zE-fR@NnBb^oJm}|lsJ3}Nv$BxEFQL!I45%nhT{re2&;(0r-syOI8KU(T}vE3Nu;ia zD}mSsed!(mfA-WuZYsUZc!G3{T_Htrw}e|%2eMI1ggr0yXOpBhs45r@C+ zPCY=J)m-0NV%*1zb3Ggm#U&Vzf9LutiE|x~2jlS{JZv*@ZscK)5Qk3}sYi*!r;F4! z;_&GrwVgQk@OV$cF*Y3gG;!|dVLRcF8y@y7arkTE)bqrt;J?DrQGfGaUna&sTzZu_ z{P8RGI&rr0cyAJCJD1)j&Qo04MH2ma6Mqky`1>iNHSGyI`HvqFhYzW#kBRdl5Broj zuW;#e;=InKFNpINmv$3p7ni;!&ih>YmN@*eC-ps?t;6a4NSsgjuY2H>9Uit9PTAqo zuW&*SmwqRX7|8x4&X*kVH=M!4el0cN{2ne@#QB!}T51!Ak6Wct;_T&Ng~a)dOEEaL zha(7a{^nuDBx-WWCDACCQY2c$r5bR$4@c}rqI?7^-Je9)v7PDC14xt)O{KMo8RPNl zkZ28#txKXcxm1rt58x8q=y@QQ8p3gb%+9W~5u6spvE}d`3725_`-T773`RNHBS-Qd zU~6Oq{{b$tO7JlFl%beQV4XRNOC3nGE>EZviSl8o^av8=gHh>`B+3V)(yk=RhoI8# zB+3V#QaGAv3fIyb&g$b5Tt~@=pi;QVD#_Y@=>QUK$I}=D#~1Oi!6e$5hYcmsu3S2n zM0;>)1c~~k@T4sD8 zSgkU4yi~ASft3fxfnc?Q7g^i~g4HTx6iNlFRfY={tX3H=RIplQxKP1ro#8?St96D8 z6|B}7E>y5uXSh(oYMtRi1*>(23l*%^87@??T4%UW!D^l1LItaJh6@#}HW@Bdu-as} zP{C@G;X(zgO@<2y7EWVlelYMbFg1*>g_ z3l*%k87@??+Ge;=!J>EMvRa{n)i%S03Rc?;7b;k7GhC=(wasv$g4H&|g$h=?3>PX` z?J``bV71F|p@P*e!-Wb~y9^g9SnV=gs9?3raG`?LF2jWiR=W%rDp>6@T&Q5R&v2oF z)jq?83Re3J7b;loGhC=(wa;*&g4I65g$h>t3>PX`?K51cV71S1p@P*u!-Wb~hYS}g zSRFE4s9<%-aG`?LA;X0VR)-81Dp(ydT&Q4m$Z(;8)gi-$3RZ^<7b;jCGF+$-Y0(@w z6wvO^B=7?Z;Rgx)z(e>!0zWVjevrTqT!bGa@B;b;8lh%Nk#A051f zpYfx^x9~H5bletx#*dEN!q528v0L~VKRS8~KjTNoZ|9)(CGbmrR06-`N2N$h zIe#z-{E{D)z%Thx3H*{DmB26gQ3?E#AC9)(CGbmrR06-`M9)(CGbmrR06-`M9)(CGbmrR06-` zM@TMQLvg}LB9xPiMD|?`99f|FZ zeFYn}We?Glda@3JAaN%ir9W2^(w_{V(k8G_st<=WiQ^?F@r*HNYp+^`vG~2aJd)DfTJ~tHY-`zL z$!OU&u;`k>PSo^JCuQ5qwxoATWTrhL|#JM6Q)gMmVn=yPG9OelzM4-zV9y4z4xS12d6n`F=9rm3C2THQz z@Fs#c#t-d=gGNAW8kz?^QFZv#c~e12*IBb>&u1t2K|~kc#?7BMA8Z!6P6$d4g%rp2 zoiziv(6h4O7g;rbTor+VNL z7sU@(wlKYLVGDENx)$b6749_Q!bL4Ce1>r0k{0HND_WQf7ql=Ju4iE`T+YJWxx$?% zT)38ng~O#R%!T_`m+IgVJ_UU!d$pvg}HFQ3UlFh73N+f+-1UrdsSHYa^b?AD$EZzsxTMs zQ(^83!4vLMVg8lEy+XKfiwX;eJ5-npH>fZd?oVMZ+@8W*xI2ZpaB~WC;ocPH!mTOH zh5J#M3%8>%_h#Y3%_z*jM#O_#QJ5d@L}4!6h{9aB4~4mK8wzvbE)?e8E!=yA3%8)K z@cV=dH=r;-+<(Gcxc!8=YlR4Ul73m0zrVE!M4 z3pac)Kiu!ZT)5qXxp21!bKzzW=EA)mvYzR93b%W(aJbupxp1=wbKzbO=EAKW%!NBW zmg&RAV>k797%rM0KV3q=}8fF>%-p$<4tjXN{%`|flFl#YfZT5T0JW#lG zgnN)#m;HXQ@YfUWA;PUM+y=sJDB>R~{EdYFFySv3Ze!s#5pGlAHWTjQ!fh__Eri=r zxUIzRt%ci0xNU{oPPpxb+d;S;h1*HEorS(5gxf{9M+)~S;dT{nH{o^{ZV#cSr*L}- zx3_Tn2)D0r`w91G;r18q0O1Z4?jYeFBiz9vy&=LsRJg;0d#rGW3wMNYM+$e8a7PP0 z#|i%!;T|vCvBEt;xF-sCoN&hr_axy?5bi|bP7>~9;Z70mRFUp9;Z7IfGlV-+xU+;i zTex#X{F8-$u5jlGcfN2J2=^4>o+@w)h5t0+o-W)ogu6(@J5#u4iSV<9yI6#uBmCzI z_dMY)5$^fIT`JrQgnOZIFB0xD;a)7<<-)y0xR(m|GU2We?&ZQ=DcmcBd!=x%67DMD zUM<|!!o5bg*9!MK;a)G?8-#nKaBmXs&BDD!xNC%at8i}cMJbL z!o63x_X+oY;XWYT2Zg&z;n_w6+@_mN zSSa^zTwZDJ9MI%x!)da1z4>B!srho_O7rzfb62JL!GOl)O)AZgM>JUrfJoU6_&;u# ze;KI)^N|Ac%d(vi5H(==#APs~ptG;Y*0KQljvwK$|^ZZiLC7-i3b z?3m^z!v#{i^+|mlhSX4n)HjmUw?U-7(W?s_CM%@=l%)O&A_b;GnxrfvZ&H?-A!S(sr0BVdx}=;iq?UV2%8E)- z1wo_=^-0CTkXoydic3-?h*UzKR5A>yhZIt-Bvleb3T#z%tFJ~FQX3RfWs=l>L8QQ5 zty)P{D5Un6q|!m8z;v!!NmVMOYDrSHgGhlDU$v6jsF127NgWhK3M~GrmDIxuse>h{ zdO@VX_^?_@ZB|Itm!uj5kper&Y9+NrA$6!E)hLJ*m}FKfsYeu2<&sq6AW~o*TCJqE zDx{i9Qq6)$fuU-(l6q7j)m)Nl5kv~?W2=?aV+yHOl2q#;Qt-7vwUXMVkZLPQwF@Ez zU&2)@smB#k9VDraL8LlWGpX$gsm_wr5kaK7=#x4s%qrn0g;ZBbs#_4L?)s#9h9G5) zS4j1eqk{J)YKDoG6s zB6X}jsW1)Qcugs(;gZydAW|dsNricuG2T!}jgq8B2a!5XpH!Hq86T-JlGO1*q{ix# z3e)?HT}nxvAW5AVL~5KqsguI=z7G^q6C|mLL8K<>lbRBS)W-^`sgl&RAX3xyNzDvH z>Jx?3EJe&S}I9h5JU>TBG&DF%fbwvDyfSlspUbWF3~3ys-(VBO6pQc z>arkGEA&Z)YEvqy%O$CmL8Ra_Z{6w(RZ?FoC3U4FbyX0lRr;htmDKkNsjDTa)j_1L z`F|yKtt53_5UJ~{nbZ$TN!=hx-55mbrfMejqeALtN$Qp$Qfu@{g=*;PUc#-C)NMhe zZm(uidz6y8Lz22Ph}2#Bq(b#RmDJsm)IC9@?$sxCf0$|JXQiYbkfa_AA_b>W=nkIi z^C$I?B())k6da79OKM{nQhSw>+9XLm97GDvYyok$Oy@RH%mji&9eC zB&o-PNWm#3x+N8AocdKE^@Jq#WDqGhFh!Ttjxg2tn?h=*B=t-Xsb}>`J)b|R7bK|{ zgGjxkPbyUP{jQYM%aYV9L8M;QCl#uptE66&q+Smq^@cvFw?b4Od}8CVpR(STq}~Z4 z1qb-(HuU%MC-uH0^+6D+5A{j?Ck&}#rKCQVq&^8E^{GCo&%=;PDy04^NqrGS>Pvl6 zVH&zwLm{>1DkZwZ{^Vn|gr;z$ymedbHCG}%9ld7qZ z`bm=76GZA~eNw-KY3Tbaq<)p8ehVV?do`0vE2RFAr2Y&d^;b2Ms-=+nTax-GfE2xX zO1Ghhs=nG@QW0sr7zwgoq*q;4E2#q&Qg)`KB2G|A!SQd^N~(@Rsz8z|3?fxj&7=-e zNW~6X-VpUAX2renN$OXRBcJ>z#vj}s+m+nh15ZkRNWv_2Ujzx zLlsi>B&kD!NY$@qQjHW+4J4_CL8K0?W>SYKq#8+5hXs);uVzx^3aQ4DRFfc5O{RN$T(*Qq8qVh5PKOg+i)@B-JvAR4Z*#kv3u0=q(je(#|syWamlmNYotBBOSw# zYORp!BulDuP)Qx3P09@Qfmj=bR2NC=$RJWjX_Ja{3sX|<6jI$KsUAV3dg_zvoj<8Q zl2qRyQvLKv^$$a;y;4#GB&mTxqy|+psZI(hY3CUUvh$>ua_aWJVPQ(Di$dyHSyID; zN@|2YsZn7_ouH5!ElC|0L<&xr)-9<}q{bpx>hA6?K~qvcAoTJS4~opP(#90rAA zL&98z)M>I!ogUPt&d?`yW*AZzDWuMlq|Oc^wOF6jxnW2xQ%Fhc#Ym9#BE8B}xB5a& z$jTSn5ox^`39??KSBUD83iTS~GNq)X^4sRZ=Rc)soaTL8PwLCv|<8lDbnVDQS}z39`wfm%Zw?sZgZu zQbvk zNNvz373vI*^-4)qNK%zSq&EJ)l9JYoks#|udYQ9kLyv^9UNoOpN=jNUMuMyt>21=w zq#h45%{-%!+Agc_iJ1Pc$#3K(N$TYwQgFMSZku{745_!2l6qZ|dLxL`oBE{Q&Y#pflGLssQt#@MdOr*) z<&)pY2a?o>L8Ly?C-rguq&|_PJ`E!EnLepd&wcMHZR&GL>c2sxzNltW?<=Ifl%#eC zk@~8dNhx1!N4}P%z6l})S4-*+38AX*L#3p?lcc^6A_ccxRx7EG6jJg;!AQ`Ff)V`_ z1+6e2+?$^$q<)qqwKu4we$g+f-@*(D%4g(}-zBL(f=K))MHN!g(##IBG_&Nhr+*EOj6y6IP6k1(W^PwDKQl2orCQoZ#_^$kO+M5(@h zlGM>br26ZV8W@IDN+C5!k~$`c)L?B=R+!hdR;fa2h$J;Mh}1A`QugpLC8d%YAxVu4 zA~i~zR3yynT1)x9&z6>Ec95l+t#4^&j}22&%BOU;JSWc%Iw#Mr=5z8am6WtJvx6+n zY<){Jds3K^Qa+`#C(AZ9C8$kJ)oxQ(n73G#^3|F>O_G`(L~4dMDSK9!l2X1}vu8_E zbAm{ntWRoQ7*fh-Pqwt5vV-iWY<>GFJIuQoOC=@kr|cm6DO=xu$_`^cWgVi7=+b`5 z4zi!J_3fv^m6S^A9NDJM4Qf;8X}76xBf9c2kG({aIzNciQf*T9g<%@HO6np>YFQAe zi?vCG+BCCNQp+W&OM*yUs!b|fNgbv%^vfiv6+xsf*CrLNq*PKXC8;ZdNL{H-%3c+w zO*K_YN}m2^2c7!1D>uJ@^=u9YQqT~J9~uU}F(hAF9LN=e-$N!=Vo>K1)cp@syN z)EY_Z)*w>1X_E?9eJZKjC8;}tNZqMT%Dy{H^&PHM-#wDly+Nez(N$N< zFN7gASRwVIB=u4dsh71$g&Pu7Qm;r-uLhBNO`BAx7u(h_rKF_&lpSP0W$W8dh3kDI z6jE==l6pI+q~59Kk{YFu+9gT78${|oZBq6JVH)~qh17?V)JH+2{-aOolQ5)?Q%HR( zNqrVX>T`WkUxXnwK_MmWr|cm6DO=xu$__N-aHK9)N=lw-<^-K-=IEbk7Owh~PktSF zrkN9TrkSIErdha>Qc1}(&77b!%^dwR&78Vn#;Hq{>XUcZIze~WI{J6lh9h;ELaM$T z(HjJf=neHp^iUoWl1#-Dyb&bTv96)QcWePWFPB`wXIAWJhx-_k4+>TUZi3MqM}nG$?3A&At8)l6!gLTa2OH9m;cN!3hhy+Ue& zBsDRJ)FgdUp&I%Ig_N{jbb_oG9ewLXXL^{WOQk|;hAgR>K_xXyyQCsvzHPTQDx_vh zQgebxovcmD31z*wNg*Yz7o8yMMMvLy(FwJldRQSPtrwjj>qST3dNJJ6Ws5>;k*vNm zgR1W={pwpBrlD_DNJ;BOC&+ry(YIc7&JRQCQH7K|{mltF{ms!o{Vg1+#}!i2deI58 zUUc-W7oAYmr;?J^i%yXBqN8uU=&T4+eaZ636oI*-kFFHZii;lka zVz>u~R~1sydeI58UUdG2^v^sN`eEnQSncgZ$&cTk(UN4rgh z`))=#z{t5*lDaR5)cw^=>TRX^kHdMr#ysid|^QjZ6b+OAD1TuCWs z1vyVhQcnhvdP?6+Nn<}lv&BgN<)`+o=%XRr}HoDJVSkSsFHeK zmedPDCH10qNrfAyR8lWVQZEOQdPSR5s6*Q=<)|m;RY~f#AX2YulM2`SR8nt9Qf~&4 zdP|#Bxaw0$y)8+-6GUoPHIw>G>3#1?Qtt(kdS9DVxV?n06;kp^HrF+?+1m{ z*OJsXL8QLbCKaxvR8rC+)(NtRb@VM_ou9&#)Q?I@?U5z*b5Kd`t>%(aN&O;8{Tf8- zH*Hc*sHMvurKJ9pr2Yyb^|v;uaBWH@^-qRW)CeFIHML1aBVpRq&)$-X+LDwLL@KIH zDqKmaqzWXd!XQ#b+N8quzF(A*k`}SiAdA?jzC~=f>ia_>B`sp3K^C!5eT&#=m=gsp z<)F1_N>*QKQ1#W&uD(!4@)1KRsWM4wzaUaItC^IkklJ68N(YfTK$}#k2Zu;RAyrF~ zsvSh?z-lICE2Qd3QU?W*s;f;ZT$?&TAtfzhqd^w2QGJWpNSIscA}T3q5gQG%h>hx7 z#D==8%STFD#72WGVx#&NvEdqeZKe98MQk+4A~vdT5gV@hR8rC+HX39R8`Zan4Oe|C zDQOWK4YG)h>RZG{TZdS>L=I7^uZMNu=N>ZJINOjgH)g=t6 zLlsg-N>WD!k?N{VD%6YZh;jl>w3{T=J&05fZBo%*VM?l8DXHF)RG%PHef3El9fnjB zg;ak@YCsUFf!d_PRiAR$OjO!=MuY4;qxyE9;i^wLtt2Y#JflH&o>6@}&uEyl7b7i{ z>Kh@eZ)8yQjnb~ZP)9xaNXfGoqd{jcM*qdxi(%}ie58(-B{epvq)yN-sZb{h`beE9 zNsS94HC~%kbV8URp{3HMCQ4G1f=Er)CKaxvS}CODEx*yATYjVZxBP}9)mkAXZ~2V| z-12KiXGUikX4%t*VH|Fl@Xs)u@=ejXYeBHecEbO#X#@WkGTnqnh|Y`7hcGj`AbN_p zSfr;Bfq#ZkP+qIarsyIZUYAD^aEKf|)huHw3!)2+?x5;fP*G$>Pc>W!a3O^=qi2Z} zy!6h)^(*wso&sG~^lVVFBznG3($TQsA7rY$)~4u1_t6+xncR=ZkSwFXvM!Vn<>r`_ z8>n0sy%*pb717JHR5soeU4`d0o8?LjV|g{M^4dI9UWco^F%OkD zMQ;`vuWCh&p%vYM>&$LNx6qtJ!52i=cw5me(2CZua=0@`3is)zaFAK?--X z6z<21Wi6gScIB}IGVKA>LK9n;gLDP1GrL$=gJ8w7-b)&qMsz((p)$JBh_JqYjA6q+ z=;r0MYB${!eGE^coX3$pqcHlg=)Z;0%^=a9RT$j@iA6;3+r+Yzh(sTTL^s3V7MAd~ z99er3Pd7VjkIVW{vi7*l+EdY|MVqS1TH_{=wHNRtva_~BBvBaMNlTAq?HS`#$Qm68 zAWJ(Sv7PYu49n7s(U(Mm^Nj-dXBfrhkYL(QJL%}A=sS3_eRxb+qQ%jdjT>28E{?tu z-NlkEj=oBhr5dBJfkf-6IQlwB4wK#hDauHtqX2iKufX4{@b?=0y$*kGFoN&mE%Za2 zSau72kCsQKg}%pBeiZ$WXg_0s3uP1IdEONL5?9-r$CCMtML!Y69E;KfXfem4pMpg5 z8;gDhk}cZO$1L#@8z3%3b|IPz5olN0jpywfoP<{1zKwo|H=v(zrP&QAle0pZvqGM; zOat=d1M;vZ`g2xiY~19)P0`n7n@JctVWvYu!hb~ngo^d8 z016Cza#W^R!z?h-k}t4v&N?M;mLOC9hJq-rQQ=cH<(oB-Ol>GA)XP*+K}?hgEl^sd zZuy1+vIAB(8w;37$wbO_(EklHp0$MFtX$E|&4QAG6r!*OS6CBt*Z7wT_b*5z3TttN zbwFXQf2r`G0vKdjBdA&;HLfU#u7fOUERoDjCe0yRaupmbMhSBh?VJVm3JwuHsY-RN zih@FY)m2L+uF|ZH)@}o>+BFYE!H4RB>!9Gn^uPxdl#5!cTFh=JXrc!`7)`mE9=IL~ zZmtJD1O>O$1J_5vt@XeSP;gs4a6`1T+v|Z3MN{sm2X2I>+*uEN7@BeyJ#aY+K1vVV z7zKCJ12;jzJ@mj$QE)Fka5EI#M-O~B3ht)|ZjRP-e?4#u6g*H5+!6&JqX%w<=6Q%7 zxHSqMrU!0=f`{vY+oIr+df;{_c(fk4JqjM92kwA^$LfJQqTmztz@1R=cs+1uw1rL3 z10R8cC+UH^px`Nb;3HA+G(GT9D0qe*xGM^tr3dbYg6HUgyQAQ_df*;tBbl!U?umj= z(F6BF!3*`ky;1P#df+}Nc#$5sFA6?O58Mw0FV+Jeje^hB1NTS4OZ314Q1DVc@IVxN zp&ob;3SOoMJ_ZFZ*8>kmd)1|S;2~(rEA+raQSeGV@Gum7r5^ZL6ue3gJRAkD)&q|~ z!Pn}6N21{C^}wT0@Qr%l(P$&NSr2?1n(`Vw@E8<)n;!Uh6nuvscq|IOOAmYk3cg1V zd?E_IPY*l}1wWt%9*=_8>VZ!}!Rz(F6HxF5J@7;nT&V}1gn~EefhVKj&3fP|DEJXQ z@Km%*KB@VeNh!Jq4a&q8T`p$9%21@G1aFGgv9tp`2_P5E0r@VO}X zdp+=ZDELP`@DdcfM-O~H3f`*+UW$T$)dOFEf`8WoUx`7d@BlWsRzCd1-I4%-;RRY>VfY- z!R__HccS2qdf>aTby#6%J@DOV%3bup_n_dT^uYI`;BI>0`%rKXJ@EY~xR)OI0TkRv z5Bwks?xzP{i-P;>f!CqnfqLNeDEJsX@Ixqgh#q(Y3Ld5hu0X-VwZMfVa66_3;q90T zN8&0E%|qoVT;*YTs2p8*9A?1PL|pWDRh^uUyhstqyjkniVnN{QCah4tRRc6)rNjS^S`YN+nPQY1q(N~$paw4ws zs613o!c}(5L*-;#Wsf{mPQg|7%0uN;TxFj;R8GTH_RB-%bi7^m*H@X2j-!2 zCa&_BJXFrY%W{am%BiIsa!ej7=i@5J z=Am){uJXh@RGxyX9G{2EQ}Nz2L0@H7A76;8oRo*k({Pnj@=$p?u5wx)D$l@G&d5XM zB3$LHJXD^EtDKXE%Cm5lbMsJnHr~|d>#NKff*0c|Psu~&Ik?J&d8j-WS9y9KD$m1J zF3LmY5?tk3d8j-eSGhP3l}mAz=jNgE0$k;iJXBtYt6Z9g%8PK77v`aI8Lo0!9x5-! zRW8p%<#K!^x>R3f)^v6W&T@sm%B+#-Qe5TAJXBtWtGqG~l`C+StMX8JIj(Yb9x7Mj zDzD8$+?`~C9d+uJXBtVH}#wKRc1ByRXEEv`YN-U`qj9~+wxGk8drHo9xAWF zRo<0{%4>0z_vE4SI$Y&_d8oV|SNT95DsRA5uFXT`jkwD7d8oVzSGge%l{e!mEAvo! z3$Aig9xB)1DmUk$@>X2sBYCL24IkVd)mND{xZRGc+?I#RJMfHe*H@V}UfzkTd@>J} zci}3Z&O_zhxXPV*sJsVP`D`94@5O8Ud3}{xjp#m{<%{|%vugZ)T;kX^HBLHuJXq`R6d5Q+>?jOZMe$4d8m9GSNUrmD!1b*f6qhZ6S&Gh^HBLD zuJZ3ZR6d2OEHv^^`82N5%0uN2T&10d%AL5%XdWt`!BrOKq4HT=Wh@Vs&*3Ub9x9*5 zRTk%=@&#O_n}^C5ah0h&RKA3(tdWPxmvNQ*<)QKwT;=|GsC*Syc|aa2U&B?_&O_zv zxXL$&GMT;)-DsQesP*)0#1|HW1I$V259xXNC6sQeOF*(VQ`yK$BM z@=*B|uCjj~D!;~64$MR4H@M1U@=*CLu5w5oD!;>34$DL3_qfX8;Z+((75<$+3u6_sW;hFGIm2v94Rh4$k(Tc)bDzk3zu*`cu9f1&>C-2l>G( zQSfm^b&+O#h#!1KHdr*{gQ3*Nq6`}Nm0X#v1i&Yt3>x{tS7n0*gNC4F9IB+;uVhuA zlJTgLCVnMX2P!!URnp9_WOYs@v_L1IN}BtXTob5dVo?jEBen7?xh`7?Fqn*j+xWrP zqu?oMduZne-++RrqTmjG@Qo;V8Vc^@2j7H(r=#E_{NS5W@C+1uq#t|>3Z99AyZXUv zQ1C1i+}#hp6$Q^m!9D%p+feWv6x`bnz8wXhjDq|6!FQnGxhVK(Kln}*JP!pA@PqF{ z!Shk@AV2tS6ubZh5B7uaLBXdK4MFqqIl+ z!4II|Gf?mtKlniuya=T|)(>8bg3m<3C;GwbQ1DqOc)TCH9tEF`f+zUF524`2D0q?| zya5HDgMz2{!4)X@TogRb53WSP=b_*ke(**VyaWZ$@`E>_;PX-N96$JB6ucA#XI*!< z83kW}g6I2F-hzTJM8T){!H=Nei%{@FKX@w&UWS5C_k$lr!55?8tPB1gL&3{Y@LB$p zx1r!mQ1D_u_;D0`DGEN<58jS~FGImg{NN{0@CtMQTf!me}jT=LBV(W!QZ3cHE4m|?FavWf^S8^_xiy9#&KvRC)pEAFdSh=SUb}Jr4Q+~n^ zZiA-07ESpnKe!zVUWcZq;EiZiz2*m>gn~B}y^d^kzU2o`&IXH(#y6m)ZAIz(?_)KmWGeyi zqbU7%{S0vMV<`B2J@7UZ?7ywmJQ=0^I12ucKjpb7csmOI#1Ec_f}be*6q)2d_k%A) z!B3%8^@SgNH41(jrM=q^Mz(RGo_C<&ul-elWfZ`78?l z(GSL#&d;IXJ$^90bbcNM`(K)x_|o|W6zqR#YT`@h7g4bPl3epWw6tGB!GHSm{5}eP z83p^_xSIF^_7w~q^S^O5KSWc073&x={~K5HBNY4^3iiKoH9tYYucKi98&~sF6#NDX zF7%i7=P3A16ddz|ccb99(9$M;@OLQqZ8YU#KlnEk{0^G3>j(dVf_I@{|La)u9~As9 zO1p+HWy?mv@1ZI0=Lbho@cSrue?PbY1%H5o5AcKIDELD(&$azv{BhwUH03&eu#2Yr z9~4~I55}K0K1ONR^Mgy#ls`dJuI~rqj|-oo;D&xM{yKce7ne(-T9 z_$QQh4?h@RtnWd=z5L))(3F2h!F~MTQ&I3<%=RYM&ktUPf`385{r%vJQSh&r(Nb)n zAB?<*_84;ghE~}zekEH_2EU`=A$~Bv-~9&)9_9z*>+U~M@NhpE-@g3|1&{QDUq$o$ zHwqr@2fu}a|3SfH{NQ&nuxX&+v3@YRXg5t1e4-!xC7QB@g2(&8=o-|Fpx_C9@YiU{ zHVU5P2cuiorh|f~_`%uM$5HTnA2@<;mYW0xpW+9bXvzr`ywDGhpx|N@e7YZuJ}#I^bmmy(2Oof@ z?4sba{NUQzV7F^@X6)>&4W`Q2IX@r&PWzPZ$$BbR(y1;L9YzyaSt}u!yKXV{(+qyos zJyIEasxr2d`>L2a?jgC;e|}r+MVTkZtpPDJS$UNzs*Jq>nr)`}Z5c7DM0}S=d>@He zfJOWWiCBn5`~-}wOgN@LPDAX%(+B_gzS z#lAM&*f$1kWq-x~7UV0T7trD5+;Wxb!kkp&Mq?#viE&OqTqUY|R_>U#Z(uZe2KVFa z;3|((fhF*a{R5K8vQ=c!8$KWavJ`jXQIVx*jTi&1S|5)=^6TSC_&-t^FRfJ>uUTGe zbG)fxtdF;>jJK_fcia~5ygA;}FdmNgwTx}?qZPg-#znaa_pgi(DzA(WuH}Q=m>Y6z zWqg#H&4`lCRk9ve#S3UXifq!_ix;qLj*e&UM|l&nNt@lo_3`o0a+dUOTp6FTDL$=n zWNUmzWqi(^M$v#KmGSwHZHw*N7C!~Lh8#iS3%#7Xxbt&Tjy+r%KVw@AUZ}&$7-p9P z;>U|d*}$;=F%B>+m|qIw6AZH;e*8a%BmEF~LP30nr@`{Kg|xvQU_|4K;%7o76&SVS zXBk~tgPn-TToa z_)Gm9R_aUQ=L;IM1&vO$voac@pR>|s<4^p;%J}li_+@fD?VW1~;yt;yhf62PrBg00 zD`b&eD*EndD3X;*Gwkb@*v-2YMJ>;LB?;`7Qd-7zD6`^9Dg)x5dt5-)tj=w-vMEF$!hAq&-nL3 z{QG760c!lJnI#1aF~jUO&UW>H) zfo_A`)z=?D>%Emd(Cv^jcI;t@y`hqpOl4&pJXOtGl-9kfs$+AiioZbhGs`SwU{&3j(=&BvPR~_$PiES@F;TSn7ErKi zn->GIY)OOMnm|al^s1J`2Z%vc42%{T21biq44#q0HywXn498!LUbx*c<_141Bpr*SOm@c8y7tCnSHL04>1~%n0 z+B%q%GMS+(txShj6Bv}cnw2Ix)D375N)x3kI4XxsA@VM;QH$ zL2{lwl+Lq*j0ntrFvnI+v@*bgb)h=b4rR4g4izv6X4)5Eri~b#VWxc%W?Gm+&E}b! zG@IwD$(Ljsnl9Q{muAWVr6ozSoTg@AAc`XS5QB4(HHv;#68}o zc%0iSXH$&9qvKv#smHm8L(EL2eh5GLR|fob17A>0lJz<%SG|6eDLDIapbaBMaR&*AS2 zuYPQp>gIOOor*F{b=yZi;=8>otExG)n>#Xh3bxEgH}85YPV>QLt>8A)-L0Kdx7vof zyTxiT@dXAX!)QwyJ>0lA-un1=^qFn1VNmBE!;lesxrbIoB-RKXlSEle67$6D%Sejm zODs@Cig~P*C)U6c<2;W-`lv{1d44~}bv-{HB$7LXD>~E@aj1K`Cn6`dnZQ#g)!4!l zafEjT2|37=#d-oyIhpy7w9lUZNXKoYBh_}KVN{ZC@OURnFc zdq(g(yyL+bw;4e3O|Ll2$hq3r7`JzBTvhuT~4N%_ONQ2y{v=H-c}=UyPAEiY34xdWOIyB^JxFAs_FV5}J~JMt7J74WlVJ8l=n? z0R+1c06QV1KN-MNx1NJNVCW9!-YsO%sP$x6VWu^}>Zy_pM@A-PjXybH+#n-8Ep&8t z3xz$0*e-7Z>d-nla4X1*%RGDl^)Z#?L~nbYTw>gmvl!JW0HR)!J1U(6mUOh!HeGL$ z@o8HwIO83iw3FFH3CvK5u`M_Oxm%#-Xo4?C|H-XInG7IDFXfJEZzPk~!eVcfF~yi3 zKi+I;wlh1*j&_n^|6@!K=xBDK0S(tEh^>RZ_K&gCa5Br!swHTF8t7C({OeI}Gu;K6 z;&kXyQ`mZRB=o4Mv`3j8jY2XF!W^@{aWI(E=-dvo=&8DysDWi4U7NB2Bw z`#zcNRvGY1)n4fo)`9qE0fl6i@rc}Y2M_HsbNAI@r?@?H7It=r<>~RoP*XBbcG&se z4m%Gz?0lB`0&)tHk<+0A`BzzLMo#r+g8W@O1eGjfhMBW%ZwoG&vnUJRkl)FE_AiLo?fPMVRWA}7tr1>T%2 zg`8Z#a&nJ=BIuTkV7^Je{y9mflsl(z_Z-?}~k*48SucAc!X>w{|ThM*^Aaub@Ra8Jw+NqX?aOm1fM;E9>sBIsdH%w$bW zyx+9WgpGwF=u4C71z?M<_7{bS7dAE3j@%-Htl$nN7GThT<|J?C{TGNqP!qoz=&6*3p~mT(}f=8 zaxaQ$&wA^y(cRx$8tY(M&FqOBZ_F@e!)joY@r?02tPaXxeQ=mu9ZWL{vAvRijKPKt z%K*9p$gBc-v*p1sBkBqF|5oVx&HFnWNBMU724kdIVXQYR&7`@JwX8AFJZQ_Rlr3u` zYgw~l!LSL!Y-5a3hdc~n5#xCX+YDh*qY@SoTOiCa4}-8rAgs_Vg|Mv`14cI>;!J)R#uJ&Nc{q!Ucvd+CHnUvauPTi*vz zvmZ%ik0HvE=_FJ3aXRTy=BC|jWw4h;K9|b2A<9b9B}~~D=@O5!R63QdY&R(TS}J=S zQC6BRWy-!ymwJ@dNY}_#2D@&w+5IT>ZCCZJgWb8zsL|UPZj6Fa;ws|~<8E+2Gxi$4 z!RXP(>}B=^_k44ec@4O4n4g8<&||j1SF6%)`yC=Go?>=1b;dR&8^eHPw9Fsx-H=5&3l(8tI7qlN^!v zun~C_jI=+q5xEzP$a~p{d^d#s!bapPVD$Z!j>yJu5cV4#k&RCv>~}gMn|&ed4+x8y ztsv}A2rDwLfv~?IjF{&^*xwKqH@}3ie;};be9bsGVL({IYHJiGObBzWx)5eTSkgKV z!XglsvSvb<4PhnL%Mj*3SPg44ghe5&ln(L8Opqv$%O`cwn<#==mhYuk8A`q9wyqkZ zE4>tw z-iL0RedN#k$s(Fwsa({L77s1W`J>p@%EW#$$*R{?ANjMGb#{dJLr3xEOnC{Es40{5 zHJLP$-Vbg|HH%s4dC%uSvv`0^4}We+)JE1TstJ%B?tgOIWzos|x#cJMU;vgri36eI zF-xCB9q4$uo;DK)$z1tL@nEDB_aL;@r_DW#1K;vNOR-+A^y+7)XC2_S%_=4(J9zNF1b-{Byhlc$={1raZ!Iio>7E2NBoz-@iE_M&c+KCe@d1{l)S~KN zzhkxdMmF7sfLSEbm^BP|VoWp<4FfZ@Of=1`&5d*6fq=Fj*m_PJUR7$`Ctr5~@7ym2 z;gwXPMW*#bOwV|l@L%;H=<+r+5}Pbq$vVx^6Wid$>@miZT&DQvlK;WJkHz;3#lBCX zt?Y^If_h^6L7y06Q-u{ z8xw=eHzh{E-zfMSQ(nHsI23I46JskA#b0_r7?Bh{RLwPeTT_S0<*dPs{`XUidI$&BW~N0yGwTALkQu;k`md z;a+|CISBmM^7V;Rw~(=;HYOHk8isK$Gu9N_28q+Rk+Btpf3S#&77+0a8Sy+{#6*jV z!oRVIOR^&t8Cb;gy_TtvG-xccu!u_$jW!nX0svm9VZ= zbPzA;WfaRA%S}*-7keAa70gyS(@`#!<$Hy{B7UeSIz-_U*w3%@TC9oEXrPc$hYFeQ zRqnx6BTJ%+&sE+HU8u$w@M)x@aFtsRRk+Hn;|b>q%XJi9t&Blc46k+%%2L=wQ3#zi ztNd4cca%N(UF~b%G{4REsqLB^+O9#gHK*FP#9o9JA)e!@i5Dx1T452d_Zqp< z`hbXSl=cC8_PKk@4NB?r#@bFFej@_!puhuL=S@l@yy??T`M^x=0S&1R53{2l~8Si)CUCaPW`%iT2NUVmHLRZ%odg48h>S+3oOq&Zw4 zem~M!MoM@N$`5!=Kk3u~lt=Fi^q{|L05nE|_LCZg$luQ2w{u$*Vf_Kyv3O;a{corEWSL(x`^BU!gG4e_Y z&r#pc`$tBo?^O~+>pQr}UO=YA)&BzjqCb5o@@xME{v`x|eL39$>&WbZrff>AN=H5K z;+f$E|1z6?$CiM19GQ)+65}B!7FAl^;sm?s`H*~rB6ru;?_$6LHHUuUL$xjB+6A^*2} z5pM?RzQ!B#jeY698g$?8MZBf0`^|mmPNYHionGB<2kBPI0l=_l$#-X8Soepg%fu0Y)tMK?k( zP0${=0sbnzX?zfbq@PDG>BtO+pj0-ci#?^n?1C~qpfa(gqUaVr6fn~uh`u%LdZIJS z9~zw*3{s1-#A99_AG=+0_Sl5?vu5LCw{y;zal4`jzJLeP%%%ufY)_{=ESSlVWC1o> zi6<))JCLWWPh5K3jd&=gAJv0OW?2L(pGnv7s4PpDsrE)xC436&e=%Zwim2Q#y&pAU zO1zlf&!e(tx@NY@R}ht-Au9Jz@6S}emfqi^GM!Fms|4e;MAdWY=ZLZc(g!eQyV3`E zl+{YZ5hMOmd>@p3DEAEiizus|uFaJFCtcg4?7;Ma*~-9@EFmq)j4u#nb<%a1vMeXtMkU1j2XnT;=zlv!Xym5U?r=XksG*UWMOpWKS0 zGSRQyhE?4ROi6{R!ph<}hzYO8Z``AEcKhODi0!JeN9T-97XZazms2%~eB(|Ih^@w? z_WyXk)M4ry_FfW?Nr$Q1MP^}OX_96q02J3qSG_@ucWjy#hX7(#=?9@0V`osqDkkhkGiUj+oz77DKZr_8!Cn>Zj#YUtGsq zfZx0QbH`yk#3Ql(L=n{e+VeU z;;5hc4{pDlgjDK31k@rKSy6O1pI2L^;VdWUj1AH)J+xb;TV>O31Y}@cU)8{W447S6 zcW9!<9+Z4P18ux@x;10pJl)#EzD>G~kNuOC#Vvq+EBI3el%E0% z$-kQT$sL=MlUn#cxnpw1BqQZO^%Gkrsq2BZX*gL6Dx^)ittU(E((SUd)Si_=`*eE- z=$LNr0dz=r@ByHQfenh9|2+XYq93WMtEoK!XI-iJ-{a2J$^RZC{~gmES$2*{cl2bZ zQ@Rt+&N`UaShhQ-JF|F4raOD$9g#kwDxNIopV^W(Q_k>NH#D6t=`IZ4HQmL7KQet} z6`sXADt#1-*FAldCtlZd*Q$6joxQ$vc$0&~x~01@B|X#KJW9H!yK^O;vADRCw-@{p zFgVaYqt?$a?(m$YuGY^l?y#IO>ELib%X*J=55~H8x`&5#&vZ{8Yv^5lAgi@xIsEFj z0QH&j=Ih*E>0V4(zjQB;vfk<5RprPM?UU}q;`LAW@x<$!?pqa4rt_PxylK7mOZQ{= zf$4r8{L$&7vq=wT3HDF-XMmyU{vN=9^Z*|K`p+<#^6$Qu%QOv44`iB#rw4j84N4E< zns&h(4i@j2^f4^n$n-Iuc!Se}tKxYYfoZUpEBGu+&aNnWkWNCQD~jMrECvS+6c=V# zni03Csvcsm(nA+E7mtOb6gC%6@SLPzd(CR;NeYbRkn|A7a#DJThvm@pP|lJbB2-cI z5Q{o2J&Z-2k{;%XdTbhw?4v6>x)!C}DvPH{YDw?A3^-|l{|@W3#M|Dyr`S>s&pTb8 zB|cPR({_i)X59r2!<5sPnPQ(E=89cWRKd$(czQU?+>G>aPv%CXNAS#f`mVZ;GHV2E zMa5S^MMb=9Y6Prppf@ zsD0(K>|f>b|Jb_@_$Z1lzPks6WK%AMva}EqAPK#Rgla*$fHXl4kS-bxEb_SclxH1`YG%4#G#-6P>%e?bQm{r9R|TPiIn*S<+4>%Y+ASrk-v~H;G|2f$u9p_X)8>J0pGhAuI zuz6j1onZWv~oK`E{7*5@l?kuOZ zDyQ{2r~Ga>^-y|HP8*dT45v4hH(5@bRZd%VPEl?+^;CLNPTQ5945wa7FP2l1%4wI* zsel_!y_MdS(;lTa!>Nzbhvh^90c>|b=M?RRQ(vVo<#b5t%W!&2d5h)r0p-NJRTtxi zQ$M92<#bf($8hSe^k+GJsLFIwm#Lr|P6Lzyl+$Tt0K;jZGLYqTR^{Y+fwPbsPJ@&| zl+y)e5W{J(GMMFbS>^PJE>mGQoQ5bvD5tB+5QfuGWhl!DrpAcI_1Q5o)(xj&$}r05 zYh@V2X}B_+<#Zh&!R~0#r0WMs%c`JGLA9`QpPb1#w+7h z1~6W!6Q2|klBqqH?Ms`?yE2)1c8W5^#TSe@CSj^Fl|GkKnaVsjO_}C;j?Jv) z?NvSYOh`}ls9O7UWjf`WOPS7aouSNNB|RR$EhG{&2Q#VkRMil8waAqj66_^C)a-g3G(E`cePF70N)UJ`^4qeZSCDX^5sVG zVq25BQTd>5v$pKwzG^cV+b25=tepuQ5levgEK!zFg*8x?FbZ3$EOjZ2ZAm+m_sGbM zEO1<=ETbG>QI;_rmn+LXaeUpQZU{%&*|7GU7^bJtt1Vlhte|o zV=4$VZI!Z$vTUlXVpy(LR(oW*!-OT*AbUTLPZEf|0Pq15cOflw7wBLZBDh0|wp`+D zpXh%jo}79Id^+w4>8|~_JEVsVj`^>&C#1LY%zyO(__%lMp4>~oBTgTaeFJ3a33`zs^OHgr z!BL5D{!4IXI3sae$g*uAtCK?3LYwWu`$E~BZ4-#((r?Kk8gV z;AEGO^Q=XO({oPU>yw|x8BVvld3aLLYP_V@sLLAiFw+7LSSl;n|SJP(%*5d3W@#MH??2@wk_n| z9y^?)$Es@r9TT}%tUdF=z=?_e-^VA}{gUii6E-QE2te2aluZmkY*se2NM{e!(dKRT zs9xwZz>KoSYKsEl2&{sYEexxz%2t)t8D`UgIFWsIGyuMsWVdTQ<88_|$|g+N#<1D0 zKw!gsv9o7v&z|gf-pTABj+_xicC!JN?@)G7emRvL48KGrQ56d&-eGP$2nK!dY?1<~ z0ZquIBr(tKRCchuw4%nvP;=T6;eRi#VBOA0!XS(-mA)E8!*%4EN!}M zxSDd_qwJxaW0XA%=e^2a7iXTU$xGqvW-W21$xwh-^-PlyDWmF{$#l2crRvyZs^;FM zBoS&a24)GgN3zYCM>`Dett^vm6j}BKY;A6%1;g!dVvTDUFwbPgC#Vi&N?Is_FJf~1 z^xQ_xGt(mZ?AiE2o*fbDapIW0WTO8M>S)|v+AgyU=9?XQ&2AMD=bJ4P2q0W(yFm%_ zP2!235fCaoP`~QNOXPW%0U61-tEaQaXw=UlfDy6X{q(_ndryzW`D2vl(^r?=I|P|% zfUfCH=%(4r62wiimuH)`z+@qq41QolXMYxibe`|%UepC4GGC$P$2WHMrrT?f!FGR`gseF7k_4k>ts?|8@X9V5eFyGz1Ktb~ibW4PGJFz8E{ zgdD>q-Z5NaWC+{S$ebiqCA%?DTuNWmaUa_J7cZBZEP#@UOMd`T_N;uNULGT!%{+V=&+FcF zqE_$fEHxNT_bY;{Rau_P&Ay|=;3_%1j++(bnV3W$ckcXh6YD!+oSf2c4&%#B0EDDC z=j$KSbsl6ViV z(N$h&k5#7GL)ZN(qpD!=@V)}`EHIjSL3y9);_p-Tsa<@!Ifm@zwpZ8LtYQ1wWDo~z z_AC1-n;Ob~hRp#5W^D8=;jkoPua#u4P0hnB53e=MZxVh7m4lRDUF9Id?~rmRIY0Oe zDVW>dP?v9=8-9lsm@3v0CZ;Ho6h(m~xErYoi=v_#Ib{C+F8r<<~*y_nsSmA1W~W1{FxR3EEn&q;AJM{`vf*nyTiAnc$V3EK_Ox=E zvh1szW>|ite58q}FJh^mdmfW;MuAy7XjDJt3{&E)a+WRON@v@=JQ^!h+{R9D$j9jJ zI{P59yUsq0*qO7rOLOx^Ce17n8#HO0P9<9PGwVY*7 zYH(uCW#uxJa<+1rk@AXiMU_%rDk9TAc5X`Myvam$P#s+_=iSu!i2`%$fLU{uPna4% zRX$~F)VB%P7wF6`a7tDo^Qv-{GFzlvWte@Yz!bSl$u4F~VA-Iw;p^*HOiZkP%qrn? z<#SrgGUaoomTSs2trkAiuh2tU-Mc0q>Q_u=j+j~VD_oynZTS}pO!g09`@U zc8AzuhdnE!=TD=O_lT0&^s3oPGAtoOcz&gPMfJB)`HIos*9xqksGh^y^lGRu#$zLS zqr#)3JU+@;^(uSd4uqq&g7A&<4V7$@@(m-|b>%vhOj|+NrgkaF%-X+t(Qg%4;Q^=E zp?u2}{Z9FgE4s^7^uAv7d*yptbdT~qQ}l)ct3sd;t=9dnqHw?@>ED1Ve^7p)MGq=J zFhze<{G+rzxCknq)!KenZ_hdR(SvUJF{z=c}Ru)J**+Z-UNOB zf&FiliMH08OfLbXTR0}+j&g^p^@#Kfjv|2e?U{-%Wbm%xLI$ z!)S;|rwwsK;d7#fA?_`9_~)Dq`B(XuHae^FFVpCI$~~>oq}LL(M(>^6=JrQJ&j(J# z(W?whR^=b|aIh2eavmIqqd#s>_V^v+k?=p|KVCvA3DZTnYhs!p z_)7U6Vl#W5m1MekpaX(Q0*77x_PcDsdnP-`=rHVW{%Oza)HFQv@=tpnt&j?aKZ?_y^stP&i^LG#VJt z?hy!>353W*1uRJJH~}*QC9_LF=qTxNeV>IAm{B7lB?iC*I&F0pD42yZElln>rhY*2 zbNPk3kzN}%gtG7OOOi=KA?s10MM=XLy+H|bp0fKc5w{EjPck3TgU&k)LZXt?o$aCI z5BCyfqDO|f=TBbZ3@xtnV8zO0y3->uAOdQnipvVhfu(H-sFLK4Q=C6g{9V>?mIwf9 z04-6P+;Jsjpvbza>#)5&DT~Prd}tX~_$(%`Gr(L^Kz2>|K&UQ|ic*f;aiRnPB`BHj z!9WeBCCZaKu0#k>LR`YLZ<$JdUJLJ+g77)PW)ueFZ0@TGHktdS4dx6P zY;t%Od74f#8t2EPV0&keN~Ifb!b58&n@E9DUhcPnCq2KU!R-=*Cp{k@6C9ivkVjRj zeJo%hJEG<16HjNYQeR!jcsVS$=ryu z15`kR;e5a_AFZr0x#KD$oe&sHX;U9ytEOx<+07CzJK~VCn~vZT17bj$P?)IWj`x#t zn0B&RNphIXpOd6h$muxwp+Wg+V_T3ruCY--ft*en>zoEB9Uxe|3~j}9fC|7<1t^C$ zC-7uco z>>5wOF&q4d559)R55GXk`;tOCIdvTa+K8c=dV}0?nj)RYf-X(5rp%p!DPvs#7TT39 znAc2qFl$Uhs}NLNhzix6+;KvY&Szm=D0Z$w%4?!O+L43r2I=$H@U-XG`{>yntNEu} zd(0i=X)1Rt$QDcG?nUl6x#NHm=U#60tN_m)Q0}NuDWAz+Xxhp?tlas`W-*D}u%Ye% zIC%xOP$YF3_X3gh3LEPgMMj#WZf@aDiZsby+>8x0((}$9(#Q~Ye>?CkVQQSvw|IGL znm^s2eL6qw47%3^Rrf&t>0&0l6Jf57syy(N(_`YnE8?lg3?_G+#}old5#3|xNj@;P zD+<)2w8T(y$CW4slwz(DtY8I9w%qVpwSdXe8$s!!&L$jnHla9F3L_*K-V7&qoIoXj zQo<#WvjiCim82y`k~^+MDWH^cm0+6?Z8G5En-Fa>@k^ReoFuZ*@Q+=9mSRjwQA>|! zrHL`=p$8-;29%_ABnFgrHkyp&VEhScdYjyFjV=R}GFqcakBp*8S)i7sB_@$Ot^^s3 zmD5U)shiL#tZ=a=+vNG26Kj&a^$Cw>ptfhIB-6+pCkYwkmDeTV@Hp1QU`8p-r(J@$ zj2de)@oVJkc}KWw`b~=EGAcbAip=vo3r%~LHhTuS8W(69dd*4G`zG0ph$d zKmyd3KqZ+&?l?&*0;QrZ31@&fuMH4q(n%q1z#AaWYXiiYZ5@S3EK8yUUJK&8tnnt= zBk@aDIKe=bpy`#US{9HyPA!#zQdv`r9#d6-T7{NaMDDl}Re@5~RYD&V6*Iiju104y zc)A+pw3ys+oSp;9b1qKKcqY>Y&(ji1$sJeX1)#j3mC&bR$w2)@T4Fi5<4RNqN_D*i zXZGSI8yNWbC~h(~VB({=VNqPw02$xEM5S9v?l|dc0HuZ|9nn7Dhbdu}uy^a4FTw6) zQ}@Pz)oTLpnzY{4T3>88E4ujA3=1VQ43oVKwgiB z8Ygp3FH?;lBzK&~>jR}et8p6BPPZoWLk(!b!{m-D*bpcUwSwGUBw4LKSnG z+;NI&1e8WD#TcuvVdA`uuhno@Jvj=E^v1}QtX|%RUkBQM}ZFdq}hN?f&g{booQwNtlEL4QrDZm*L&PPff~(oEAWK)Ii@%&S0um6rLA+;L@^1Esmk z9n?KadV?F9AV1>`4UH0q-T?H0>AsNpkhD0{H8y$El`JaiE2CsWu>}?SM{>sr{TfhS z(}lj86#BC+abvrm3)F*PNEZq(IELQPe{X6JF#ett3d#+=K`xrcT0#XaY309@JFapE zP#k*YTMZg(W|G&qolH_QlTHAm+h*M6RX~o=+p2C!!+6~`H=EvJb=wM>(26$i4!Pr+ z*BU6T_2zN9ZEnyl{eC-*crtg}hBCZM?l^|81LbvJ8+EVU+lRJ)kJD{}F#C6O4HL7Qx`}3f>5=51{3=X4T1eI_)guWIX0#nTTAEEbH z&~9x4F#(C-B2d?Ve1TUyc2P+8C-gSd&KG#YV*#d5)0+JTCVAC{G~)~4r+;@tYQsN5 z-%SaF;}PTcg!#GNK9Sn8pXVSaKdac@9`xOw*dolI+;O((0F(~Y7D1)J(xh@-zwK#U zXjXvU4)vRkP@*H{Mi$FEa@;xrrIW@js8muYybq?UKP;I35%3SIqYfsgHZu{`ffI%3 z=smq#9Tt|XTiu!c3by+866CnfAYErFc?7xRB!2@aZ@7HY+14&V?LteCCBH6QiLOBD zs+CASNz}z;FvRy*x|n1}eUhjf)YgqkLKgnIagvZ}{O-CW++ekf$x^OS3ePW8B%h<` zV$!iU^7VX*J<}iS!i}3q&$?w&U>iV9?0eTz5APWEFfyd!)dP&#gBqGFs`lUv{U%V})C^5$U0@t@&Gm|~ z*=x;GPm|dQ?(-|~B>CWu`(ZL;vYv+3Y!x1Qf{Z<>{A3BYCntX|p!71z4>(IrtArt0i%vQ36pXK#~E1DS7o(Fr!aK|8&v z=JJy}PIG;L(nr;tx-d(_Lr3a9+$yAo)P12)U&@fI*!JZZz6F%Gj11fB4Eq`wQr(cl zCO}2pQ6^G9Q)MRxyaY2jWI!;NwPk;k#d*5J!B|WG6l$sI)L}npRzKQgvh>=IYjS^} z^mjGc!wUnXfn%M!=^i*hXT~40mD9=DxYv$*(#(T$eygQ!dDbIjQMxGPA<2k{=llJmy$7l%Xb_msb z1#-vfoh;T5rFwV1wHH=J9TNmSpBPYEd-V>CHw+#eMwyTmrePctvcNu^WAdVlNnNl) zO?o^J6=noHJA(2d>og-cK4jT_q~-*&E1XpvdDEhCAoV!fA~MrDj%(3)pp19lqG{f>XqvG_ zVKB!ZR5KH|xqQ0UEt>94iza~7Fs%tgelo#0fosv*KzZAJi>70m>BjV$SqV%$do=Y)$=x6?2w1Von87rcyD<{M}SeOtQ6PntL&4c_-$q zWMZ}>Vsc(Q+Z!>bgDBIfm}K&4Iw$4~pv-VD=4|i8oNW}7I@(O&JCoK)rl@9ebHJjE$CX{A#^^naaa}4#+$zZJ>d4QXG z<{9e=gGnd)ISCo2hv`sNmuUgr0jN#bL6X;>4eJ4a^fcz=g(fOx`VI@-uqDSMQO(YU zR?Vd?Cgaz+T#M%cWu9hDX5nZN+a~gwq%J3!uZ6B;@-E3@??hefMpWl3O7~RGus4wJ z>@4w)^AeAo$-E}WOg8=UoR@mXd8tRv_9adw&j&@$r%EPc`uUua7XW2}rev}ifEQx9 z$uu`J!Mfa|5aiu1+QTQE--S@wLMjLuX)ojiA$xunxddV1YlU~Syem8kVPBvQ<@lMq zl_u-1%v9h?Bij&orH?Fig>?l4#ygLKWMNn0m+t7sY#D{2`4q#Hnmijnwv#lYtrT5XM5M0Y&}v>mH{VP z1~o0CQjjk9GENGz6>GUCDPHzCEoqd(^9w+X&#z@+h)fZK_6V+l-gJ0d0rIS%vXXB7 z3QktCF>9rJS=X7ILBPwp&SY^_pZ#A2HLapjkm129PKwn)S?x)RYF=t=oykUaW@NgK z^-2QVA+TCYBMJOc9>9kD25%QO-v#;KrEMdFhj+QQtpUm!y=`11Z7@Vqk__+XhLqMr zW1}%NxUU=Oi&C;{U)p=z5(Kz|UV;V_Y%iv1R}ZGOAlzCiI2j|XBzY?|(ff>jt1~a4+i?lc{UojklOMJmWxH zj1Hvhm|Ll(-2jqrHiB#$soZ2Zu#uDdJ)pejUhb`4>v*eoI^OC=$F6>b?oFYjlR@O( z1hQ?Sa+C4FCQk0nK-uhG?rmPnz0Eth)#DGD9${#4HDF1!gf(FUc87_rxzx7>1jO8;4%^S4Ml0c$>cIW;lAJGZ6y-vRQ&xQ;(jVV>0s>V#6JL(11|BQ(cG@z z{V8m-*1vQMGArOMnj5TKBR)qIs5Uu@$+vn>mT&SQQzvFEf5_zfb$XKzLbDFiCX@7i zkZbZGpd4bGOqFyPsE26@l0*-4B|ZSk2d)ylH2FOS34i9sAb1ihGkMFDcbH=)V@O?lN1(k&s4hriKEmnZC{T{NbYXOuV-&;PkGgn$@CV~+@Occ_ zAEQ;1+mQcrnn_|E@3_~8y&UJ(py14;fWt{a zUA6^vhZ}oflDcO$2wwTs)(3SA`;gtM7Sx;W415JFzSE`plc3&{RP_YaPjae11(Z{q z>e&XIFxhnhr{(#o8<^S1&9f(X^xoi#a}gO7!?X6=S|K$}9I*AoNXTu8?4tfc2myoGdf^wKGQR#-Y`ujkP-xP$ZH z$x0rdvR z#2372!^a@!$FvP3wSLUC;T%xTxo^V-(>6H!F6W{AdCHq4;qx5t3qZNxiMN^MSjReV zGF?JmPkt3#lO~yqP}@Z+2}yw$IY}-7<&sMhfPmv{9p(omJNaJ*9+xS9lCmyy{I3Az ziq0Qiq76I6^8dtSg9blV_=M&H_ozswsPup*D-yKXpFq{0P_YQ^e8P$KDNsID#UiJ- z@FJP)mD$HXS=gh45|L!~Thr1fCczsFdud$9R$MXb8iQfs)gRixtI&w6w1Fhvu5u0h z3@D#zdM5+6uybq`S54MfnYSdba_d-ROryPL#=XV$naL+;;49(*Euml-c_)X=N_-BI zeNLq&zV|sN^);Ye)1=nlk^KUwU(gc7o4(*mdt?Js)wwABJ(w7%5O&1uwOivuA3a2%B$wONwYNTYfV&{f0}}1y+UMnVl*G^F4$0am9CBH^PoVs1sIsH8%CJA6mE1(wuijR97uepVRgwzsa#j8Xl)nsB zb|&WdD>0ypRy8a@gmJH3{cf@-&b9V$VE;F*`ag2VRs9c8{xMYD%^A!8!f*f5au3KI zSMDBA?y2R}8D-)!;UfIwwz1qYP0`Fe;eFtDpVSqencQ)85vl*Nu3zrTs@W~_)Vha zWOB!qvjN4X$woRoRI~7rN?x;fymUM%6Y$GK>k1)vTwS1RDKjUduGu^6`Vu{(mdHUg zD`kOFSt!R)a>sE5T}ytN^i;E4xcq6dhnw|TDJ$^FO6v$GcU&Dr*Z!Q;i2<-9hsqWJ zzXi~8*~lGNj_6v}WFwjl&!IQ>FT>)8`srfIG7yTvJPo*}Lhd-0K|l%8Su#6R_1PR| z`iN|Y3fl{qt!`6t&G@*UNea)M7|`3R3ZB#*W$2E$?KsK&XuC)MGEL%#A(u!$~VtZCLo7au9rO>DWTBEXq z;Mr-T3X?moQ8|E;gKLzga^C$irPL^`nA=8W^14xG2W%7f8w9}_N2hGVi?B-1VzR}P zVqtZ)P%*xc$!U-@hvzB|*f}ZdBuEsv2ma^HT$myC$edPPkE(pS_Vsp~&}O4rf-s1IsYcQJd9-pNZ4b zH5LA0Q%wZuD|R+NRug2;RCrmn5znyvvYKiFI#5n0fp46uiQiNCof7$@;(H9{tZtH-7HUSeb;0)99`)ebOb!wdsL_}Fp$qlB+B(>n9iG4m8)7mRC$ErD zr(i`0F$rvLp4S#)vU8XbHiYYD0@?-s%m~Z*qTN?v?OqFO_fA+lFYFo-ru@m-yv`Nc zPxN32=Oz_Nt4tg$!sNvy)xkJ5M3{I1?_d!o%X@AeEW+-2|1V>+2yRS7TE{vVCu}y8 z$tPaeY$jTu!V-L9T``*pvNFPE<84M9%#Fda8=i8Gc5*_OGAHeBRw8#?caseI6ur9% zonc>3nV0Rmub9^HAQ^$Q{R|SYLr;fjz43fdX+A2@^W=^bC=w`CyH!9z`H$(1gFti_y#D)72OEENJ<6{2=*K<+p@lG&xgMmyH#>=@&<9cy!Tj7iCkwYj7b z`XkO;t9>Lhi*W{~^z?W(~0a}niTi21?ajmNel!|)m*e@X3N`(reJ&NJl z{se8y1& zjZEf}s!>ICBX^vlo&(Br?iE$mS4EZeLQ!0(mNl7p=M!~Vv#vPlhS6~t)s@2Ps;tRW zDtk&FIpB{!f!0>m-q@pUygUKVR+|4@<5n@BP+X`iRFfS-OQE~aQ+9-x3V%MlI$W=Z z-wFRqc0|Y#c_JcZN4CGRXU#6ljvPTb^5uwvYo#3Zax{SJ;T#uoT$UX*Z>d z>vgzJ$+aZca=7l#bvD;|*^%2Xch20oWk;SKd4}g11=l-yGw01JJMv!3`)l4?vLoN@ ze5>=Vl^v0PXO3UFpy>jt-R_(FLQQiH35~9isb14}|O7=rz&n;rdnd zt>`$}A{GfNk`1nPinJ`!8m@DSyjx@) zT)!;xdyzk6N71;V2}LWzwNKG8MaRQ+Ptj9F&&ZBqnTlmAmJ_bCi>)pOdMtLS*!RVL zlpV!O7k{DnOK@#f{LSLM;X1MSqT);7x~=#J#gEC35?M?jjbCV!b|xXvrH zw#){&-Yj#k%>QIZxhdtAlmq)b)AX56&w%|B`X@|Cm;~3u2^SK;&no&?%vCWjT$@+y zQn5Q+$5xzMae?fpG`G^4N?@N#zgHG3XObN?FVy_DCiqvaT(yeSDh}5!wFcK32G>2c zPSt{T*A{Ds)dqdlZdki*?GA8VUVB^ZM7Z9nBh|?)JL+O2BdRb&g{jmDc^$WpuSN#+9Ps@%5@eL|Ar~=pi4JI@Ic^h17@M{C`&xXAk zj%qkocD%CnmBX)qeH+zj)UpxSr_s1Z^BOIL>!C*H8(o6y{l-C!?XshB`NlOH*MaMm z#y>RvNp>^|Xp*~0KDf4T@>UbjW79cJ-)#zZZT54s|6Ubj$Ey*q7JM~Ub~G>D{DtOV zuNH+{JlmooTu-#P+Txn*XeqbM(-PvhWx1BMTh@~uj%JQ-jyK`@cdMXQP+zNht=hJN z_O~9_dQs~oaNX7Vqt+kGjy5l}d9}@JaDA)I#5Pl8$LmdA@A5k6yKPY00&NSzwQt+E z+fJ4p?fz{a(mqUfv>(=fR{OcKql4Tbe+RH@2SU*Q-8)M&?AUnFQ=(@A(9=KlY`eWChWkHt)*|RkRAQ*_YdtKAv^k)>tDM+=x@Nw1KJJf2-lAWd^6xX*)b??P?bT? z!PPOS_aJER;IP4k2Sa^>rwv{;c#Z5B(qqWzA>-hBV93QGS7gV~yhBS5EhjsMtsAy~ z*de(7H9T-Q#QX5A!;cLIJQ{v|_}|0t$&L}-M~oUVR(6bPI;!ia9@)S9savP+kR8)rnD**4u=})|(;iHh zWXJRw)8CyA{x;*;8TDo~fa}H?hh_jy&WxBDH?yehn3ZK#?pffUv%Ah7F?%#z@67R^ z6DT|8{5LmjZZ_F5cl+EA=bn-s^D4}Hd0s=femC#mc_8QfuJcFChvyg6SkQ6-#KS^i zVYY=i;kt3*p@qPIQTs&$7Y&ge@8o%>)H`M2`uF0X#UStE>Wf<}cEI($#fKLkl^sj! zENQc(o$Ofp%F<3tyTJ9crN1u)yjvEztn4zt$z@+G`(xQ%*|GfG^6!@euC1`G$hji7 z>{uDHGG=9AxK3QTbS2n%<$;wKSAu<3C#ldtlM|Nz;y`kiWGH|`WF?1v7dE>T?$2XpY>$e;K z*?3=ey!YFtOq={<$EKJ~6*fV+O~W?L+B6rgTQ(it^r7t79Jo1Za|~P;Y~HkaD_l=) z{%Z4e*|DYYmP%Wy!u9S}d26uj*!sV1;oGvyj%~fRjobD%T)*A+&ox(4IJ4s%TyG_2N%WT;iH^kHiQun^n-h;D9+w?S z;YqPcMc`T|sZA2-GigcE_M{})u`|ccqB~2#b=JvCpVnjBs)&GI^E}VKiP4n(3y&706)*1IP=9Bh~JObeEj~$2V}=N@m%(E3S3v6 z+kNhR*>Pdig?SeMH!pmC;nsyavg2a##psKL;JV`C&WnH>mquKgb7?+Y|GXS-hsOsp zIr#)!C8CJeg&6&5=;0A#r*;k{8VX$LPBUt+}RqudRVv0 zP$AqK=2`_cs3~g;*}N@eESSTWg2uv%uZ4LAFABLIhJleu-iKvkWE7biMm)1vAu6@Z zG=?PdEn%idZZ#p=3gI*XRUeEM3R=ZfV@z6ZDN|ho<%Pml3abgMX(G;Q=2`_rBaei+ zu9<2=kw+oC)&Qy@v6WElQB|TPLI%<%Oz`QyH2^LXGq!7%yC;P%FI&rwK?xF0PO;Vl#xW?5J|F z&?qD5m(_8G5mP9k>Lc_z2%zFJfkU{ReXjNURVBh z;kAsYeAWONwgI{k>IsgFY6R908M+a=F$xH+GqN!>gQy9uitu_yZ;Y`)?Vf}N@r`;; z=ICP4K+5l*OT5@V}tuV4GlIn z`mLv>(Z+`Nf0`O@Z2Z8dt?@<$41O9FU{u1;r&S3?MGSwM6~QZ`iZJqNR|c<;=Y-Ly zkoNj39O|sdcwsCmMOBLCEo2D+l>#sN5QUI``ZD*k!gzERmtI(hLB%i*qdJZA{jJ8r z+vqgB)3A<%$}u<&=RDEEBy^tS&ciwoD#(ok8JuVeI+1%PVjT&U&W6vghwt0&ArzcTkL*0)euDfku#TAPJg=v*l~7wcfCFfSa8bFxCh9CR}8 zoQ!oeRGOELMwCV~W?&imuHtxM9{QX2{-*hyI`7+DSb)m&O?jFE$^0-X&xfETiqnHv zJqk(~BrHPz^WFb6A5?=lQdo>id^ja)iX_2|N_>c5)`D7l>s5>umZCF0yfd;6iHb~x zLlQ-@6DzfZ<>;5G^Gnt@QJJaqO{0Gf7gnNwrrtkUA4P>);G;yL>iMTvwG%~a3#-vp zt#MV>T~VnPxodKl6{`zt&}FT2S--&gaQ?F(JrDmL2>!x)xB`tR9{*XO15hPQkiY@X z0avM>9|$#s4X9&FeT&gC;Y*G)SEo}B3h$w+AEl}_b(2XKRJFB;Y4B>IYVAG#OgV+k z=-7|iu{GybvE+GSE2=y#RIaI=U<#`IkzeJNkiR$$iz@mkn==iC2cF?HK z!wbh}ogdZjQXQ+thrRbw{h8>j3{1?>GsVTM!fp%$-Gv`k_iQ;Y?ZActn&7d70x^M} z4;l)4F%TYCAh5xJW_WDDK+M4B2l=Y755wYdhJ_Xy>RenE;Q*TBahroTNe$r;nj}4# z#Auce&@Ac2EWBx|2uIO0>B%&_d1?s9(LCwRJVq0pKog}$6B*5P3eA*W&BU9kw(t>} zDm|NuH&>i+7R{C3&83-)Eb*eb9_y@*x2|F%U(a>E*SbzPhcV`@;=Fp!hou3p_%X{4 zuC1SkgbQdkU)5*&{3A3QsaM+#fM&}O5UIHe^J1oyL%4)7rzysg>m!aiE$-A=^~J&! zG@m7A(=t*Tn2$H%8^Wh(!i;D_-i$8_pP?Busu_7xI)rOz%8YDE&75TTj;72|eN%UC z#}4f$314Dtx>M3a)6%HJW0X%H_KW3(uhFDN^`z?W$7E6>vo7^|;x>8g-oV&3 zivyp3d(8P(lUIvhH187^zsY0xM~q?3?=88elNcs#(c&0Q{6xla@>u=}W7(1zPDg!d zwmn)rqnV%Bcs9oLJmD9NX*LE@eV>licw>*tK?PfsbZ?Y672_K7d4d@NGZ)r+xu*{Iacw|KJ-K7ObnAg0$os~|)Qe_`NT67Zl^$|nN^J{$aK{--YZ ziTUZkA5McO$v>O$4`RU6hyg4PpbI>eI6zzgjzXqDAp9)cLm+rsfj|QT_09MN!hh%r zPqQm7BgdCL(8?6Tr)Uk5FKc^fv$nL!QcjQjVxqevvGHGO_6pIoQu&JKcyi9v`dh$)^ih3%xEt01nJ;0kdSrn3@)z=FVH4lFFVpt~Tr zqyR3&UD(dc1u+yc1~DdOjA3yGT?TQ+3!E`x%?2?Xu?DfmJFH>x2Hghn#!I|0VonP& z8)6P(j`x_u;tskF;*JlvW56D9pqK-(2eHRD?9uRtZhR2>iwe3Bx{&WKq`48<7=bQ? zY0SqoriEN4f!u3$LdcdRbv zMNIN0_Pl=PDZ?b97Y&!tl@OOwflI`d=*~g?{Yra0J<1&pecTBDft$e_+HJ&0L@ck- z$QyG!g^0zX7P=FnmN#LN!ZZ5Dv#-P`1SkZkhnsaW>Wy@*)XB_pVl=vyQ9Y^p`zg(P zn$EOdJGvF-zZ7zt<}%(~v-rvVjBhE6dBuVVUfyWW>t~*F@FIHB01RCV0n9=GBd*16 z@}3|TM(pw$m%K3qViyfq4ZqO65Wl<$8y}xhF>EWHG~FP^A%-D_Su-bL)T4%D=wgUt zK4O&7l|22;V3|}xEh|Jn{jG+DA!`~0Nsq!Cp*S0C>BFZOSOZc zvvCe))Tf4P=xU~FGO8`bzY*7x>C>?Dd5l;B;Vnf!V&N;Ld-SmTK(8Il4Ewa-&94O9=XKK>=hj})efrR zr#c?$D%L_5MHfv!;~~}-`mkLbEY?9cWmS{vdqh%8kW{mS)+0qXwN$P6=8Uz-4BsrH zr^bnqVm$;@EqE=tBA{9u`OaZJ?WT$y#QNy2mbj@l@5f^$#Nf#A$)Db@Gr)oJS0#9kUeqbpmhdUR!L^_c=d6IV8Np3jS|5ua0y$*khh zsngu4S&T+^wpRV<&erOag$k2t54&2$H%|*Gq#6{-4W0&0dma(=;`Uo(^*hQ zSGU$Q=<3$$Q-hFHT-l>(J{R9aY)5Q=@}^ykHg%0pw~M{d<&Ek|)!&Hm=?>#Hd+Kg4 z78CoR+iPmEvf{*r)$^e-T!;BKe|7<|C8UxH`ZbNsTc zhyO%Bp*(DcC;Qr-;$dMgE1^@ zqYhoe-sqQY zqv+?HliDhd#T=5VoMAL321|wx7B-JyXjp4X3=M1bX@s6M_?wPD;6o_n6IDmW@t8X> zci>!=(Fe3~2!@BXc!&AJT79NLzA%Oc`J2xnZ(|N|r=*9bVURo#K|<`W;|1T=*O_19QwnQdeR_hX$3SOuO($^{<{Hd3I9KMa z50G(<`h~~W#5ou`-m1;3=P>6yu{lSxzbkyc6X#+0Xlk+KisAFbhY#@+ZDtL_$69k^ z_*kpY$1t+-21eo7NBSy^_^P-76OcC=^ZFT#pr;~&*d&A@WUa|DgsjzPI!!_(gtYUA zg(2c1OhjHM8E-6#QS{VA5u1!KjI1>~hLN@U^ai>f<1^~I&{ld*zhm$?9B;!bi(kN9KcN))f0(`tOrS|>owyW}l(!o6>N$+8r!KOH zUucO6!^v9nV>nr>&kT^LNI0>wNzY*xe9X?PWoBp1KPL>!^&EG#e8M0KGQ)S@+IUTXI*vf;u8jnt1)N!qP!HJ z#o&6XgNyiwmbWmptaSwpEo=3e!SWUfEjD+Z71vvl87MsXb`GfZYUd1$E*IHO)0kYR{f3&5Drtkq{aN>aXtA-@o|0dtwJ z3N(El0}TU>0S7IgVVGI#78qvM>XUIHh+*hM7-k_^keolhl{tUBytogOBPK_Sk;w6hqNkK*t1Wtv)ko zc=-Y``WFqii3cz-`l7iMpT%gzXnY6+(UK#EqqXjX;b^TsAHua$gv@lvGZPsF|EZV@j)$BVn|x+LKu?P>eC1JwiH^^s9rWC zGcz+g{piQZyWr#wPVQtxw|-J&rjt8IvDa#;JuyXMiu579k`zh)M|@E0wPHwG>p~ck z*6Py-_qG&T)2LoGAl**#^bn6@y0p}$7@HWIKEyQ}n_9|LPveLcPheN5j|n=f$VE;Ma^azC=uPVV+V>>-}Q-06!#Q+yT! z6a&-;P;nQaZ2rXXwAP(4JgwEI53Zgfbf!aIp2Cx!h~>9xLi0n@9cw#JynnDrsvy@^>i#bUTx>s}bH*6Py- z*S8dQ)2Lo=!_|;xhl-al&01=2j9ZLbA7b9yxMfoh&dL z;d2fWoi1)9Ucp3bskJd`F=~B?cweHHO|}@e*18#nt+o2}Ap|Ui-!!V%*RZvbEbCmN zohW{aIoDF7WAI||`VjcO1}~d;F?6kUH4I&A_31+xSPH>uRPV!tZf0lh4Y;ah;EUpC zn1L-dKZY@eu@4aNFk!4^Vf9Ryb>cM)VGLnB6UI^>NP`g8!kC;LgCT5f*1#-mtv-DS zhsPC$sj2s2voNWXozN;Meu=p_HHJu576Tar*$41RO&}BB(@rPGFt*n1FpRC$rw<{K z3{o>d+0=%yzKQZG-P`d$@oP-Wmiht4Gsd$IIFj0U)>5-}3i5RfXAEbY@v_th(m0&8 zkS4QV7|zya6U@%m>eGkNcw8ZwntH9s&ZK^N+HznS+U2e%eup_aHAYER7K0jt+6N%A zDyWGcYO`V(($=~lhP1W%^dUTw!D|L6Yh_65d$0?NH!x9K>J1px7}Y+YiIq{!CTk39 zYuymT+FE`35F(bsa~joaby(BSFu=xb_>_@QU;Ghswxv#i!HvP~129+}+-%;)(6-hU zF|@7Krw?IbDMY7Hy^kWa+1x!s{0VcnrH+9CjsfliI6R5~XY)6Px3%tw;ccxxeFzmx zVLFZKeN^Gi=J0~zFPOtEbq)-2400a;;!y=To5wN4t#wHZaclMIL%3K9)oE1kqYUv( z^fOU#2#PkH{u`!qOML`m9b?@GoOqP6&Zcw>b8Foa!`xbZ`Vcaf!gU(e`>4a*kk${2 zw=k_+>L(cQ81Ft{#iNdQEw!s}h29hYz;MTK$G1W)^?`I2?pnx`w?;ACt<6T5-L2K9 z525q8LN+z^rbTuq@?{}eT`1+8vI|V0J77DCe`2yvjrEe1#puWA_W`leD*B0^YOkMS z$Xn~881mNY(}(a$2EQ4gY+8oAhot`(CVfji2N3`fzz5_>O9WsOKZd=vZi-=Vtv-DS zAxq&qjp|M7uy>pMdx-yF^0(A`5Csqgd_a)2Mgg`1fT3@#t77O|t4|-o$WjPTqk11l z=)3I%6cO)XC%{qCYw@zV1R7>K?txm zYhf?IT7CKuPLC^$Q&aEb>ID#)v-rvVl5Gd7ENNzJp#6E$gPK029VBiA?J#H{y`UMCD z7SER<6d)92Feq@ppeWHU1Guvft-)ta&;*eIk->*pN)Md`t)t*vV3acBxWQ70Lr6eK z$bgWb!2&EeA|#k(d>>4!!wNzILV^!|lU{lW#DCo{KuUhtY0#tF2g`aag9w2L;e#Kg zCxjqA?Oc#VSip{hM~L&my^+Vm`B8d<1%83jANvfJIyUwhu+QKFsHQh?VEYXS4c59c zLW8yX^dZbFh4?h8H$6gwhXqU-I}w(87$OBCg%2o`9+5)pNT>^%FG)cN5eN|vH-NTO zZ$5<8lT>edg$NBM$O0!qgtb`@dlA;^^9hHJ^b8T1Nbdpuv&Yl-D!NG_*qyL6Jt0;g zR``H#=@~1uE`>VscvA{Rs6eQ|k%y%|@B~4H1{Y)mf>2>?7Q|kKwfgiS>>gLRr>5TY z-m4&Oqr(vLZuf^$ICd;jW9?*R5iJlcd_cI2fEL8ZwQZOP7uLEr!iBZ^^da<;L3aiy zn^E9`>0o5T4u++khKPZP;R8}-6vSXV83-BHx;R3HwfgiS{49n3G^#fvK?c*&$blUV zOT7(I15v{V#K}mg!FDzfHmr4Xgbi!;=|c!w3jb+TZ$^U+XNTin)-LzN%MXP7l7ih1 zOZ^V<1M$NL?8#{O!FD|mI;?edgbr)<=|dP=ngY_O-i!zx`g})0DK~aJEcH4>4@3_i zkS8Oe2iy5T_^{U95k9Qdrw^fMX&OkQdNV3~(1W)EuF^Ny>PvaC4`QkFA&4M|_y9l| z6-3y62ttUpE{_mmtv-DSM@v&d8r7STA%yLVjFBR-FJh?!B9I`E_y9r~8A#av2*QZ9 zZjUfxtv-DSNlVi~8r7T8VT4(riNX$vr5=b#f=J>63T1R8VLK%VCDyt=LW#Bd^dT%Q zO$ljK?~?!}>|{xODH{7GmO3H=3Id7`VDuya3fn(HII-6K5l*btrw^fNXf?fl)YSVV z&9|_62=J5p`32whvw!LrFvl<3diYQD6UxIIgybbc@tjl)yEUx7QhkrOgSg`ZRz9h? zL*hvLYz0D$wIP8JW34`Y2-j4nhsRLYlMFHD-5a5vR06v}+o$5P)#3_=X@0n45S3?lKRb$JkOtPKx@8*BCHL+DzXHqxlxrv+}zyFDuec5rgpQXfFr@tAtFQ5V9FM-@GkD`IcQT7CKu z!VhJy$ISm}>g^EwNMyG%>`NBLOBJxgW2wg?@*wi~fM!n{@{m~5Iz0$I)`ki~kG1;r zA&f0eA!$_a(+E8_((!SA*zKHD5&J%tIxYeb0+0{j^)vzy+y6oMu{K-~eyr7}520*n z8cCyipH}!``#_zg%Gd|8)Oitv5QKaHu%{J-w0@Af?w%l3MHoUD!gY5`eE?y|>r|vo zNh1t-tun7%6?;S0>eGji_R2o#jNjAT8zT1dv@05p6eFjI<&mDlZjz;bjrfH4WO2u0TF(}%FOG^M0by$D4qbeFPyC*)+pY>TGAY8$Os@&EGOZJ4XZk^ylevO0H}gbcUgpn)`B~zG1zGwFi?S>e z-pO)8SnQWoSmIYhSn4-USmt+BSe`YLup;XV!pf|pgjHD&39J1D;a&f#!W#c!!dm}* z!aD!^!uo)U!iIpJ!p4Bj!g~R~3Y+9;VYB?IutlCHY?Uty+XAx*+XEX5I|643iGk;Z zq@Yk?XHWxSSI~4}chFg3PjIlXH@Kege(+>rU+^hme@K9EAf%RXFl2&oDCD?s*q%lB zz+PQAVjm=+5Z-fhn5#U4DBzR2;C{1481Fy3M(g^4(lR(6t-SC6LwQL8y+uQ z4KE^G2p=h24BrmdYr>_7X2QjYk;3JO?QnlhxRNbIxSXwm@JY6=a6d=*G~02wza?DF zUPJgadoSU$>?`5^W8sS&<%Dl?yf0kO86td}v#s!5&P3sRMG|f(^@SglcZ45vWfyMd z8ZZ2mTNHlI-B0)>PdnlFyyt~m`KAcBBkKx(cbIFT6&~QTT$G zGd7o~#J(=(j@=;UiTzW|8&_4#7x%Up8FxXr@iti*Ah~Fwk$KMlUic}X17MUg% zD)OmVxM;K(TeQ0vS9FIMU-W-skz&=w62&HprHY*qOBXL9mMz{)ELVKASiXcPK3k%g zm{4N3Sh2)+VwIBl#i}J+i`7aF6kC`4NPN9iE3s|qkz%{D?Zpmd$B3QEZV)?{8!x_5 z?v&W&nHplRXX=Z+pBXIndFBhTfB7upfbs>zf#s`+gUYuM2bb?B4k zarm=U#1YS46-QR+CyuHxOB`Kci#VpjN8;EDe~A4P%83&adWdf)B#ILg?u(Nv))%K$ zsw_^g)J>dGX|g!8@>+3LU9-Q);lAfdbyN%`sEqonfig^+4|eW^9^Fe z3k`aSR~mK|uQqy4{JhZ+@miyu;uno>i(fV_CVthpvv|Gn1M%A?lg00wEER7wEiL}g z^rZNG(~rcPO}`RRmf@3*QfK4?{4l3M*w%G7$Ol%-9AT zDY)Hk$=>d^6xzO;6xRNM6yD*Gl)YnpDM!c6QqE3QB&E~0Qm)S7Qtr-!r2L%+OL^Z& zkn+7z1+JZ?d|mQOkzL+{>lG=oYi22bSAV#cf$L1EK({7RboZQ6O!pyD!R}+E*f*b% z;(D%-;(LA~73_W}q~0W?yN+%w^KTnSV)(X4RAy&srfZnRQ=UI=iW~eD-J3iaBkhm2-AVtLHv1 zy*sy;v}W!MY3;nT(z*qrv|+(yY2$*8(t8WOkTx%zD{WcyytH-EztXmMwn;k{SC*0% z&z5#Bc|qE>w6?T+>3nJLGFf_mS-7-s+3V8&<&C97D|$Ye!A#_KqK=JBcf#Ka*aN{z{r5-P;)=-QPLUChTf$6L*)j+4lI` zGVS@omSyihHotu~Th{&gZ2kvgZGi_$+JX*tv;`k}(H3&(md$>6xh?$gaa+U(t8Lki zykg6KWPmN#(RsE!$C7N3AFi-Pot$cmKHbz7b0(jy@R_!@*famw;?8!k#ee*@t?3O2!EjU16n|K8EE~17L@HzpbY?8V2&uD4Fp<94jE{J zfEJvi0ni2mEi^|0(1rlbp5rpmh5{`-$3dVC16o+lIG_y&TDF|wKpO$Hh@3-!HWFw# za&`pTD4=D}c@}7+fu`i#4YV;p%cR&s7p=Q-BtoD;LnF08AvoNE%$W&*8HuJb^f1+=(a?*nZ%&|-7v2HG5;70I0$Xmf!UpJx=%<^io( zo^C*!5456rvjS}a&`RXF4YY+oE1vfj&=vu$RNl{k_72cW=35K2#Xu{QZzj-|0IhUn zN1!bQTDiyuKwAd1viU0jZ8^}&=Z^;33ZOlczYEY-0W$iX!b@2ehhDq`m8bRwe2L&^7?=xv1?x+X%F31%iS09?)Kh z`WtAQfcAWWBS6~>wCV*m0c{J=UW^U}+E$>|C~yyG+ko~`G?8UH&}u~!S#|)eX7oUy zB?7HZbUUCW0j+lQdZ6tDTD|DmK-&ehy3u!lwi{^mqrU*!9-zG(LwsW|&>F@N-*_Kr z4Pp)hZ6DAY#cTxHexSV)1Mw4n0BB8Ot^n;I&>9y!3A95%YgTXv&<+EwX`wAZ`v7Ro z3oQiN5um+VxEs)p0`0ZJO@Vd{Xe|npI*tR)QJB>6A<$YDCUu+uTI<53j*~!Z66XzgM<0PPIW+QuFQ+F77=h}{gdkAc=cE(B=jfYvGYAE2EF zTF1ENK)V36H{xCZ+C`vsj#~+|OF-)yHw9>yfz~CC$Z`c}-Q$QXp8%~}e0iXK3bZ%l zqkwi5Xg%Ub0_`)P^@{Hbw9kRoGyW9Nt^uu2d?L`k09x-N*?{&X(B6uF0JN`w*0)G& zpnVOr{zYm5?Hi!=E3yt~*MT;$$Sk0J3$y`6{s7u{KpR}-8qmH6+MuG9fp!CELyHy$ z+7Cb*Qgl4fegxX^qP>826KKPVo&nlVKpRoUBQf(k(8d(|5oou7Hon*epxp-AxZ*DX?GK>6UA!dF?f`8<@!mlD6KIo)w*=Z< zpiL~k1ZaN&ZA$S8K>HhLlZzh%+CM;>R(uQ4{sr3962$-R0c}PJ;(zynHoe3}p#2B5 zSta%Z?SDXc$Xz!Fh2Q+`6EiJtlXaPW5QYIQ`GSHTn z2?AOm(3X|i0JI>Wtt>MKXu&{RQRaU@3jx~dGCuzyL}3JQgVeZmXEnsOzCyuyB= zzp%Dk1tDBG0C(%k0a`?aLWvFK04*ZIpv3xT0DU6Df%e`rfIblsK--uw3251XwmIP~ zpk)WzrUb|k5jlXiH32e2L{6Y>shAgN3edL0ZqKNQTtM4au{+Rm11+&)Goa-G+K!3~ zfR-0%J1dR`T0Wp9RRXk#hy>d1N`Mv-`GK~pawecf0c~%kUx8KtXnSfxHi(D@+P<2Q z4I*NI_I|D6Kr0Be1GRDjtq{=m*BS=2!azGzt25AIfp)MKcw$5x&_1XIo){4iw8OO_ zDk6#i?Px8Ciin~>J5sv?(24==c0%#{{F9ljjpnX^;Gtf!_?NsgG zfL0o4C+oZlv@$^ZsLu01D+{#KbtVF>9MI0z=?k=HfOe*CC7_iD+PS)gfc7lVKCYJq zXcd5Vq3-WMO90yW`h|d25onj{+ksXIXcz0B23lpHU8$c0v?@Tm+@K23ssioP2C+b^ z2DDEa5W77Gw9gt4yFCxIs|_GqMZ5sCYYiYfT6Lg(+3-!Ey#%x`UI8?V zr~$OEUja0Ws0p;M8Ub2F)B@V|Mt~L(wSo3cqlG}L1GMiNjR9I+pncou642@a?M9;m zKzkWz-#4}ctv=9xZ1gYC8UXEw|2JwMP*I!!A@<&|cTo`=i7_UkvBVN|UFfWgTRHv{)w#`wHtWd)mIK;R);E zjyKKr6^v40J&k&%(V@Srm&~Wb`?B6Lt7EXNkId2Go~*CTw_`V1w9M79p{$?G8M#c> zU*;bN-!BAj{n~PBvPW zt6Ndo7+KzKF4(HXKOvP%6r$(G8h_Iq8nOjf0TYgvk{djFcT<+5u1FUwZQYWB~R zt(4Um@TY8*toDF!WUFPhV!~x>WOZYLWNT%0Vg}3B$?C zfvn}QBeD-=E#mYqnXrAb)^WvUAIVz9@w(bCYa7Sw>SI}(5uBF;vUVdlFQ3RFMy!*4 zD(f&JNp?`ye&hsMrYv$~Z`mPP$B`Fghhl9yEc0|@CKDX?stn;V?vSYGt zqt?id%ep3bWuMD>jJhj3A?u!SM0QfvD`AW5l&ojMBiU(LpM>vaU&wloPL!RIMUU0ImX$fnG&WOrrB(|?xTlTDqmL3Up@ zeMYkEfo$5$_hf&_X3nf6`%^Y!=0VwCve`4&%O1*R%_=Q>B%3=cSoT;pXLbSE6WRRP zmh7o)-kfo=XR?KJdKmhuEn6_xV|Z*+ws_9(GE25-o-Ol{EuH(9%vZK#-Z7a&mNIXv z%qd$oznRP>TQR?~%ulv_zUIjGm#vzwIkE#}D;MZ~ZMSUA0`Au%TfHz+7ARY{uz@T{ zwsui2nOC-9;bU2_Z2clVUpqv$X_20<9V*+nc%&?cY|G+KvM|}^C6#2hZ0nL-vX^A3 zOEqtHPTBS)nm0R_Y}?WfvfQ#AOY6z<$kLW(%JRx~F5Mu@Cre+}MV4Q-d)ZsE0!saYMiWz>`LlqvZk_MQa8)qk^Q{2 znCxBIZ(IFj@5z4Mx?R>xc5UliS##Odtv|}%m)+QUSk^*zeOp~wOWCb$MP;pIH@64L zTFY*4yCZ8O`#tR?SzFoN?GI%UvO8&$W$k45)1qbVW%tr}z8z$Lr15+^${y?(DvOl; zwWFP^lkCqO`i!@uWRG^}Gv4kjdzj8^sEh2$4qiiDWslSKUCQnzdzP;6Qg(OQ)AZA_ z9`1O{Oy4Q%Y1Fffo&K_3GN1GtvfeUlr#`prJ~GEneQw!(WxhKP%c5njottF+WX@fs zWc_9SyS%aiGQVBCk78u*UA&J5$^v%nk`0mt?ph=pEc5IxCmSO3?#>~Ll?CnIBpWIV z**#r0OcuOnv~0L6$DXdTI9cf4ma-8tdvA5wNLg5hK3DB{Su?}CyK~s z$X-67?^pIrS*a8Her3;+g`e6ln=LDIYL#q`tn}&JvbnNyr=G~>$;zJoP&Qvy;q-FZ z0$KSpi)0IB70-;4Et0)@=ALY^tn!(QvL&)gXG_SI%Br3XkS&u{IjirYc8aX}S$!9^ zm&>Z1TOeB@t9fpuY^AKm`9Rq!S?zPTWvgYi&bN@Qk<~q4O}186=Yqbo+UsQXF6cX} zyovMz@6;YgH4gL%m~I3IT-VPHBRDvB(8Hid|GA^u z`X#reP5i@4W!=y2?C zM-H$v><@H@oSYnbf;>f6c!qt@FgUKxihWESXawp1!A6Mw-k!s-v;OX$OSieToL9H` zbX!2T1$A3kw?%YYOt-~#TQci7A^JOgDWkOZD62DFPIpv6x3B89l5Q*OwyIIxsG;p^ z8Fh@hMsEAK_OlFs`*Yp8wLU;U?m?RB6Ncg2#;9T*FibPU9d7u!bGh@o3%U!r3%iTz zr(l-Vao2O#e{S_LZGFdm_qpAbIo*G_AL{2(*1lQWcpU%S#^e9OHi6k}6Y{rhbX-rK zzb)&&Jw>wFr^E}}glDr&`4_gS?WyzM=b%y6V-D)@&;5d;{=T0(SI|?h@!$P4%G%Fc z)?4nmmE(MPD|)MVEB^0%xa)WudK*38rV;zOb9p1ZQO_;vKi13J`?>YbvO3e4dvUIGAEUC_ZtRQOnHk=v-e=E`_l)D28Nv1o&kHMHoV+3Z&XqA2ugqS0)eZ5+>Gd<#`!v`NE*M-gI3{>_aD4EX;O)V? zgZBl08hj+g5#kQp&_BQ^w=7QerKOFjF1JU;WOUT#M9K|!fOTw1WYpn zbGX}?fi6aYBv|oG^1osRHVCY423Au7l4b7s?nKj_>K<*n4>HOmhgm$y=oFirV|3nq z!SpnAE;j=*0zNQ3$vV7e7MV*Hkfq$mO4fd)1m=_l<{#Nu@lDc13Ah??&E$j740sao)O26s5hU=a#xt71C_>wL`g)>G&pVY7~&@3Np-m&c}wCpA;YkUEzjVm{Ac@loTVyNeR}LWb`tlR~UtpQlvB~L&}nJ zq&(YIAg_{&SS3=KR3TMKHBz0_AT>!X_NYzjkhARS2;5=lCdDAJj9 zCEZAO(u4FQy*N~F(uc*qB%1Ui{mB5<#xNR429d#J2#F;_*<=`_;UtcXAR}23Pe!qr zKt{7ThS69uj*KUXWCEE;CXvZx3P~bUNivy6rjr?DCYeQMlR0EAnMdZ61!N&vL>7}J zWGPujQpj?$f~+K~$ZE2NtR?FdXFLni z$-lYboZ?I}oa3E|hOx*0tYNhCFQjizT48MWyJr|tEOsTS*j6l(#V#a*#g1ePxxgmJ z$Vu`g`GOVa$XW6+c7n_x$H^&jfP79)lQV4G)Zb+oZ~5twF7;0_j3w9t)_z02RZM@G zX|Q58c}$*QK8ka(bEe@;(SP*loWW>5IpNerav0mKBbzwXX7U@0Ka*8tH5pG}i#Um|qW|Pln@nJI zkI`i^n#F!(C;1iIP3E&Woh;X)>BlIZ9AfQZlF8y25=};t&sZ^*(f4FM*+4du?^v6_ z=m?{6jQ-$RPG&Tf+-1dFMvKW`WD!|OT4`g`qmk)mu?-_Hd-P*DrC5dxb%nI)}#$-OCtQ*8Gh{kvXks0yIHY^>}4^7 ze8A#|jP{X_$bRxMIY2%kpOS+llN=(4$!FvUIZBR^<-E$nx4liVPE zS<#G(esj{8D}NKk+-2@ItWd?LIcZJWlStB)^dP-SG#Nk!DOOHKv19}pO%ll@l1yfi z`D77EQLH?SR+2SjJ=sK3$##-Xc9RUUU$OEtI!F$az zC7fs9D8+h(5hvTX7wJo42xr%qv+K**^&LkhkR-(_&1f2#N#>A+WC>Y8R+DvPGuf_K zpYgbA=XlMc?QgEQpd`8s&?4jzUh>!39l)g_#4#~X@OlhNCx zF?okHBb<9jYZ5^^kWQqFV%1^PoiGcIek6u43l3(%F^Vt?4qoGqtXXKlh*@yVCJV@7 zvWzeb4ral@EI2ljEsB-(__ndQlkh@!>?5C$O!66FJ{%{=X~k;F=q$NFz9Qd}OXNqw zq&R*fHwlwsHD~mI{6(HBPLnu^KVedwOo}s%Ynqy;e4cj z6}kLf0j4XELz&vn_>oVh0emvWC}seofn*TbN8abtY7V1|W6H+hit9^XhGwq-mNaO>djN(l(t=l1V!4 zWG0VElU#ZWsECLth>ECyiinDc3O)c|D2R#*sHli9#1|@}0zZHJeEq*`uk+aFWH+}e z|Ig>%W}S7`TKo0gYp=cbnR9;QKi~fghG87r`6bh+o6XI%KdNQD`x+6*lgZ;Cp$1u5vetkOMy@{5)7oP(*yge4>XR1%xNw@BjnQBzToI7`KJZ&re$?fUFu!#&2KgP5KR8VCacQTuF$jJG^J~E$QTa_S zKd3ydm~RvJJdhPNtJ)pQsqB%`5P)f;NUsgsQ9Z$*yZ=A{J6`XRQXjde?#Thxco-;SB%3t zm*1oEce(sYmA}{JZ>aozF29le7~4PS@_SVN(=LBfTFavoWJ zlKJc>d48$#>?bAP@{=q-px9@B*eLOEn)!q)%TKcWSyz72l9%&k+~semeD z6n`5%=JH!rez(gXvG}q+Czvn$N9Di7<;N6%8$IRPX|?#$&KXzUj-zD1jk)r9RsK0w zKBoBLs1!b+QNkaKsEmLWmbn4WiyL#eYRP{-gQUW5s`rGmifT$gUOtF`wf<$+zM^=5zcf z`BwbLe2)Jl--`d3&+#AIXMfj2abEP8oL8q1|Mj#g{wvz;%(tEqi_iFAzHGnBe~HVF zDSj<_%H_AJ{4*|pMCFgU{JhG4&gI7}KPBsP$>q1I{A(_MMCIRf`FWLp+vUeBe!NNLA%kZ4$1b&xUFL8fg7NfnA&4pQ1z z9BRyRki=IVWXyGtY1Ki-TnCwMRUBl@b&zS*LB?DMnYJCItdHd&W&bFSEoM1L;@b{V z%3BUn)?aa)G0Qcq#J3%!+E*N8%yp1y+d)d4SPoM5kK#CE zi;m+=1AB5~E$x?Geyhq~cljgCZ>0@l?1AJDV&j<3tNdSjxqHLfp|_HUi&e;pF$fQr zR(I&Js56t&)(%}pN-^Q`^GakJ+wSsJj~;V9dfN8rvLh^yE(cgy0b-U%mmQ??Essuo z+oMZ6mPaSP?a?LQ^61jO62Zm}yYs=EAZ@PzQvQT% zC$HMERsdOl%X3S4YXy+@RlcG*@ZnWyb=_A)uiz9Upj#uvON`xwpRAFBbU`4Q^t}-+o7#I+dzI=1GIg*G~E+j7un30W<2WwS(pNxkp zU#QLwr1BHvhlh*jLZQfTW9$BO#nNEa&H9Dw9kBmGHS~8zu2yH8FLmecr4B!Z>zc@@ zIgrhYisPNt$?8Zr7@3bH&6}MwwbhM1v(1&kZ98Y5ns4a3J2cRoOr9BdVs{L7gTacI zRPNl@9C~_g=E~SpZP%O2E4@umJ#)QxE+0;&5AE*0n}2@azHD<%b)<4nu(={o5f4>Y z7jGtlk=->YZ%4(I#$>X3G+^R79oXJGckW>M>XDlrrTp{pWb=*g=H}|g$=PgOJXV?O zxDY}dbfr98d9x$7vvRd#Db5rBpqY^Uxb0@&?Q^Na-P>-o&n;aXo3FZsas~q^XIsVW zf#&3ea|5*~ezn-t1^olZT>ZGKe{x6nk?ZaG!Bk6hU~r%-@bpIEQ4Ci#g%XjOT^mEmbSO}HZijiQbN=4L(a8L@)ZMA^mTQCQfj+MPUaJ2w z)Iaph!Ij}{!EnXH%4hdCHV>MS`S?=cddF=4!HV+X-QDH%T6BkM8ccePiE+Wc9^? zL!pWTv21ovpe@;St<=!HI2E5=D^4E2J$X_LFEsQ`pMQ8Sg7yx(?d=&TPW9cMI$3_I z@@Dt!)rT`{M;kkCPxKyJAKQca?RV>!jdYdoJzQBEZ%Qp>s&1}{o88kR4@c+6Z?&%u z?tO5O+FR$=H?6I2jTmm5Y+oBpjSW{qe%Is4&*jcOc#7=rwdLpI&0E+R6cQ9h)zr+=+34{w9169k=k=cqkoTn7{JCU+$GElzZGQHytD#3=~jq z*WLN>Y<+Q8_uL4N>y+J&+o49;j(qCyy13pkb8qj1?2SMI|6$yK*Dwk~9M3e!++erMx zT4Ay)2mGEn(%3hR`y=-Kr7^Q=q^@c0@b1Rj6IEhtJojll_T$&nK3hhkR zRo?8((L66TKMT3eLj&o7_28b7`C?<&{gJw{bT<2JMKPYeP}#KW_R!e};nMED+j-P~ zDdX1v3A_IBigoyb+~_oLn(cRS+S7b>VY2;h_J!I=so~h23uo#6OCE7QC2<~@Hr@M2 zZ2e%j?BD7=NwBtLunF3P!&`M5e7$KS?KBG%vNj(3>G zbN`v6x7z0?uQWxA4PA>R%-h1=6zWx(a@$|&_A2mG z?ZL~kA6F-@JeaGz*;l>*zgWE0vBGkRE#!g?M{f1bv7B(_h8%n0^HUwPeo9=%=9PAY zoOUc_##_4Ep6H(Kuc3NXxptE7_*Rb7{ACC@snqP&dmHM;u zXCItCdi~h+-Pqe(vPl;jvqc#b*sHd z<>%b;YbM7JL$9NJFV(VMnCxE3J*=g1KV;j#9omrgt@V3;q8IBcaCpha;e0%~Z`ZT; zX`K{PsoP70zfl_(djfmx`E#(`wQX-N`G<6J%)Z|cu;$lH_JxiHny=jc2Dkptwno}- z$@z6{VXAK-|8S7%m$K>?nhT|~=cYR5`m1KUj)nuFJL%?RGCJ5&F3N{@b#r^3+ti+9|JkNP^Vd2`+@2?G`xp-!54GKGh%;foG4GB?rE7mjnfzpI zo4cMne)3vp@kU(>s)7VoNsy-JA z4h-CnJu{j%rw_sp0!Q$JgS7rk9bfIgNd5AZUGMfF;}CvsKl~m1Ami{k7bm=aVV=V8 z!cPF#RoA)vyzED*LvqB>zam36z|D--e&g?;uX)h&a4cGuF_Qd+!XiIZM&b8c;#Se57wQz ziiU-$-rJ+S-2PKm`(p`l!IW{s;eF%vP*5~HNqv>GrUg|-(4fEp4Kr*>>b6_RbR)M&?FLeE2b2@o*U@g=s_d8O~ zTL03JlX0oJuP2bG9-BvdftSkY;K0CQWVEGqTPRpjsM*sH2n?=9ZtS?QZ&z1Ge~pwY z~-Av6P-br6j?ms2h6^dgm z|8Q2U0WWB0uG@*jOc{rqrnoq>>sVLYF5r*s+_rJo5ZEpKP^t|7cJ16vns=>;yV(x| zA2oy@>4$F}o-bbOE6vcj4GsqFcH9odWPC<`_HbkO^Zi%K^|Bs257_Hy;~}oc@r6CT zw{yU0&Q^=se0u#2;_vmV?(zemm+isQq( zY=7JzPs_Nks_77|`-7=j#BbfJ{kx7)d$zglsdnN`itiQ>msVZp`pj^BE+mIcSs$^t z3-PPyTDZ}%+JE-&zUF=L>R9EGYfD3+P-3Dnf8LDFO`fIrJGs<9VBv6Qr@f9NPL8VU zc(^pl<@ndZ!&u)(_X@-@ouzsBpMCqBb+k7)VjgOPKN~&?zkEHl+TUA&cnNXL&YV?F z>tu^5_lp#dF6HW4>Whtix3drTUmZ*jKGEFRxKV8G4Znoehg*ZqwFq=`%_oBU<^H*6 zWwd6jtMXR+($$L(PE&uLvHNpAUcDdvlRbNQ_{a^EyX!<`d`jX+T}Nx-_b5)tO;oj5 zaiW~h;pZM@C*IPqAmj1Y#yNNV1>sO!Pp%F=d}bc&Z1|e1-z@i|RV`ol)NOM_RMt}PJGFCHd*pYY>58$R5Pbsq6?3*=IFs9wr?F4qlly>qQU z+%(*_`@|gemvTQok9|+^S{L#_qB?l9WBTgp=j)E1BYPBYcb0-9*{eq;kEi+%in1MF zk2h5Z_9tmyhJ6#_R=JPEzWb;dUla#&x*4rdMj$P>CR;CO7(>cHOMDjJ-pEx z42El}IuA9U>R8CVP{FYAmFabH%M2~S?;bEKHu^6< zs2jg&MsZCz#Qkb{Kgai1?EAR3?l%K(iD2SJa5|gqu9&NPHcW9rVrDemJbeUl!IF#% zFfM1X4v>FuFaucE1M#GpY0m|#QU0##Kx72@GBdc+UsF+=4#4kGd(#!TMt#s9k*OWS zxW7I`_Xp7ang_>^gahF*%3IwUoX33JSipRIg68A3)WYC+lNE=ErDn{(k%zk@2h1q# zvnXzwo#eP7@C4!+8TVGr*3&wQdS&-PJ~zIjTxjf?o*zfO(yVvh)oTv+j37?xEzcmH zsoc|-M_jSQdZLW|_fnqn2P5V-jGtVmN9rohAb*t$KFfMJM-SsMkea^nko>tB8x9vF zuG68$v3XUuE*i~2b(Wo-??VxA9;RE zCX1Fo4a6$N)v6IQF75B_y_>HS&C_(B_e0IWp~m_nH{0({U4L+}jq-eQy-Wlvk)21L zEnKX+ydynC^_2M^+7BRJI59mLh&RuW9geG9ez-A(`rjT2!%sEz&dt}gtdAidfp$$7 zo4RKvPd0VYzHP9^+UJFv_5475DNFXTZnv4C*}>ze-{gtrV53#va1Zqp@>dnL%@P+C z*9Of~RoJ)p4DE}?V~sB)s{>Y?L-8Q5FM&#oyV%v!96Uhd(Xqt#!xW!JN)5f!r9eDw zjc3b|CwhPbalw0CQH#8wjMIlFpIrjp8k)V=(F4HEWa{wRk;X3MwI7S8o2|gM-{| z!hc-KiKY(ZF>C9$PbJIqh;I(0gG?N?7*el@(2K6*V_n0a9o zepSXhY4XZvG09MQ{tRy*&}zA8{}wdcmkma7<-7{wo@N#qN|BN9i$ zSf7BK2=UL~NCvhMp7tD{PKSEreZ)r%<{(Z?g4)u$9$T(x^Nxs zx8nu)Z#i!Un(8S}lnbBWdE;KAUuYf%4;@9EH+V}VY2Ia@#=dGQxtiPQwPVeaub2MBy#_AXo*myEO?$6VuS%4E(Ap1Q!Fp2VxyF3jjd4Pr zjQuj=K)H@xJ27MV@3|>!{VT<39pgCis9gVWet~=g?Qh{%&mTL(M6*XhvGlFTnY+)eeNcGYK3omiQ;hI?#;%^5wT0nuo~mBd*=swc20x;3;Yk=DTU<`8bXf*}xvGdvj&vuPwilPGJ0% z^Aw63Eq{N6%5me{+{AUo?{hwX?#Qn0S@@askzJ|#=O1=lrTwnu_wU{~ei-LHnAfm# z_(oT8v_|$L;gQz!`;!+Rgxe*45D!{;Fbj{?b!`LV(Q4OO;ITsb_4~*x&H=ym&E9MB zlM)XF-~{CzU$~yC3pFl8gMm{ot9)6Z+DwN++k%a)r_9jG0`hBByE|5p2db~UmAri( z@pL8fXXmT1ZmV`F52xCFxO=#`8{cDEF^JSa+oVwD+I!9f(WFZv<&Se0TWb zgSo0(GEQ~&pJPqf7ubH4_qCQEb@sWd>5yC}rn3)&-RI7k3G`oG#AM4iv zs)rTFaQwR;`)hLt)tCJs%^TnV{ty1C+JAnEd`w*&Fp$ zSXX79a!TTzIh0WC!B*3$#AE^@u;XdcLV+ zIyYzesqp01_XBu}1@T4y#lu&trV_zzGlA*s^qy#7@6O8u=~I0>t9yFx?0W+90D0%V zebYno`Gr#_x4krueM&dtA?&YjbeDF_emW!_1y`|dUTYz5{k<;~G= zH-gB&){;p@1@`8oQWO+0!{nHY~i^XfH>3Os#E91gT^~jgtTF8AA#cxvH z+E-CNti<=_zRJC}`a|X)rC;DU$h}4!CHwVwWfS)6;R~`~hf~-OATFq_lzB_+U&)VB zzIHeH2lPL{hrBBHKjML;j6>FQ2a(rlz&vfW;u7SQM8*_+9@c^99R}fV-13&x@-Xk* z@&+4}@-}?sZFtLj7W+PPl-;r4Wl+kcJviTxqUPfj;> z%?;u_TkdDV*(CNG(>XlPKF!(P{$qRg?ARLerEa_)HUlUh>!ys`Wc`72JZG@uYUz6W{Ah2}RXi_1 z=K|_;3wP6@4Nu&}`+em7K58jj6PRUNkW7rc+gy3p|4%@psWtvC+l$oRf=vv-x^ zx+5~43a%IT^wIe);c|Kk{*Z76Tvp?pocjZ~td{N9v)6t3e2~5FyLb{wp{|^O>#@58UWR+>3J}V*$zu~#8;iET><@3n1Z7Szl$Naf) zqctCpm)q5K_xwJbAM83dkA1Fu&MS}kgXaTR`j3m@Qe!9ftIc{o`a}PmQEfwWnDP$6 zYk1zGi07E7J|)EawBMz;@8GuU@|?0>`u($rTj*RHeuQvN`)2sBj%+APap^rg2X^&n z(=NoRa-Q9vz&Z1HWh3ufZgs*R?x;YVDDw{3M|0jG(>|LHB}sp!zl!s@nE$jcZpi#F z=c~yNHCy@Bx%n%z7zdoAA-`a%`!!mRDS!BEwtitxAI0J1ckw*c{(3Wnd@u3{*dN&Y zDUIA`Ab1kR~C*G7@YweuL~Coz6j z9%G2}g>l#eUNJvf{2~8q-^cieP!67ZLjHpDxbitl z_?a;6`{g;_@I<o#(@FAN$&DxNq*pzyrD8;r)BZZ2sX&1m}ty!E7_dh4Q=(@ylWk z`+UR?r7X^=wj)1^@(-4#(EoK6*UlmC!!_1X%5(Aa7^4G+=Wz~-Ys3vQ|K;b`HXy%e zJ-^T~m#r%!&ZKLs-^@P*f9&$7MUwm!ok!5Q!e}q%7p~E-%{qQAEc06{^EgjEva4rq z3g;&@Z_**Nmj2G4etyoEf4B3t$QL0l3Y~>NrgIG?Pa*decHZ}ydF+##gC@)6ww4PF zV!Y+^7yf*Z{X7Pqr$F3h8Y%mC{6p)Dh5SsuJXl&-%olR!7t8rlaizt3IatW9tVE1T z{EB~Ty@;{RG-@@~>$8v5yvv{wZA)VlCri^a! z+%GR@7hQpM5(wj8S!i%sUgBTAoXyN)teu*ymh*+SE7?MR7PgOS*e5(C&E{6hR!JwR zGV5dwMd05s{^cUprs*=@j_Vn`HpF9KhTMr$*D44tuOu_fg%&eXent}SFIg<#p<-+a zhY^{{E@akn=-(hH)2MVB0SACOrq$~c&V}1>Zwm{hEUFEY+~FttsGTuc#xfiRMwV#I zyv#Da`XqBdTfpm79J46XEkh17>DQ3XY_^%*nWnXT~V;an73n*{qUTGC5sahycGIALkJqc^>rDb39RSm;UIoLN~$2LX4PQn9chry00+33F5vz@C~! zX4dK5K60SwYT3D>;@74+ekL3D0ozqgZZ^uC}(C$%dm`bL>H@2-KkcE z%vm)_%;u(7=QDG;;ta-%x*I+}C*7Xx8MeC4y=IjG^Fp?99vG4xQ{`O38_dIv)s1=A zG+rMGUydZa);&Pj@dbl4&mcjaJiWX~t|E`NQ>PY7WsGzV%NdHMfyPyt2j1q&p@D<3 zgsASW3Qb1)DkITIZXiFCowI#pzPM6$N(kh!K-1u&4`=f;n6K2K%5@QVqXO;wxFmzL ztjweo`WVz4UzjOp=dEkUA8zuGQl`r5|28{BDYe~;tY!37rF1c}ho#7FuZZl?3|woj zklmoEZMmp>nB(^3*x^-~$pROcKD!|6+?g(6-Oes=*cggphG)vT1vuTOtan#wV~COB zY;HX_JCR$$FwZ=mfw9KMr2}g#m;&y8?n97cdO_K^u zaaYB@gG$pK>I{n%($cI18SY5K3)z)>B(~k_nAj%P18dC!+I<2P=ShRooD56c^@&!E zZHvqK65O-x*nx4yVP*1=P_N}OA=eD{epXjn%Qjk`EpA9-=n`5H-KAg$GyKcucCJjmI9JHe-;twP?PIM?(`qfd(-wmd@G>&FxjBH879;G!=I3+E znT2e5<_@hWJBYiuu$o!OufR3W7qLpv3_^vLXR!)M(BT5hEufNu3#D7DdFz7Kf2_JZ zWiX;3u(HaKf%?Q=T6k^WhPap9uDcldH~?FMdOnxLLO|7s(Upu{P=Ci$5z}^IR0>_Y zeOXDDS?qM~=Qyl^1Qvf9V{+%TloK5#F&cdZC@5bpAp{zfPQxbg0d>MOwcNU9pe@e8 z9Mu_)0rzkTt$OmAauJcsHuhYZBJRL8xn_#=5w_=w=p=NVjtLtqnbJwBOh`Uy*>pNb zE*4hM$-~DYDc93)7O6ayzLd_K8#%2sF>vNmCVlGE#mpJh#=ROlkMiWbix<bfS8SZFRO6K-J#Z;KoH>1d>=c^dT(h+ubWOKXnUV2RL)T;_ zEHTMQ$~H(f>zWF3uT#GJsf_o2hwpxeydR}vxdv4Omq*4+iKeR=E>Ru752^x)vo#Dwe{_?S&R^z0^HO2b2XWs@9g zvWeHJ;UVj?Nv;ciN3Gw`czR%L2sPAjQAZ6IwbXD?PYo9})o@W)4Hvc5a8X}R8_uOC zM*aOsJb!-@&)=WK^Y1|9; zUK+|=9XUlaj5%@)EDjqO8XUAm5>knW1`v~1r&SHM!E=PmWE5Km=D*@+CMG~C+h^u#4h z+)G0jFJ6A`Qs&~&sga9AgP0MOBlr!_nW0RIItg{#Ze1&VR;9AYQF$0Ni>p-$tLIch z6Pc@N)T!1M8%ir~&k-ap_n2)@_N(Rnc38@i$K9(Itqwul62-8&4YY@>#=7YpG>gf0 zsg1(ojE!d|;AZVg%27l`(No(j31XpnG_Drs{P-AJYpYBQj-Semq5oY0c4&4@CN8Bf z!BcV5Z7uS|R?F>fBbM86d*Fym9bpd&s`6ZVa8xq9*hiCW2DK2y+n27@7&GPkKyx5q z_Zwev3uWVRkM%5+OKAn=Y>NAWl^w)OQ48@*OT@=VPG1JTEj-&77M|@(iRbE(ap2kK z$NfC=JANMd9Y3!_!y~`rmm|O9=aJv>^T>~@JlBtJ=8_-Z%q2g*nTvXAxTvXyOMZN_ z1@hyYx#Y)HF8NOK<9?pMKS|EtpTzU`C-MCKNj!gl63^eC#H0F+oll?2Tsoh*JTa7c zZtU^|>Jk~7xSWEQLm&Xk#f^Po;l{p{xT&Hm!sz$_S)|0ghv9g6Vox9-;)Oz9T~a)6 z_4xS=*Jxx8RC1SI=KO1+O9X?5QE; z*gZ(OOXn}8$H;MG?{ihJVH$ho15 znX#c^*dM|&R6{Qd=aa1#{)0X~a!84_<;i4UtHQD0FV6A^XoEX2LRQee-TVdY zAo#pzd9_&1FXY;A9=AG!SCVH7gGgOWAnh74e+jGLbg5KEHZ8ko8n07pu*LbCx+&me zK9%E9ytJ{H+xn(jeM{A@V4pC5+5DBb`9bqT+rs9Dkq62yE*6jrYeA!5taVWSi1}+Z zhGG6X&P$OYpB3gu&7UJ{ziAq~edkR|2vld()=sxoxeudfWEv_njOr} z+{s}k?DLP)CctCTL|6ynyB=LW`9NRKL$$$WdFvZ7Kd+n8aM!yx1A>yt$o6KIqvk(( zn*e#McAz$U2zdG$%16+#(p+hICYQOBEtI3?m%UaXW?3NxswiW{@-tW)qI1hRd@P}G zFKYfjuP$y{x}Y}eVhut1PG&?X+d)Z)sQF)B6Oge?fZA*VOO9(I#U=t3UK5b9On};K z0xOg}exU=c3=)LVK+LNT0ZSj$X8mr=JEtGz=~-^Qj4wq*165u-5VY)o+H9u>6Nc=t zHm9Ydfm*K(2w65j)ovP%B$ob=Ow>gK4OqxjK;u@_0xL+3E->s-z_qOaQlkJ^c@%JM zD}dA}06`uFT-ypDH41=@M}g30wE?6?0U+@x;M!IIsZjtVJPNqB6+mhffB}yJu5AU7 z8U+ltM*-Ki0!WPlM%JT%Yg<7(=#*6CAz>DpG%j&#a5 z(sXSrXh%AQ;iONnus4#ks8Cu%{&{UW8bGM$X`hP%Qq!~#L%j`Yb502JVRkftU6aR( z3yqW(8Yzr_hz?>GqXC3i9$gnDB&Df=4<#uVB}8fz00qYzyNCSIz!hJ~E_za0^rU>~ zp=-P6wdm>ap@*(51tK?BwZn%Vy0#Ux=;;7N0=Z%|fMr|lMdI6vS`>8vDlx=L#SA@8 z5Dnyg`YxzQQd3i)!&9IOoDMB;Isk+qEo6ZupQZ~IlF<}JU@0V}?tPywZrRNQsnG=@ z;?_EdlkaHYr9J~LcsjJ;>9D~=M+?@O^&-~Nz{`DxT=0;JrY1h{bhzLla_LS+4{W0y>AM=epUEAHD9s5q- z*weM8K;-5sb^6Ahu5AVF*mwHIo~~^L?bvtv#-6Ti1?|{(`o^BFZ3XSvclySju5AVF z*mwHIo~~^L?bvr>IMsopYg<7((w!JiItH|7J~pN~9IZwJ*o=D&xdQZaeM*i%5+fphsyg znTZDe%xA;}+i@+}j{Cqy*LEjs!FJpSHoCSIv|u|9`$iVytJ z2|NzqQJb&$47oTX6^$Vu&bnNj5vft|;jGKW8Ic+Vphg8$mkTH&H3~q8N5KV8mlix- zKnOiNn_Y|s<33#%MD6bMJk?zGDV4UrlJpunTx0;Ed|kZz2)szBEk z7>L|lLN`X%qu>rY-O?!d2EE%IbRsnhzCrJH2c1Zbf^X2f-9aZ(qu?9#Zg?>6|^JW;~QzZwiJlm+~^+PNYk~g zpdINR-$>K7t)Lz09^XjQwXL8X=^o!m)3vRj9qAt5NYk~gpdINR3}^l3^dYUzcr^;n z&s7+Zux(8XgC2~v#u_Cfm!rXRK5H&UNKZ2$K8*CZ7$H)l03bANZj5}4P-e)Z!OOln zxG3rYxkHmW16CeoQ$AK44dN`hN^x4rcBsVcoEm7IRRC(&BWg+^O)%rP2?fU{Xq`=9 z&RY*K939X)9l$PbJ?uui)F2*4_S%4eV*|9#29Q`!i@*0sLOx3y4dQW4uR%yV20`l# z0;ygdFdQAwIvwC~r=}7}Fa*nfbC7k+f!3J=AiY%p!_fh)(*Y*EI$$_DpmjO`omU48 zM@NrH%I11>h+*pxttlr7$ar-WJkd>^jvr4+1y4llbO4cR8ipBqHW#V;)#4H&r{HV+ z#VfE%>S0AsXa^C)MT4*ND=QEpDP28)k|2Jb6Aga5Us=IYQjeu1FyZYi1u%3|S0FIa zKn<7YCY-6nuh;OC6Z|MA8pJ-`Yf*tyQV*vj5F-(-Sd_U>nEQUeIR$B?r>h{qWN+h8 zPh`$$T;Aa~q`(g!0oEC!q_NyyMBsrbBABmJ{c8b&^ zk#fu4YATSUo4RrUCa;cyG)gM#bbukRjsh@BA?tMf0HbuV(;hv*C_(HkM}ZLC)RhA$ zcy$zkC# z?eF~-6*!Wru405ZdvV}jI^aMwGdC9v{*&LD0#r&$>#PBbjkd@2)epx*!7uwwDmbRJ zwZ(Kp>vVuiuZ{v*N?z-906?#ff=va6+q_nkDH$6HjXYDOV!6Dt$ zl><aX!4mOS$n*Q)|vBTiE`nNjvh;t z{g&&x@{`+WMT@_11z@D1E8Gt-N|QT<>j6fIahWMo3dc`9qZNn!K=SG+D5GS$PREZjN|!rz z)1!L$g?~y9}TYi#rOz9CwnRGe% z$@N*k{)<-NK;1iJ3M@%O*FHZiDZTC#u7@Qh&qaO?zxl^cPbt|Rt?+O5NyN57w5DXr zoO^0Z3`a)~N=lx~X|MhYGg?vhTT-A(D!S7BP^C1xQ@S3ilvtN%4DJsFqZJSQWh*!( z1zp*Inz!W&4k;P0(*dMvZESPMD+8%$#n<_bDL|y`yv`UvC4bea{u-4Yb*p%_-uG80oBg&FG*VJuXA6LAZ4DZoac;w}Ed6-bhXu5dpj=@7svTn|Y~ z`+FOyz>jX~%JIXGjsl!=^zftPzl?Y27r)l|n#?7jsXywkf&xI&(^Uab@>W~H866?$ zbOo4ONk6?3cS~EO+gx+FX*fRG~OC0h@v9~jSih2cyx$icO20=9e_qY$VRnx z)(@-Rzv#E8pp6b8boPK9XCUn5(lYlUzc~eSbVQ*u=f@nKOgK%_V~)-uq${GsK`h$V zSO5vX>92qSM$*z%0C;oOR~Y2y*%8Wp!f#6fDIIR;YyoWE@llXSM;JOCfQ?ra>kS}t z_?dOI;!}Q03O?z~LT3p;vk#BuQFs{-;gc;q`R=Wif=)Wl(Afe2C2G(k-e2Cs-e>*x z6y)m9GE#Ifq1Hh%tYMb)<~pR>0YI;gi%xk{rvsFEbzBIh+O-f&wfi6_8BX1_5KOfb z1Zkb{cFsby;!FMtxDb?Dx=It8$e8bK2C()Ozcm+xsdg<0Q|-W+1eAno>nqFW}7EnJx%Z?OG6~+JPspjte$zZ=L@bCygVTq+U2*R zU`u}-k)neJ=dqLp`6i`k=n20a1y%Z!iIo3jLNc5dXkA+>>BkQ-934G==nTQz-wJ;8 z2Mj4XF7WCo_|cywr2JL_T@A1S2h)WEBwAVYtokfIX=ua4qb=%%hceq_)w zg42_FWYDRBw;Tl-`XhxDof^n@oXGqPPGm!P62NOjfr|c&Aw|as@^NUqK?y(TiH0uv zY$#_AQdrYqIz#Z3OANcg`U8d(9Ts?X6rku&5>j+n;MGxpqCZJU(XoJ6M*)id%pgSv z0A3vhDEiZZ6lMFpItozqM*%6y=X-S&py-qRDazV=brhiJQ~D`N%X@VcTCImiLrH3`YmF20N6N_v$D((C6$^l%n_QC^(>-x^nzDpgg@(H_$pA!U64x z5GTsVE)Z&jUg0lW!G=DMpQ5C^x4sHC^cnk<`cOI_HidlI11aLPK<`#4C-1btO5=un znS&GwId(wndcuz&O58g-pfx&_jF-O)Lho5GoLY~DzQflQO8P#fPv55~TQ7ezXjxf^ zhQ8ZxMFAR#>#E}i8s+QlCg^kbDN5CQ22RP{%bU7#fTe1Rcdgp;J0hc@AM~42@TX7R zrzle|Gn(i@_w`)S&^!I66bO=zu2y~sD!Ka<(K;Q!d!l^3(_?zTQF`9nV+y$Rx%(8Q z>b*J&xag*)ZvNbTO3B?zTBieGc*>#Nyxksso<2pXc(0Cv8hxHVMQM4jj^du^rmk*4 zgM9HQ`xzXxhJMX&MFEUHMW3Q9yOToYSFuGyzvZ{1phcgSPf@nr+X670p3M0tvG;a(jD8Tv$dic;QQ9R(Ts ze0Yj7+g=?72l}*kiZa+<9R&ya^md9e)m|MioW9oMz@NrWDQRp;Yxjt*#D zg#i%z_b4bB?`({QtNleQAfnW_&Ws;Kl*e|82CdTpW)kFHxXtU)aHGFq1y%YacZ#yr z9)u9XDHyb_U_YuTeeLMzQRPo%r<7E-q&4MGMj9^&#d|&}VsKth84W+>t1}7O5aP)Ut|8$#8VEeyfAh%<}Asdc!&^qL(X2!@egFq@-<3 z|7?7R|JiuSaCG#5^(Uk|l!UaTHQi0mxqBK)45u8>Ivt=#9wSggt#{j_mCySvDS*?b zpgUv=TKScVWH_bk0q0LQcM!wT0UcSmcagr}0bJr$S@s89()93-G=Wxjn|tBdgK!mm#l;qbSj{q)M?!Somg$$3?nd?!7< z^Z2@t_0@gru~jl^zsFm9`;}!@Jw*78;qRpCz6oQ2m#`I5c;*(pdFXoU2nN&Uz)rQ- z4(^Nao5OD*-M8Ys+}V{vsfeEkRU8^=g-ed`^=3WX72&sqe}MGfj*iI{;91Jk@@-Ui zvMYD+NhKEt`tb=Y;zi)qenk}ZjJJwg4zmdVNcf#pi66yLx!)FEZB>{BYPI|p5q>wT z{047)4WB$T zvT2g?+w&yqQiFRs&<_o~}p{{!I8UsLgn8p_b;)OsP1R zpI=?3XEHPMYo>9}Z4ZC-#j&R>6An)^YFpjg)B)&gxWO8Jt=h^mcBi?e3@t}sF68p_ zYcu6#yyU4=kTXNBw>FcW=#W2zB}M^g*r90;x^DduUHAWc>qPh;!k?$U{zuf!=@q3H z<%FVFEZ_q_SU=%sW@)+u)h-j@`y$WPFFE4;jE`xYaffOv9BA(ORwvW=ny->6cRp)M zb^1VakG>%gre;$QL{{i4NAwLBt1r047|hF$7)*`DtxEH?UxfcH{O>eU{~_m|+xr>H zoRUj*1__)N5i#VDM3BV6RHd8NV^<{pm<~bKc+-5a%5u#852wD)xQK)zVKg!lk+pUm zd1eOD09@x5OXG;A!^p9Z{&(cJLW@4 z_!w6UBK_bY3chwdQUbNu?yUuau}Dp%mTd2kOGJ6Cv@(N_jw0K%eBZtRKtjYBvP+na<}RY}ixhl@l6)L}*sc-bsnSk-u9EMx;9ax3%_dTK5|NX`E4%OXk4Ub#k5TbUXb=F?1#2=f`%9Tnzb=A9Gf5$2s2=27Nd5M~=w6T)m~ z>asACOkEXbim6Fqb})5An8%o!5@sjc%Lwy0Q(0klF*PI1ZkEdlvxlj9VfHeW7iJ$* z_k?+ZsRdy^%T!62Cz)CjW#4_ku&L4!aU2=tA#nr)N6z}#?)(td5)=X5#~5kuNUTdrrsdT z=a~9-VP0VBjl#Uh)SHAk!PIvP^Ab~U7UpH9-YU#1T$k??=2hmsO_L-MmVax9k=56Nvl)xrgTKO4)EwiNFC(Ie9 zJ|N6lrhZ%zRp)JKI`VCuJoxxm!N zgjwWTeL|QerhZqLi+uN!!dznN4}`hQ)Te~G!qlgQS!U`_gt^MPeaO zFxQ#-D`9Rh^*6$No~h3X^QBDvy)YlJmCpxVMTGf{OvQxx z8m1D${3fO&re}bv2!u(05CWZMP zrfvxHy-ZCB^QV}~2=k|z$_n#mn3@sh&oY%0=KGkM7v}q!%HxIOv{cA*e&P{Qu7tJ`^i``D zZK*A^WlKrO-_jOcr6A~hHjC@NrI_1*XC7hA|BoLUWyIzkaW?Rz^J$l*gIW6Km9|z+CW!&V4Fw5baVJ!2MZWL^p41Y>&D@pGc z##r)@#-hZ@H?PAfVU!-4$_=9Qx9bv0) zAJ4MYyN@Sq_3z^eTRr@E!d4$Yp0L%+k0)&P^NS5MeUzvD@kWnC9}~YM`k447(Z|Fu zi9RNNN%S%COQMg7UlO(YRp+<(8+7&u>bO&%)Zv^m%=9@T7SXNFY|8nZ-HQmiA53Gx zM^?`H_#MmX)?HaL&psB?c~vQ-e0A+b>gRr2>_zJ5d~)nX>c^j0VxdR&Fy-)HOvDLN z9yti^NBh{8Zn@>}^I6~WV=>)&Wq-zRzG$CI-$0*hWJ%qGwd|23eZ`Rlb@Rs(qz@XtXt~ZeA79j* z8`W;ngUS~oU$kiY9;AYuEznDnUg&Hw)+B5rY>Vk=eSMN^sh6X5%)8#{huN01!JzLS zTguxIoqw0#>vLC7{Sd>Pit0xSSzwSeM2{+1;ySrSKC(Z2OV}f8?_%$f6??H&&iV8v z578rwa&f7363&M@*^G7sEt#!XCFQf8Y)b1F{a^che9R+w%Qn0{v-eU8#+42^f zr_I7!Y@Rj?Z?Sn&g=s&q#pcN``k447tB;9a5`9eklIUaNmqZ^Eza;vY_$ART`gPM+ zVc9S2)x;wBge!e4mIZV!jlS{9-yUWeolCQ2wsvW@6fK!@fzbJV5+b+HAEISBU7Y8W zQzBU15NtQ0I0xedLx!b-m|)`<7*Nk%Z@yxGbj2_1Ry_-P(}z z0o|?Zq%Mb#D$HH#9#w1itGjYMb#6)XabB*OZt%U|>}5IKO6+{fmqm0Vu6*J5(LLdQ z`1jFu@_rAP|FaV$)a9k{pUvmC-0GEURYm@tN!O7L-i zzBp4@oz1n;0sC@pKC^hQoGHWD8DoUx@d(5&7*nOn`24RUcGegrv1(zAF;y#!b4=|N z#yC^;!Z^=VqxTu()pEYjb|qWL&*CADcBRtpjT4@-XLBp~jEk(|NmTMV;{uhwM;H@K zJt2%sOf?JRGE@77afPV^_{gfSF*Aki%8JvPtHw35cSsnMOg$}(>r5RM#trVcqr$k! zRJ$;ym`dT97^V7Gax-YqpqJx5#qy}u6-rtB9w)otw2<0(%g9ijjte8pW#Iw*Cmv;4 z4mN2`vlggXrk)i>j;VfO%rTV~#ynGlc&Y<7@!XIE0{%LEa>vM1`KN_(m#H(txW_d) zD~tkDW5QTqYFrpark)csq4ZhGj&rKt4!Sz#(k!4 z3uBF`X<@80HS5K?e4J1i8^-fg<#}Pel*RJGc)-*>VZ6Y17liSUsgf{W#&?&5@p7hC zgz*ZdR)z63OsxsyYnj>*#@8|RQenK3sTYLt^-R4?7_VaL6~g!iroL7fuV(6%!uUp} zUL}mzF!gF-d=pcz5yoqodaW?NnW=9P#dSS{1@wQVCse6=k%JCR$0cga1j> z{)Blg!uV6>9Tdi&F@^Qy&-pIalg}^@>&ag*h4tjKOkq9wOO{Is@n3gdH3oe;*~F?CWHf6sC+5yn3-Z$KEIXX=zN{*mv(qkn-Zc=UhbyYT4$ z%oIHOzc2-l{zazX(Z9qLJo=ZJf=B;9Yy}?uUzvhO|G#_}9{np!!K42_rr^>4O|GeN zc=Uf~3LgDG%y;yHH3S6;-M zGM8sDX$E5wb>@(w*hf8o855{Cnd@ zGX9phag0P7TeA2?;@oPnMgDMPK3k?A2hY#YbE55sJfQ(5ul;+iM>u=@DyxNl=@mDQ zlI<$Tb}_d`1`lmv(1~lwBf+=FqSVwMfk%(c5rtm@RkD+O4!>W{&9y}0KZfwj@^Tgr z&lP<<{^POuyW?1s4%#O1qp93-F>I6r+Cj=Qf_v0<@9{C)BF$5EvZ zJXV!PP`gO{=MnAM)gM~tG6(SlZ@!Q_zewxD3fhl%k2X8L`w>#IM?M&f|56-3^9fV4 zX3C~A%zdXxHd~J3AI0LIihtTP z4&c$^O%ijjc-|WCx)t%y!=d2%AJH!ZBK}Y0UiQZSSwSt0ZzTT3c>GK8zZc;@Wbyw4 z_2re6i2q-{MsyP_l$J&O-}w69;r!%V+(rC9ne$(GWZ!;Wut=DanF#pNa?CWIek5Ap zf1Ht)s6(N7@}%ZnY!PF}0HZ_{BWlA4JJ3iXPHT&z$m3vsBq8F7M4}S-vc=sUX(X`? z^_RcK7Kv(9HL*QWgQ@~5tHtc{22I}Lyh!XI%zr7d)87jG23h%;q^zp9;+xyC-jbpo z#`KZSAxl4pP@IF;#{U|O(ws=_PBc;d_xS6N-*vP;dKB#N-1&hbo`p7cd6%B_G8WkM z%1UX5em3#(#J-r(o7fLO$&1$VYO$PO$hBR}&)gX)mRlkTq$L_G6aJghz*Dir!Neh2 zbBg7wNWLu6Z!PI(1tTKybmAGTD2c;Z6U(I*{0=TxT*0D%U)Hzdr;~PdwY!On^i#~1 z5z7CpL2T6S?I)@h z&7RAZvvc@1Vrh9Jk~oRc&KAlF&osVXt%9WrfjgzyGx(KeA-B>pRw~_FUF=gDX9Wcc z$D>FdweN;~Soum?SIiq@dwJ3LpNucB- ziJ=<9Oq@n!@mL#3!0rsfFbj5Ce7L(!#_`!$Vk9w2-Z5JoSj`t^Ph+Kd#GVyD9L506 zv<)hvXyUoVcpOIX?vCI>7PEZJu7KN=%{9{6(uG%v3yF(yqdzfW8c%NOgiFg=yfs#S zJLG(ECKpLuHjQp|=&do@dekhT?TM=*QJ-iauRDqHy1qTpfFRr1k=xBl$&s0=f!Hnj zRa9+FV?bWk_pvjuhe58F7jyGNMRGuxOzYS{IqRJ7u5{wh z#}XTfm%{(pCOB;$NxXpI00qgonla?o$_lmXB+yc=71cZyS%0NJp4?(LsMxHApM z=S}uAG!pMk{1nBgKaDs{J*D!Vr9=`xYZ`&IX_0t8+Ld@;;sdl3p^X5((<~Cdz)a+n zf0CR1K}avpio~z*jSnHM%Qvv!^;I*8!xpY463pMoQb;ggj_sz2iq~P*snv21up)_% zp;`HMD&`YZ%*PY(=z<(}Zi)Z%gu!yi92esZ@TN@0WA2fy-v7PCC#m;;pErXoh`s55 zk@!Onk3VJcv0Zk6JIusf;%O>Zq9r4zaCA;P5x^f1^-|Fd`9Z{J=n?tkN<|_3-0~@ z!0`q1{tL$!l1J&zIf-QvMC@R9!`hu(u_Yox=tl>Cl1EJyk(daJs7Smi@m(lD#J7b- zVtWkvg#Sha+fH$ksH!o7V%zq}evx=f;;m$?2F7YB@JDro^g%5PGgn$x5IPQQHGmvN z*qbR@wYuBR+Cf>nWus4sI#FL^REP%C*zkvB?R{1x>ZpAc6Czj9qk|F8nvD5*u4%QeElKNAYY6a`*8Y4)iJA=;?qqoQ3T{)`QzV4$PMh>?LacpQ*Z+QP7p`vE4OBl|XU@p|IU`eD=8sXlkHk2W6=L^*GABfpdJG zqEk3wzmW27A+@&~yKNG8@ z{#(Vv3F;c^Jf;#6Ym}9X#>KkW5P={ozLYX^l84Y5FW7Q}R;w=`ha7cWQe zV)c=|i@$jH0n_;F_Vjv7@)-3a-ioI7jz1|ahXO_dnWPY}Hh+Qaf8!=QSp6_!u#bN| ztCuVVE8L??v4hq1mToE~CB(OKDR0o`5<%+%nGPYo zhYh}YlN>5ca;QE1K{cx#sNs#9n5xu4^ukB^Z~*=ik4}Lj;%zuv!3#gc4+zAuZm-g5 zQL0@8qCB#QQ}MnD?tM?cNI3*zLp&eewaEq|_hG*GHffJhw3Q>jlAQoZqOX8PBpoz6Ugj;Jk z<`d#q`PN57;0eC<>+s%lSv&#-pDV;i`Sx#$z&^f>la2tr9y2WWJ0JoyXnaMZM?=F` zAObW(dUfx+VqAHf6I42M|VFb0!hC64`}b5Y++7_f8_gLp!;7Cfn$9CU+5Bj(8ZT{z+jo> z@PNTGSM4hz(93rb->mRm#5XJdA8*$ICq?c3XJ>cz?sl`ca|;J7Ac7*jA02E|K@bI` zD1v|>NR=Ykuww^Q#DaBn}q+mkJyK@UlTCCnZ@(MT*t1)Cm##ACFm z=;1{?MvICbOT=R!l**@y9hE%}e8%8$1K%H@4EJ*oG`mU)*xZaK*vbhJ__ z@C1##i@!9FIrO}Ep5#rQq^VyAIH}MCu!K(m5F?2ekQA)fGOKcR0M9F~2JX#Q@xZm= z99}M9S>JCkE1kxF%X&D=ppod#OA$IKn;yx;?FE9Wr3@@Jpva|`I>I+Mt8i>ANOX%O zx@S*b%Gwb7D4q?hwA%C(C!P%mN@F*76l?6tG$Rymk2Y5ILM&=X>468vmHc*(QQoPj zQwP@IS4`|P6M@9BuwIfl4wh|l=tYN5A%QJpbUWCJjPtc7dM#rp30@h56s2dvFWS}4c zuPvhWsiQ=Fm>Tgtx!5lhk{F*jHJ%ukm;h4jR@j>>0V5aaVKaj?WS3h8nhaaoCZs4>}!ed63At40FcS)QlMJT($9kg1C4D@a@zOI#G+ z>lwq+?CKXJE`gGe`q?!)dP`hdl$f8mjE=hQ0p;*k7ou0f14BD+Iqj&JJcFVI&}>OX zo?n={MMa5)iECg1gyQR07~W^T3K*cCPb`iB>iSq>FeR~~poH4=MtGuSHW_=st59x^ zn~SVkiKVdmL-QHwSqWF4sJv@4_5P&{!Lz1TVj1ndAiwN#qE9X-c4v`QC2ST^gD_og^M$L*>Lu@Qmd@t|Imc9I%?$Cvj;Fq+|`7Z(~Z-XBzzu9+*J%L|)pV(8l z^dYgQa|sr|oU!x`1W9ZwDyND*CH8Fo+vmhSi%a0_c^=pHHC$z}qO( zQy9P(M~n;;J7G99W#UXI=kEcY_%87Su`lMxpP&-J!aD5nN)o&HkG~N6QvTy^=zGWT z4R}dn5C8RdVqd|3{R22phY8OQw*b| z3s{$jlqwb6NY!^yJ5;{oyPodid%qvVME(f#>^natsre|M=^AW7xT1b zkT&)jxO?*`EUC@sQb%In&$H-E><78j6$)dPD2(F6ARSOS9NfY=;z;pg4%T_$_##;A zCB@y@I&5(dVz1^J;4uj^D<8$teTe-C|K(`tB*12H1SUA06!+&pgOlbx{O-U>b2*p5 zN%LNw;b3BybMO$TQN@t>KK{dSVsGR>oCqBwXob_KXUxjzrtbhC`AA|v$`hST?*pPw z@aR}#Kf|SQ#D0-WrxN=$E=?r%TU?q%?02~|h3Y$;*dOrdbYgGg(hOpM$tCFc!gEHS zNs4LX2C3%|`y2ktnKXBZe#@ih5c@|i%>%!0e#_^R*nMn`sQAKUwD_Ww<-&qb>}}dZ z+r{(Y(xoBmNX?+kc;T@4@|0CldDn=9 zSVtw6W<3e>$!_sRcoHXr#{?C6|Nz86WjE>H9_WdN;L@vA(|YgA%swvzC{Jk6Ul%?wEMHc#^ol~|hhNVow{ z^8rf(E!;;u&Bs(?X||DYW1a@)vyd0q>kFRdODeH6Uz2b%o@P6pBC;F#mV{gKYw#K( zJcd5ZKk#3Eq!PpZOu}t=nqO#|spClTZl2~hDzP-blW;qp2HNWI7@EH@)!$TNY5pbQ zjx0@*w$x)z9Y>P1r7m8UgoSgPrAay@+=Ztp09SneYY}{h0+MAX<0O0-|208pXbhVq z;UoFA63}|u=*c8mh5u3&zFOh9YW|U3|Jid}A635~35~RGWXFG@!+>PXqGUQ*3*Mbj zpQp(c{s1uFZD8b{)P*DuNY(+Tq$D)-sz*{;g+~(X_3K+z%D5+IFZE@XhBGb($$H6y zs9_FHMw2i(z#Wo2OL}d(xXA|pW$l@H=Oi1YtW>gb%sP^Kr=+<)kJn8f>Z(b7vXkJ+ z1?$>56L=OBGgG}}ix^nBB?%btW1A}3_lCr8mw_y$DcQec_=s@CsvX=(Q6CnxAPZRQGRG zT$)S57jWq;66S-^!&8Am|(`zW+MfIS~(=M`&D^7X?1;7Kh5`&^j3h-PvzaKFUh zNiBmX_0p78E!h}6uCg8|?5nDR0?2QnOJL-X?cl{9?rT$4 z_2hN&RWT;nGr=JK2AKYG^K2|ej3ups#Ww8F@n4z?hYd@z<= znOsGzE!LCp(>dO|- zl8-~vFntO;AP>$QnGQYC7EqPwo&?v4(#%AWP1&K9=nm5`Xgr?wPJ@--SS#{uQSzDO zbG{|3iBmIQ{DOiPsF#&@?%?^Asd%X<`C<~r3Xx-u@7Iqc>0kjayr#ahYEzKh3LRbF zBO%3`JjGkkVs#&IOpk5@N%9?j>0Qt=g^s|J-0wRHLx)Iz_&}T_>DU3(!aT5GJe<8S zZq!7Q+{O*`DJbgI^O){E`;g@4JlPj87h=gsk`JtsU&9rah$Of3G%zQ0#2LzF`w>)+8w2gaB$A}Q>QEfJ;8M?S1A88MG)ex-Q|*Q?@!&@&=RLIh zXA-RJINb*g95|FD|KzFuf;j|S89K1f;GQJ;55M>?+z(CG^T-~=wb(T`1UXEfGK#v( zyJ4288v)xL+3$GbMtKsL5ITbf4;a{!xO7OAe9?sk^9bDmg1CfVNC0HY?8(GUauqJb z`ybzrxGDZSObM$X{;~ybDU{qCaCsg$Zala;jy^MFb!~(s%rvr|9yRmLBzKrTg`v9; zx4K&c{H5Kr@*0+RIay5v{m#ji}^M9IPhFnbZ!e0=Cfh96(RSs zZpww1^e()luLFHlm`{k^_9V~IaL);NODZC^GwE)FrQMp<9xF%dZ;j;ZMmn$+zG`n#^>{A z7nX)$T0VuilR<|&!DSMC7Tswi%%{>WEGS&hbC^lOeCF)V_T>OiLna5h=u3|yWG|lF zGpXLW?pXvRruQ5Y=F@F=9!uCpB&3TsknjTHp3f6rM8Z$;TZG;*JbBt2;$F(rTt+3P z>9WU@7uO;D^xwM#&^=v}zLWkx;hjtJoBagBPwF@1Z zyEJ7T4D(>}KEHGuTw0d0>cgclUk7mSq%GAR`rlp><|_j3a%gJkiz0Y8Mcn&1H(26i z*Wh&&ap|{yU{qM+d>tex+*Ks}KBolz*GF7hL&BeNX)Txr^s(ctiCYf09pCE0-1KYy z^G0aJ=+Cfl=F(vf{P-vd@8mzi?3BBqxm%#!ngAza7P_$7sdx?uhyRM!AhWnk9XR=q z-Vd%0ai4+ZdH30vRiA!mMU}QKbYCFh-8{>eNcayfy+RW8xP4y(%e|hm4xz>HH~;ZX zlBmyrd>ejzCuKFDKknl{zE2Vj`HvsMj~}J1hVWy==09#Di6;EV&)~<;Q&uDTqr-pv ziX@uzqW=bd+@7);!;b~c3Yt?p?}Tj!z(OE>Pa=gJ06kBiiorX3W@S5|4&{YIOQ!Mg zy_}Ly`_J&rsk@6r;#~i)B;s=EH+W32b{JZp-|651{f)Iie-ycU-9OJ z2%F250Bye1QF0WC@cD8{Uzi)mA0m-HoKb%g;j`qD0kHoC&+|C=Sf5LS-~)ax9S_YF ztgX@Gc1X!kxE9+{GK@q9a4jd02%lG%j35y{uP%Y1dt^d~v26-VP9_mP!!Ch&*VkO% zDI~(DrX}M^gui_#nLr|wxW3a!giq{BCc}mR95EHP0pQYf5}C=<%^(&XQi7dk!PWr$ z*E2|jPXJ5il0;ixkY_q2ziJxt3qM zfkbZL(oL{`0mm+d{R=quR@lFQOUp>)W}fa261kO2cfpga%en@DK2750l7hEFQu$z^qG2rtAlMx=xQv` zd!0mH=GWeUtr<8JCM#iZB_bvC(S{;>mqfPmH1CthJ6!sZetb&jVq^S^aY8$&w*dTqsx9}le&^``0v|Eb?5`Fe~`!*oW@@yvYkud=hKf(NlW&T2=CHUFl*`GsG^JF z8)Q|);l*o(Gq1kO%51I`dOj6~PAe6G?X6gDsVIs3z!;rDLV6sZ%tK!?K42K>{KaipTVW-B+;35-l=plnyQ(ynnTq{9L@$< zDew(Wfp2gN7+^*C+l5p;;_z-ibub+Px1x`8>JYBK0ZH^^)hyKrfQ?gDOVH);fk3Jm zaSA!L7Q~5jsTFbf%Y#%K;_#OPskZb(2wK%r?TJ&Br|U?ZG?zLPrw*685{GvRsl$kM z3%?myLX5&Gs8go2BdKm&Nq5*3gw>4{EE)dErQXEhEA**8be0IEJvenVb&g|FfBLO9 zlLionuhOTEBZ3;C}ziPN25n+@AH@oRI4(~Dm_6EUrWk z#M8Y9+dpx0!dE=2xdiR;KCbU|;;iB6-XPFKu{_=)&N_bW9pdorBK00|cz2QdfH=In zNPR?{CwaP0VDlP|{ggQT6>92p*xH6)`;s`%^K@Sm=M^q(hfQwyuW*)CgiGHM=MDbr z55#$!OFt3k11{|%&c|H(l_W;;D*hW(@jWT4j6MmU@*n>o4sTLZe-YWmUkXS|sZ5Uk@Nr-fmSnkVF&wT0IhVxpXk>)x!~o zkZ38t){sPNaH%ng9>ArhBwCkCaFkUsN3NZGk>}&N5URK9NQiC2;vene}D5|dqaCipU4yW z4}D?VLHep1ZJ0{=wbMv+5WhB= zM2B%{Dv6%RrRgMkGM8qM=r}ITB2nI+R5^o0d3#c2E{RU(zn(>+eEeGF91=Z)Uz)5CKCg}E-TB#K(Nc&W?~@NW$iLC5bUxH7b@6g87@??%Q9T3V3%dMP{D4S z;X(zwZH5aK?6w&$RIuA-xKP1vo8dwQyKROG73{VdE>y7FX1GwnZkypk1-osA3l;2k z87@??+hw>=!ETq~LIt~Bh6@$!b{Q^Iu-j$0P{D4O;X(zwU4{!4>~y7FXSh(orYGpK(xigjKEs6ycKZw$D%kBaT&Q5T&v2oF-9E#G z3U-GK7b@5tGF+%&cgS#|g54p*g$j0u3>PZc9Wq>~V0XxHp@Q8Z!-WcVhYS}g*c~!l zs9<-@aG`?TF~fxlcE=1CD%c$}T&Q4o%y6NC-7&+33UPZcoibdgV0X%Jp@Q8h!-WcVrwkV=*qt(5s9<->aG`?TDZ_;dcBc#%D%hPe zT&NIk-3mAq@b4Z#f;g}cagZPmJVYEMhyxQ52MOZ9MZ`gZIIt0MkRT3xL>wfD10xX! z3F5#>#6f~Muo7{`kA9cI<5UW_re9`=IO9jZ%@A?MkA9sY;*1~t071kVKl%xRh%aA#*ez( zi#X#)ed9%(@uO|Dh%--wDA^k#*a4NBF^~Hc3Z?5KiYDOIO9j#ZV_kvXzMNFj2~^kd7Mh&*0co|amJ6f z;UdoX(Nxa3DAh)aG{g1F>IC5TIYRD!tV zMLbAC(|3`B4etk{^{IF8NUj;*uYgATIe) z3F49;mBMXg|G^}POMX;>xa3DAh)aG{g1F>IC5TIYRD!tVMLbAC(|3`B4etk{^{IF8NUj;*uYgATIe)3F49;l^`zpQ7PO;_8&}w zxa3DAh)aG{g1F>IC5TIYRD!tVMxa3Era2wfwFbU$4AC(|3 z`B4etk{^{IF8NUj;*uYgATIe)3F49;l^`zpQ3>LbACIC5TIY zRD!tVMxa3DAh)aG{3b&E{2a_N!`B4etk{^{IF8NUj;*uYg zATIe)3F49;l^`zpQ3>LbAC(|3`B5p{M)n^}g1F>IC5TIYRD!tVMxa3DAh)aG{g1F?z<{#iSAo>#~h0A3B!6b-h_%R9M8GcNHc!nR7AfDmJB#3AD zF$v-seoTURh98q4p5e!&aGC5sm;~{R{g?!C$&d9PWwQTZ68tXtvHqh>_8&}w-z7gP zB~SEjgV3f+LFp^(V#YCmy}K?b1;@Oa{B?WAJ+|Alx#MOIoIQ0ge8E*vx)t6X&7L}D z+T6j@;H@_J24FspeMsq>rEkSb-za^X#3OOKF?Q*@bZ4HdjUPz-z<6Ey^9O{CC#O>B zBk*ae51TTH?Ig$XO(Ve(yn{C@u~}ng)5&qC@MPdDkd+5)*R0+VPuim*i-J;k19u&w z{(rseq+sn;t1uS7cb8jGhMp?@JXZQy=@-dp>6hRGHks|9>7holB-!?N;~*O2n{>m*BA_)5)r0P!I%ML^iJ;`j zsZ*!TVmtOhLKi$vX3d-h9)nybTuKgt97p$=IvKdoy|KVmfL#IlRz1tMBJdZr3PYo; z6g@!)HXBOO!*W>ITZC{J4vWKSH!Os+ZdeE>-LMeOxnUuka>GJ6m&OW}?f}`g27*2~ zokoen88u2APO4Gja9)iPhjVF|UO1J8g>WVf3*kf>7Q%TnEQHf&SO{mvNc_o=Kb#!H zuEVJ?^7>e~4rjwCaX2AHiNiTDGEVitX)#J1&W2%n;ba&V!nrUkoGd~(6Nbg7ig20; z;WQX_9nOMbA)ExmLO2J8g>VWC3*ihH7QzWIESw`kIQ@mi;h+~5!Z9x_ghO6f2uHlI z5Ds`@Asp|*!t+H4N4u~%9PGkEIM#)QaHtCl;Yb%2!htRIHrY#a7YUa;fNL%!T~KTgyUIQ2q&cFW3-1-_ z;e-_yhx1if2&b#C5YAR%;Yx8G&Q)RYRU&*ygm9t?yAJ26un7Q)#nEQFI&SO{m7$a?vNo3g;uon40$OIQf!m9P*_ zD`6p=Rl-6zsf2}aP6-R)ED{#NNhB z!pS2ngmXt&2&ay)5Y8N7A)GkE!uLczaM}oq!&xINgp)>C2b$I3qKRr;cO8Whm%EE2>$F9 z;`dG>>@31ABJ3){Lq&L)2oD$G5h6TN=<6oJ?jr0V!k!}RCBoh!JW7Opgr2@4JX(bP zMA%=1$B1x%2#*!vaUvWj!a*V&EW+bOI7H+(RK$mgaJUFh5aEd;93jG!L^x7}qlBK5 zMSQdf$B1yO2u~5=I1!E);i)2=Ai{|vJWYg?L^xT5Q$#pbuN2``BD`9J3q-h3go{LYjR>z5;dLThEW+zWxI~0Ei10=c-Xy}CMYvRi zw}|jo5#A=kWg@&?gm;MWP7&TE!n;Lyj|i8G@Lmz#C&CpXykCS5h;XF{9~AamCE^c> zaJ2{@7U3EZJ|e=kB3viJauKc<;RX?I6yYWshA)RhcEC9XW?$bzt91^H!08sB%{PT! zvaEhho0NxM?ceM<%W1ZHP3WyARYLDHEf0NA9{RLA^kx61O`4U5whwK#8UW$ao$!C$ z3jJfH3PMj7gnlgj4laNT2^w;#-Y82WL`WCLnt%E{4L*K!e9C8ai7J?L| zKY;9nLXTPS!4ut$)|Aw)Af$#Wq<)d4e$|lz4;xcbdxDTUQ6craB(+yZ>JM{Le+3~m zN+I>PB=wJu)W620Y%2(T+nQs6yhN-7qF)FQ=F zaY>5kNP#1lDXC--Qfn1bt|V2WBb72IRW%5y^$Mv{NvfKTRCRMw=^&&wD5PpiQnhrX zYF9F;jS8s)B&j+&QU_KtsZ9#0x{_2q9jSvVnbc;5)WMQeeI2PoDw)({3aJK?R6`x9 zMwLwJafMW4NvesC6gYmGwo`$gz9$q?%_OPjI#S?+TB)SAD5P3SQmu5Pz#XN$nfk&;w59VzhmHYL?Fz$n2UuaN2`N%hu|I?9|>-yozW zE2NH=r26Sd^*1LqAOIbBwq~H@CQ%lVVVyVv+ zOU;y|X6Z=HHYYVF2&pd=QgbD#Gj*iSGADIT5K`YLq|TM3=IKbCXHM#ZAf&b{q%M@C zF4B>LE#^$?R3K726jGN+QuB4BVAHfpCH1XB>M}{{avdqyp{-I$?NmrzDM?+WBXxBp zllo2}wLp?us3Wzgl1Y89kh(^ax>iT(x=JSXgFbpY>Sjr5sgBew=A>>5(s-(*mPt~#>qx;yaFyC5{G?dwPD$!69jUv`Nd>A? zDye%UspUFS_nMOmRHuGcEOnnGwL(Vb}|q+s(x(>fKXqW`XtS}#d$(2?3$$)xrwq&7)X zn{}ifH76D5=~GEPCP_W6BlUzisV9SUGk++SdPOD#7eI2O}%t?I|r1<_(NPR3xeWD|^&79O{`IGuwlKMhN>PvG{fr{^6#Zq5MQeW#x zePd24P(@csZI`5W=tzBQPU^b=#TSYwmik_j`awtPM{`m?=TB;vB=w7q)UW2GehWe> zrC4f@B=x(F6l~~c+V}k#gj5xU)L)X+-#SwNn3D=p(L*&9QvXU)`!uBJVMnHx3PdXH zB^8!Fd10MT9zA!-lvI#ud#I*DDw45O*wI-kTFInpDWnP{sX`s8B4bkFc#w)-TOmax zsf3PHaV3*FKp~Zsq+A`Tl1e6Zph7AoNmbF2s#?jU>MEq9_hMM*y-3dsGkp4j)v0<4 zsT$H!X`Q8NRx+uB6jHS$soFYH2UIetgB4PBB&h>+r0P~Osrm}3dXm&ZI#LH$GO0rp zQuQUNLv*BI*T_n(=nWK74JD~YI#P`*nN&lCR1-<6sg4wE*;}cWYNU{AE=je}k%Fy) zE0t7Zg;Xm^sIfYvIHsXepT3p~scw=~cO9u7#-xIMwbo7{)l-t{ zr6bkbm{hn=kTH6Dg;ZZj>S!G)*uUJg_>Kues-r?`fFyOSj?{6+q(Xtd@9U(H8YoE( z(vcc$Oe#Dih^4wHq=rgT!*ryEo0B>*e^MhPsgrc1Mw*j4IS8q)ilwCYVp!+BNRJaW zt>}SB9j=f%MOtc{&QjyeNlgf1Ddp?h@I*=KG#x3}_1n}^Q-Y8ht5`~UFNSsAi}bYA zN+mT;A$7X6)C`@aW}1_l9mGAe`%c`wqlV@*i~dJS@&Vkzmp z7}j|&(qn8*N!=Bs_*7DN%Q|(Bu1+mCCv{&CQcDy|t&pVd*O7X_oKzr7siam)QV;4# ztuiOII*6qnP%I^V^1?cwJbKEnX`Kp0YNbL-`s9UmK6&&&;Yua-ph8Oeqxy|PAbqQ4&{oaUX-L>(vf=k|CN;VUJUEJ z7wIY9h7~;=#CtLHl42?8y%^ScFVbVgO-TjXKI~P6)Vs3y-qRJ|`{twqjnS2lqr)Fa zQXlF_ePmARlOP@PyNac@Nm8HcNPT8b>Wd(x-cv|@DM@{$BlWd8sqH~XeWZ|*ThfKU z)sfn1PU`#oN&O%#^`nlI`968!KMtFszbl!P^2K)eA4%$89jSelOiKA;J7Q%>MM4@<5xbH}ed(>}k+38c z(UEd0nbcPbsi-7Xpd(diOv(Wa*as^ zvy@7zM3PGBNL4W=6)6p3DdpqnNHs~Sx{g#0V^ZNj3mm^Hbt)}M)zp!yWlSn^KoCo* zq@+(?MCX$iG55){gKWzaQob3DNT0lj&L=No?voceB#5Qf)rm;v6S>Qi|9PfBIcfEksux%wn|ERnniS; zW)X8wvq%t6Gh6w-FCsn7B05jAh`Fa(B#2X&U8)qH^fZg;Jk28Jo@S9C`{dcx6jE}Z zyohd}yvTppCojl5WV^aTYNCAlPSZVolgyvKARZh(Qqt2bqVqJ1{0C37An%ZE<)g#M z>C#d&be5WFZmHQp+9~DxzKHZRi|9PfBIcfE!GDd}kz(RrFh%stH_=LWHq@_k=q zo-Drebj5eRaq-zfUai^6r*x4EB&iE^q%JZh6}cpcrIb(UBJ(AwOLe3!GbeRL5K_vw zSP{8TUPQM~Uc`K#yvV}*NiC9=x<+TIYt1dSI0&hRN{fEIB(+3G>IQRCfh?txx>1t4 zNk{5tV^ZNjExPiBQDmtkb&HPFt;VDx%Yqc2O6qn=>JA;LJB>*NTFJ9jQg=yGck4*q zV@xWTrJ5@heYqrcua4Ax#-xH-N+q>IlDc0<>H%X?kq3j+sn&|6R!LG1=}4_MCKc@I zQ%OB6Nv+Y5dc>GiWL*$TwNWfpE=jG|k=kHRDo~T4lG-RqZPJn2Y)mRx@u{R9m82fi zk$T*iRAft#;ww{%?@3AODIKY&%}G5Qgj8FF)N_*5^Ey&57?TQCe1|EdUX-L>(vf=E zm{jD|AeK5pA@!Oh^}3GKR&!Es1|ii;A@!Cd^|p@GJI18!p81n{SCV>9N9uiJQjrgX zkUCni)JKxk$2wAe=68o!Z5{B-$_e-ud~z-#+C~9^qr`X`cablNk{5ub5cP(IP8-YQoAIn zUv#8?H6|7LEl5QlsgT+uN&T)Pwbz_fklo+xQ3@%!`&&e}`&-0(_qWKtAeNfoCFMx} zDL6Yf_kp^Ox&Kr!QWF(Y(tpa)`A<3j!G9{yw0){VDw?TNPJzx+h30iC&=_4MRU}Ep zbfn_Oq=HRGRZ?>IH%GVon`6HFTcEFN?O96k$=%-^-R^IW`R;FlK4i6LE2L7g_^RlN zuc~qJIn{zR33C)u)g`GKI#OwKQniARnyZkiElC}qBUQ(oRNWw?&Q(ZB|0zf3Kjr)f z|EWX}Qu7p2a!)fyx2Ku&ANDj0)OcQ|kdk|vIl4W~od2+=*@PgLQa<^0_`(}ld+|$g_?P=zi?`h@?3erw3RY(n%b?SIsof=|Xr-Cg7EmKHIPcuj7Y37)F znuUXWo@w8skUBwH>O`HTMpUw;mMf%AlB7oJNR2Wk6>QOLg+l6NNour?)EIM8ftIXS zDx}6rQm5!hjWZ?{Y=*3o8ZSwmsv|YQm{hRkMP+9RNBZPBI-fkp+$S%XrBqUrrKP6m zEH%~KQi0YymF+H^X_C}*9jVjJNd>Ctk0=#=h9ospM`~6jlUl2gnk`A4p(8b?l1Z&o zNXb)v9o;Fv&VM-NH&F4FE2PermO4jgsdLRO6{w8H!r>$f>iV;6;jfB(b0J?I_BPs&MiSmJ*AMk zRa)vcou!tUTPhH#XBAS?d(qK(FFNMli%y{8Q%OnhMMvko=$LyiI`;-CK4n`TN1k2l z=+3Tn%+IcMRt6#UvQm5x$~v`5SEn8_u2X@|o3T|=t0k$2b)?o*GO1S;OFbePh1|73{kiWmhFfo?Ywc&aQRL&#nzd>SLw&o|Tq*PG_m-jVBNBlV*(sbIyYlKM%K`dLS6S0$7BR(bkZKma(Ox zc96dBH!rDZI72EL(UEeDNd>c%N=kafMs*&sQFD*jXe@}O_9&K$OG^=*r4p5FDV0>Q zB$d>Wa*atvQ$Z}XSFuzTNvf)jRH-qkV0B6*RZWtrt|L{$m{hc85KH}`SgMvJRa-~u z0Ao_YETxjFBS{^oBURU!RIsP-FU3;QBQ~n@h>e&;fP|XW|CBM9jO+TOv+J6wUne<=}5IUC*=ewz5<0* z8%e56N2+ZllPXk5wUeaU>qvDlCuIe(R2_ws^oWh>JYu8f9f2lMp>N2&qO2spBN6fjUxy%t;*| zgp_hbdUS{+HB?7xm@%n9Z`;F76-y15q)yP0I?P%x&(X)dz3GI|Rb&e!;u8!0^V^YB^)m|YbPx+0WuOnrC%5N}I9TZaXl;5c4 zl;2SF;^-w-sPtRQvRYap_-9#8lMT_!SA$@eeh2@_LVe6)=gI9DJ07%usj58M7cR3 z$_-TB5xo;rx%@$6m2l&#%6p^tWvOhsA^ISGuh}eDfCZ(>6^!L7T;;=gs9b}qT$hK+ z^5}YT;}x~2Db%9JaGlw;Xal`-FnmFDqqi1qfLgSX+2QdVIXrEe!xJ)xE#4fSfE>24 z9G=0=@;shFcHyxMGW7w}LKS-<2kBREo!Mq#6@r=NMK5Wn8qpV74zEUEv%>7zKh}!C zKX}ZW)T-TlL-budizYmcd@>57TgCHR7<~gI`eYSG--OJ<;^}*x-BKbP-3pn$0e^3@ zjNi*~YaikHX5ZTTvOJVqdtct#$I(wjovOICrp@5izQVJ}zO`*4i^Axq)OzgJKC{k( zTcZsD+|oA4>{IyrjNQ`L(QibCv#bL6XIaHfAj5Pd?WCg{qCer;9>r5iix%6bTX(U# zTpZmV{h4K39Nj^)r5dB(f<()yIJy%ghe_Xo6lJ8+QGmPA?eMn){=S93o$&V^Be)B% zp}*n8vTNus)E=1{`U_LJC;GdnKO=w(WfSA~ydnB8uC^^tCGR(8pCZg0i_#3JnPbsE zK%(~>i~b2xMAW6dEc22GKwL=dLNXT;&_`t-dT#|bPQv8g3c>{uv;q|r;!3kBki4@( zd1rK?16-g z-GUM*Sl0D{IvzOaE%I|iY`BtMs8|t!2xDBRj1%U zVUh0eEK-xYP1Y9FdmctN>kAH{A|(?p-AVtqLh-C21ZU-nY96v$7BoN5kD>%Shb;VGLs|?jcOSh9z>4pwM!ClP22czIa&A{~w4ilwTF_>Lj zaD*AS0h)6+GjKx`+`|mq2nF{t12;y&N11_}py0k{;HIdx`Tz!3^9P1)pXH?t+3Rn}NHc;HhTdLs9T_Gw@+3c!nAHa1=bt z415F%KEn)rBwEAfnt{8a;IquY-BIv4X5bzuc%B)!Ckj5_4BQI^UuXvIje;*W10RKg z=bM51pq1n@GjLxNe1#eKXcT;v8Mq$`USJ09kAfGOfsaAK*P4L`py0)3;A2tn5;O2| zDELM*@IVxNvl)003cke*JQxMvW(GbU1?O1yRc4Y_C^Q6ps_rz)c_^Cm-DconD0sOU zcsL5a&kTG53clYAd?E^7X$BsFf>)V=PeQ?~&A=m3@ESAlD72ESH3OfF=3H(D9*u%G zn1RQj;7w-Wu_*XaGw>-W_;EAvI263a3_KnMKV=3!6$L+I2A+U|pECnbM8PkZflouh zFPVWSq2O1{z>`t%Yi8gnD0r(Gcq;lxzG()YhJxQV15Zb3ziS3Q9RPIv1xoFNmn}O${;9tzZ=b_-;X5jNt@E$Yp1*pOHnt?Aw!GD^8FG6YmZ3ey= z1^;UXz67IPXqkcMV>uVvX5dRvaKsFJ848Y?fiFkFg=XL@P;kr)d?gAdX5gz(aIqQq zY8336ffu0Qlo@y-3a)AfUW9_HnSrlC!8Odl*P`H>X5i~kaBVa2Via7*417Hbu4@Kf zf`Sh+1K)sx>zjdZM8OTrz&D}bMrPofQE(G8@KO}q%nW=B3T|Nrz7++xG6Ua+g4>vZ zm!aUcX5iaVaCA?xylOndG5efI2~u% z&0MA5C#>*vTxE|uRL;Ov_R2%$OkCwrd8nL)tL&SH%Gr2{_cK?SRpMvhERQi)nN{L* zaF)lKtIT3K7iT%pTxAx^GjWxJ^H6yfu5w5oD$mAM4$DL3Ik?Ib@=$p$u5v^kD(B%U zN9LjOJiK0>Y_2k^UY?Ju9FvF23viXEbmxs!QxXSbMP`L*h#Z}&thsxVSHj<-a2nybv}&hEfj-fgZjt0lSqsJXGF?t6YH zp>hqb^0_=zK7y-!ArF;nag{ISp>iFr@|8SPmg6d4%R}XQT;QmIW;Jdb zag}f9p>h*`TxC{?KZ&dSDi4)U;VQq$L*>)B${l&Ad2j!vib6jQpJXC&xt89>m$}e%1jq*_W6|S;L z9xA`aRW{2*7I~=Lj;m~yhsqte$~JkZ{1#W)HV>6Mah2`!Q28CMvSS`9zsFT} z&O_x7xXP}1sQeLEc~~AQf5KHBk%!8kah2WjP`L|N*&`2?zu+o+<)QLdT;)-DsN9XK z?3;(m-*A=v@=&=4S9wexDu2i4J;$1>%$oP?#aRwCSD7{M`2$xuI1iP7;wp#aq4Fl-Ex1andy$j9v1(C)f6L$^c0ht}qbI9pQ6U13`N8L-;NB>h_`w&T;G>EX zNX}XNJzt1|`xe3Gy1s3j*A}JxIbVc=`=Q{fe(=R8xIYT6<_BMbf{#JLHT>ZDD0l!0 zuIUF~ih_?VswF;S@$9}^RL2j#EE~-CH7=?RTR{&(mDKesxm>GcFskGrzmhAoN{&aB z)b}g7QmbSLs-%Hm$yHhIYw+4HgVKgOXEFC5QQyEYT_%hblS3ujB@;lJTgLZhj><=2SurdMc`#cz8wWmL&1am;5$(8bQFBNAABbYJ{<)Q^@Hz1!81_sa6kBN6g(3JpXdkQgMw$F z;FJ8|IP9*bm-_f-gtG^ZnpWDEJB#e3>7-83kX7g0Jv{A4S1eq2R0h;Kxw# z)hKv@AN)89UVwrZ`N2=1;DspoT0eLT3SNYQ7yH3aqTp*#@De}xDHMDy3ck?~ei{W| zhc z3cd*iFZY9ALcuqq;QRdGmr?Liw4CqvgI__xx1c$%^n+hT!MCE|RetbmDEKxMyxI?b z9R)8#!E5~B4^i;#MUNn(p{#==x1r!Wi^>u327k_9py0dFqT1vKe}jVWLBWsu!MjlK zauocyAG{j{--}v%iy!4HWzk3f}4mr%~`~)L?J=!8K9v!zlP|Ke!eO zUW3y19~~NMkAfdTbAI2Sb4L`s7R~uXKe!VLUWexVu^-$81(%~aZ}Wq@qTux?_%lEF zFciE21%KfOAC7`IqTsLm;BF{*6AJ#u5AKeFH>2Pke(*RH{3u#fJN@8OQSf6$-y!Ry zKl;IwvcY1N@_SfRdkUrhvtP;NY$X7G8m0e>8Tc6#yxR=?EDGM^2hT=nKZk<%`oU+Q z;O9~BpMLNh6#PQbUq~naj~|S@jR0%Egcj94KX@sc^UE0RSjZ2?7f)Y7!C^lbUp##k z1v`E)zIgf?3iiME4B^XpucP21f6n+AbSnyu`@#4a^bHi8@PqL&=$k0m|I#$H6)oqt zP;iMq=g&~^+bFn-AN)BAeg_4Y`oZ`B_FWWQ-4Ff}&G|j-!HD@!=nZ{^g5O8M{=;}f z+fncbDEI(B?HwriLlk_VAG{LMu&G`^N_#YI! z4b8csAG{9*e~NU; zlPLHr^q&2%VQqZ6@HLuqJ6}=RRneTkLBalaxHdl5*pAZnzq_@oqdD(DbME4&jZYW8 zMZt&q!T5AxCkj5?4?Ym3{T+JGNBY6_QSkR@&fWds1}OLk6x`DfZis?^L}~k9=-Q1? z@J}e%|3cSpjDmkgY5QO3+Rah$E)?v4p=-B5!M~tj{|j9kpBDa#g8eUa?G9+pyHT+J zg|3ayDSt!3{ujD7KBwG+7M1^nu00Z^{W}WwztFWuq2RqJZT|~h8y~Fyfr9-nbnUay zoc~0@{ujFT*(mrg%yB2?f1ztHK*4{bVE+qUdm#$`2lIG}`CsVT$O~PM7udgOk)7&q z6rMmC>_fp5{a|$AIAo#VNq#Uo?hb`e@DxAzEsS=^M#0nk;CE4Q7zLm12Y-ZuBPe*L zAN(l_c2MwaKNuafhoUHWjvxF3nsWgPKGP3I$DpA?6nwTH{4<(!5eh!n4@Q@)LopP5 zo*(=RnsXclU*HF$L+21d!58_#=wf*&fr2mbgZH4ci&5~Ue()bCIEjKU_k;JLU>5~n z=>vz+#qv-I3clJ8cF>$tD0rbCT!4bBpx|r#V05|=s*3g;*ZIM9(40$A@b!Lh-E6RX zWc1?LlB@-$@>tf3U4*uKq}4rk69Qi9%h^J}R;u8jf`bKkoE5Qb%d+Y=8Q$-yCTk1o zJ#QtOY_>WKZ?ZnNY+XUUrcd8%MVmhT#KW+r6|t&YwIOY|bSM0uu)=>^)e9`UM?vfk z&ooxavTpQ>=#`K-RnRzRmW_Cpt!b8bt}AHtKg+UJ&MaHiY=#RD*XWfPAInAbwSsCu@yqsr51b>06(47 zq&)Tj{5`lPwq|o|?eHqGbtR!fH@!Z#p*;5Jme}KPeNF7?^4Rk%`eJ$Pbv0$v+$rDk z@e6rt&Pf=*w`1>cexXHH5#<*;2DrVqCiZc-JoagM>NU|QrJoIC$-w#0VGdn$6P zLdwjod`}gX$9@9M5vKVUnJ}g#{Ea949Z49+68?!KBv`_Kkc0^&VcbFz7Gnv+NW!F= zu;L4pI#vN#x5yeAidpA};?{}~vEB?NtiM9Vq52`uazf}Bq@d!FxFagkEubh26=^`N z+P7PWH7So5)h>@0Z;89*@haY$Qsy3$v!vqH?zKu(D6KlYQ7E$PzpNwr7sPg#eg_w$ ztXh<@nqHUZ%n4Es@n{G>k7H5+NH(kei7>7G@u=m-3oLjF;??6d1o?7!3TRVxVH1_= z+}u=aHdT_ASQlv0DoNdWxl`8hKPvnNt8sR4rpQ^q5_rbbAcfd%71{Kt5x78a>A-kh zaZ4{*F$P+)CSD)1UlVT(|A))t&1;p%TQ{k-Dc;+%*2Mdj$B!+K58e_VvMD~wvNp!Y z+SZo%IE8PCb$M>W$7~UXPZexJ_1i_1JCB ziq96e`7Ycht#;$q#Lt08bZNh)DmO5?=(5 zhHOFN*Lpd3b7$qG9DA!ge*Ko%%d4SI6+%x{Gd@RD%0n!Bp9K{R`l^EXJS$WXpR><` zM?%Em;+X~U`JM{P-#pR^Thofhm&9*?LMpIo$8WTHunId4d&+K#-z;wTG)PPh(*%t!^kL;R_;b#z z%i5p#o#pZ6WoOmg%pc8}cC6Z;5X# zk3T9ZHI5&^YJ|YYA4Bk4;M!BNn2z0V`ez~i^D_N$YWj+vB?W^z=(nxTp#j!ep<}K4 zL&tgS_K~#PN4f0wLZ*mA%XnKBnglt&gw*~=Uc-)s&!s8#Ihz0a;pO&S<@?O5^o>|RWPtx zXBb$mb20c-GB`;vXq;h?v9`?lc(+a6J=&wu?hAUfubWl$XvZ|?J=)9ACuMGijkI_b-J)hNAa^k4~1$(<7Xn?ly1RzDcWW%}8Mw4WVdg`xL@KDMHxl^1Ln z7pgt&P*!S9pa2FyPrDs@+OX9XdfFY((?S;-YLzL;P^(-e`K_!&lSCcrkf}o+bJO;h zPaeLIHEI}}ImuSnU!#V3YE*{|5355i9y{f9Z9d!t9q!ckjCH<8$2u|apb5cHq^eb2 zS`m8seK35L|A*q|6lR zAMlgEmE^B3_<(A>EZ6b5%Jt8T;UZ50ZD=XNyMQz6;UN4Q{{DqOe-ETQ-aXxJIoYc{ zP*1mc?v!-8*wd*25HNZ3H!PYD-x30Lq*EEJY{`P%KnU`sJ^5&Rn&;5YUW8bu*AB^qmWJ& zNjuN)gI(A2b0?AAppgQ>RRmQ_wh!1PX9Wt5w&$eflU8A)pegePazds=Yii8|SB0Z@F=D-Jz!uKIPd z+dDU|qJEw1Ed@H(2!*SJ4+uJ3Z>J?fP z>K*zibd+5^)Yq;TI@)do;gO;K_GzJk_UWNP_FM?B2_0|W7#eJ^4h^+8g@)NrLil0m zMElFo2zzJf#Bew?Dm)}~a;EnLDp4|;_MYLCRhx|Ay=Qn=rgaPN$}veNW25UuqdZzn z(V90=7EQ*xqjFj-_PW1q9q;K9nv+wkUeFC%R&z2Aq|6clTz26Ctb~y9Z(>h7J6r|w9 zdas=N$+T$?~Xpttwre!;ErC;owUw+0&nDOYq&MRniQWCY7puW>MS3$u~uZC zHA(ZJMG7sbxK=^zWq8*1Sua>lX82h#1T9c6Iu#KAc$E8J9|l!%5qT(_vo4@t>0I&)hY{qsaPxZ z`feAJ%d98mTLFmBN9L;iJ+PDAo;i)3{lN14_+Y3xxmrH33%n2P)$qVBV7V_Oi;x>x z3=fdMB~WkV8t;uBJd@q=Id6pAgy)yJktJdcwmG@Mvj$6+z>VC%a=#h9 zk=yorBTKzE!dBeKGI=9oL=)OVZ9*rPSn~tkNegnjxRVy-4)2}Z4tH_~yOTSmn>ws2 zv*xyNGr4>Cda|6FDCDVIS3&i;S1!sv24v|hr5_uFXBK723Us34U6hsm_aZjPs~Bnr zTYLRA(?{|Z1irzISbHV+v&jvty^;sS3R`#>CZOz^ysXghu0#C<`=Y@ zH)d<*0|U_gQrht-kEWw+xS%M1RjYgxqP!qoz=*$|F7PNXOc#2UXV#*a_N=!Ko81~- zYdj3yYGzI3Bx|xY4MqbStQW0UV02Ilba0wgh^>|EvyQVOFbtq0fXpbMHya)d zwxXWv{@)6Hzj=RW?I>R^-(U?3ZM4>eHieR*&8%jPfa*bO)>c`w-e5Ir8VnfTgliFN zgjI*U1=qsXD{$>?xE8g_VG!{STysK=;o7@!tuRytuDu7>=nLOdv8Lz!Os#ZwK_Zw{ zgbO^i^2409vI-11K9+6ZW9(JDXakk{w{5@m?`g>Iy>jxYRQ9;r$Xk4rj;wN@@4w1@ z#r1tH^*w>;%ha;%x>~k=3w`o-a(&-PeOnNHMd>0|Ykx==d1`Ge9rM;&uJ32AZrf*L=;n7!|F3#4s7fSX|sqAS)Su&ku z%KlC#J<8lPybkm?F0huBs93jn22oa$E}_a2cDlr)ER{}WDN95^SyVE67Ex9uU4|FVRbIkYV@{-Si_-}xZYZBt$^@rYmfB@v>xqX`rQY@ zOF~ORH$nJL=$p{D@j3QEcA4EC!fE#T_C*jrYQJi4&9pM1c~(`tmFbeBmFcZ%WqMn> zR%V~o+lnErkhdkGX-JMV{&dBfbZXijmb;&{{`4t>^nOfLLyQ;4THYp5x)%6QbPGLh zEet(jeHz*lY8iSmbYAGG(CeY6?b@Md?1`ag?efrbtVMnsnnq}m6NyZVoG4~3@^Gs# zkz_4$FRM<%Wi9dwxK_ei_&Re`6O^P>X%1fX`Yni35 z%9J7b{pPk&Z!s%B?_MA1Ew;^-U;FI*>^g3HeSWsr2N%t+L$3TfA^COn7oq?D?5?aS z$vqEDbe2_SH4Mh+Y?``23jd}=*X+R<^>6a}0@>p=K9STH=s&+5wD}S&or=-+?@(w< zY#4vmP8k@J!P5VbKgX7+{_*~GRbAKzZDGREvC+WZNAba=Dvxen89JiBGE?} z99ywS^i3Qs7ShHGgLh@laf$w5=>eXFR(pZwl?C{`B?fLu4E8ky%9l!AF{2)zD|>jc zqqyAJ3j<{D{niQ1ewZxNi=bbp)onOy`VuEZBi?&oF}*x7YfF6Ix*|67e@T##)*>wND-G;uMkh_5S( znZqwY;H1f##O0gG+~MmJS7a)Nbs=*z6cgUWRa?m1bwx>*Fwq?nUM&+|R zCA=6(SQSfniPxtRvZflVVF~9W8f#z)FZKFm2#qyq!ZnF&H^;Za{aja`SR!xfGN0bK zyRN8C?&Oyv$?N7$eg%^Jpxnu?^jU+Fsh>OfRfzTmH2LPlV7Sj4FjZh$L*TR84r@mb+#z^1d+_HM^xb{2Bz_T7g4Hag&a~wMs4Fk5(DC z^eq(2D$7PN;;r7wavk%s%{(Z#OZ#5uFNpZMq7Dil?Q_1^>uV*f(MchrHWf18>)m=4 zElZ+;&-LD=NGQfQ@abxzaEW^ms&I*0$8()4Jj_Jl4N4nS!SDw6z$}GFC<@_W&9eWE z-nCHA{od%S-}HXF?N{4PIkeq`XzM|>ZI11L8gYj-|57)l+(c~0x}x4#!dtxVnNS`y zVIQS_z-n{ur{z|~`n<9pZ4SQ;f%jM7+S<8HsboC&0p{@Ak!STdbNC%fRpz-5QsA({ zp4)VHD(w`9AFsf*_kI_0??cVucf0jH6@=$L+#G%nbFIxZHynPVIea++Kgk?^FLLjr z%;EPT@X_Y*6-c!iYYx93fsd2$yUP>H<#)P&hMH3`QZG$CTu0dEUkvvZ` zhd+o^mdO&HgYqh`(<1FUfb!J+fgbV~4S=Rg(0=c8HS$c&Fo!?v^-mPN-7ItX8l@esvSJsKq>4;Fa`!>8R%|GIJT= z-xSj?uoCxsRu-+d*s3(XvXyv{riQAX3=qvN>FoAPbul$M(#Sq1mM-ivrwr`x+D zh4s$iv=f4Vz??#H~kKhWt`Z1VnobVFG) zXAvmtC(^`I*31#aQ;VPU=KrB_{vYfo{{(!jo&cY<%+ha(^^vje54F126|I5wf)|=> zO1ui28F(_-#vW+A3MRI0v0AJvS_h=wEKj`EnK{0IZQe;2du+q}TDWcEiy-NHa@pcj zZv#s|E#YdI%L}OaAnkh8FpriBHBc1Hn+4K+lumilF=v%bI+@jHcvj4Z1yp>JuIf=y znl5FYE$~$gWBi#^^SMt=hH|xZHRd7nMY@_tPxW;5j2<$0UC{>K4%SH5U}?Wf*YKoG zr_)|<8gK+lct7*BzHtxB*<2;IdrRyaw`t~vsLazRDO%7teb{02eAAzUDu@igYURb%WzIh!IX6ke+exrVI^D#hsA(Fu6YQtGd!4O*eczM z0S-yG@&H<=TdM$is|{q%KOs4{Nw;B&8l~HK6qTjRyo%saE`}$+7#vh8+U=j+4mlsv z;^s2bpWOx(j~RG?3RNx3i`#(M)~j)sdvtD%?I3jrHT4NOQ`6B;G5BIsu-)aJs!6S; zq;C5;x(lV5+QnYV;wfn}^{BX6Xjs~&+cGnENw@Wwxm~)Q*Uam;u=4W0nYMPb7tJ~H z@NQcIDd`8vIa2b^x!R}OGZu%Y+k04aNO#C)aReB!o7XJ6-P3ZaFYc+P?3XiT9Ehj| zvs?4oo7yYvcF)o1U03vYg}FPXJF*+?o$lzl(N5`3**DtPOZ_*E4U3!mssHBo%}Ge5 z{+p&0$&7VHTYx&$lFsSQjCQ|tXAkWz=`Pu{2LPGlywvw-dRO*13{q1M$Vr{0K3+{d zPLq0F(Nm0l*K}9LerUR@hy9`HLsj!4c^r7+`ez2oK=M^pPrnKIdcn z_rF(jNA%lLbu_hCvqhD9|9jmTCilM=x&LnIZtQkWNq6(yPWNi zmTr8yhbLXnbkB-(($0Ud@qWh6Fk}XNuXHblpOEh5!S_!0uE4W&N2QNq=}t=@eXGSfbzuX}?t*(~O zU+&Gy>3)p$)O0@&>;CEgWA8fPqbR!g?j8`xCghUPmfiv(h0u{AQboE{ zDT4GWAob`?n)Kd#?>(U^VgVHd6afW9`B1PUii(x*&Ft;o%;j!#2RD$w`+oB6=H1Sl zH?REPw4H6hOHHDyFEq8fsfT~;C4s%6Z@r(}P-{q;_0t+M%o=HpTzaIHKCeAbpBtb( z&pg*yYwUW?tmj`heG|Jj(VEcmgR~}0`KDUaFCOm=PVnt zI5E?4l7z-4W}+`&z-@vdr5t3>7z5X7nw)fYHf`MkpUsRSd)3SS!X1>kOQMw z{dee}h2CS^o{e_pJhweF>7QlbpC*3i`DvPBLiwl3+k)PnPRw*l*F!t49c|oPtsT?2 zm$a8?MoESW(dvRB0TNAZ>#3zpUml1ZZ#Y5ozFk*{aA?1@rjvE z>#c0BwWqCIsI_NW*+J`&QY$sTTB%vK(p`4VVuL1;{j4r~N3A23eX-V&k-d}F$#B2m zldMK^(hVY>?H+nFG1JF7*UnmJ%5|yMnc><+>tb?+S>{iu|9928(nptTU71I_Y2E0f znODAH)XgPB{o8^?Gb2?yh%I|?wAFU7NbV%#N zaO$h|WjT>V0U&YILOGIE~OoP)^@yBN$F2wUI2R@2E`7%W%;iIE~UqQBFT-qZm%3wb3l6TYBqU zZ#Ne6z-f#&hI0B<8^drKtBqwj{jPJmYql=N1E+D?ILhfSZ5+etRqa)l6U>egFEA&_ zn}Om#(vo%|YcX z=5fNxCuX{!GoPi+qRcC3vl!;Hwb_PrWW&&>`m=MiIrP~|+8pNDx!PPyK`PiQdF@OP zK`!ac=V|jO^QX0W4Dj;Z-zpN~>8+J?ET5 zhf7B1!o0efXGM0)dhT$9HKhG$+5ui#af!BsHuQOI3DeM}+ESyTq|g1o80X1k6_;tt z=;KYaWz6HtwdM42{dlrNVK3;XlaZodfj-siAUq5;JhZun#cCHB7T)j_bRB?w82C5@ z(+X_`m8YAwf{|yXw$c#ad}o8xl^I~2*mZC^DB#Y*g&J0At7r{9v{g(EtF_fe4SZLx z3mu4h);u{&jX#*Ti@mW|JCW|@H?)y!v^7+OUfLQ)gtgjQT?8`mPM=$+t)tKN*48o4 zt=HBY&yjrWIofPZ;T?rQJkRTi}?>s?(_IN3LB5dU;V0+7J_?5ldo zv{_en?}To%6VNXAQ^LAg03NXf*mi@qfhx?QZD16(QQPQJ7~7I=7O&}%IbYzoN!vs@ z4%Id>95-v5y>aZ|RX2pA>TDQySXej9p1v zWEFRkJ94sD#a-l%9F0|RHxWnMsqNBsLy7tuCHqFnb~tQXD{aUAu$@O^6T^1L#Ow>( zt+PnX^t1CX!X`1(FV4RRtHezD2A4hB9%`b!+8)M4d$ql~iS(JwutZahz1`4HSMz?* z0K1_??qe|}60(>WhP7rNi{W|5Vzcf($+|Y7g%UIUuBYF9+CJKl!`eQkA&FX|%hwV! z-6hXLe-ye_`~-x9?kDq%!93zPEVgBk#jU@faYvKF-hwj};q}1Gad3Fzp0E>p!cHZH zk&nUHGru18Q7fDNy$Po<@O23K`zP?tho-I4F~4OlW&C!L{+6EkE$ou<+voJR4D4@T z8oynqzXh?s-7tRpmj0HJ{p|=vW@pyw(&m6c2AcrlcC4t+L%CJ;_=eP zY-~#=_$=E5Uu3f@x@^IQY~cL%^iHMPp(6=XXL%ueCRP`T2*dMx|yLVkSHo(=v>vgd^TB}F&!GxpXW)A@bGNB;4`KjxECVHP#?BMl0%Q}m0}LrpGl^id2Vh4%TJ_;$!3Nyue~8z^i(J#SoTJ^@3!&JLpn197^rxhYQ^v-(#;2W{I`uW1F+o zVwa&xHfjXD9(=NujTVfwhww$ot8JbgB(yDLN?IrjU&P{E>RnA+rKd&m+OzV7ygMSP z2bOQ>c{gxLXO5i-!cll@l$hzBK1jDW=H*{zbx1b5M@3#{(E(C(g3OKWS?t`p&@ziK zqbD3FCox!t-kZHC0pcWk3)XsPu@Ylq$g?AJ#cJi}GKFOoFi7^7UGat&sqO zo)XepyZ8N(G$CbhC8Qu$(QEA%GcS-^^mLThuJMJu@2@1eMNdeoJtJSJpVx?oLT2XS z%XnXKr}MXF4`{8M;Y`0GxY~~8slOcV*>EhblEdx*UOhwNdaLNW$X92zyMQ7vIo01d z*4JBg-f@+rIOn7v2x}>GU#|w>)7E;s_bq@tjIX!Ky#V86wxEJ(3p<>4o-eg%d#5e~=o9KyQzVRi; z<#~A$Isv>!H~E}BHd*tc;G`R(!`)@kiD~&khsjFlb-SZ0qCB3xMw}9kQ=xem%klRS2LXwDD8zQ!4RV?NdhL&$Q26YSXpv z+Q;+(>up3;q2z55mf+N!SV*gO``TCQ{y%58e5~ed%*76^mma{vJRR5)BZ=99n${CFuSh7!ih`CE@ogE z@<$}=43{lTOy&W>lwWCI(OQmbUoo|Ot-*A=UJD=Um+8^Co?V|0^~)ABN6cjUWv z%W9r%dNo`c@3oPi+-W~%oM$?-R6p3cNNWU7X3woWl0eDYwZ`N=&#zZT+wfxMNcLMYb0%a5C3BA zk@hgwk#AabZbI8ai68l=t@mDq>QQz_yF=x>rQKoV`%Qy2RHv8RNwWWv7@W%~7J$X? z+V8Z;9qo6f$RFAtdJ+AD#=J1!SiR*26DLk#?rL`_=ijxv4Cg+oRs$1Qf;vY2%Xx3gNmWdZr&Tw=OqF(?n|&#N&!s~5q3wqOzr zV<}idHn$~&XV;m8c+*P(@N)3*e>7Oo2eoS2Ka5)c)&6z)A${&Y?LYclF6}?&xqBKc zxqE`xUoBRad4&Bng{kq-!(g90PRE6dJ)g(rjbH8FH>&Y@>sNal@9xIEIrFQp@E4;0uwq5Gb>PZY+|ChI@A{ZW51 z^hmHj^R^)zZluDFeR3+2^J5w=CU?CsxodZ0LY*K32$g{f982yvfrEe&mOu1&*{^GWOL2rj_j5Y(+`K>qe3@v^g z@?Q(D3@=VOQC(&PeE~v)@k@|9PM4X0lF85|*%wPo1OqjgmMBH;xDqN*U>^-}7kwBM z&YtTa|7Z7}g6NbztE7J|#!LGC?BFN>*YJ$+k6YcoXR)=726}e3ntK*&*7WIe{L|j& z3-s-+5KtkY1xN^>)2GNCr=d`wgt~Of^Y(t~1(Ae@vwId>kqK%RC3voP{K6~3ePv)k zJ7^$aW)K2)G=YFs$Q>tO7*N7o0zy~K2x&!PAq+OA5Rnpti#h$m4h8L$X;pH^F%1U_ zY?m_pLf_$U3|zu%Fj`PDSfn(vA{JhYG>k7n&e8VVDdQG`fH(8mKNvp1Fz7kwmizD; z%(#u0C?h>B#WR2M{%Uw_lLsqSM$0WIiNUe7X8_iS0Odqb#nmBqoZ=#Z66vyrQ`K33 z3Y*Tre)Y&5S0XD=pl9P$br-h3sRUcB0fg6Qg%7rP&w|W$#T&wBgX*C32%JOw7#VZ#%xCNHqcORIT_+;P>AJ{|Ns z4ToWy5MeRCt>J~yqYWU=(3#9(+ipIRY5XgWW&LML*^S)l+>3K&)(9m()6W(vrf z3_*!)CGrewt!x&7MD{0B845x@1*r_($Q>s`A)pj8WC*^)^Cq8n@(jn^0<@Bio{jAJ zNdjWD3ow#Sibx>NBTB!sSLfN>0l$ zWMXh7kS4qz^a|c)`RB6iZu3uiU+*FIdfI8L7-&!oZR`MY$2FFW2x1M*66Yl|U*Ut` zpM&WD#lcf?l*3SR$8m@UO1w*5YzHW}`*b=v#4>q{^H_L4IUXaFJyKK2V=-*t1{m<9 z_qRe_lcexiHn)-2+pdx3NcWK@dbf{+qd3*nIC95nDgh`7E={qf z%xAF}LrnRM3&6t1vjq!S=?>3v@>X=K=F zUV;QmtdZ1e8jy5^NKSS`4`OCKR=pdL~V%MG_f&vMPK9 z%Rkzp6t(m!{%P+$^x!jz!L?}}iNST8jjjw0t4tfchTL(Dei|rG8;vGOjiLz|yH}wl z){#4|#4|v7#wbCCUf~;9;o>YNJ@}jxXOX?l2@f*huSzA^MD93AssW{%DG7(iaTW%1 zm%@75h0myQ7E{med@c4)niFwK9G6k`QvqP$ULBfNoi=+5x#OB$11L4jW)lN!V+|1R zvjO6LF+feIttORZ2f5=UsRfi;rX-vJ;(aziyhSI4xB+i~c%KarZ?XHGL}EP>CA;7s z*JUkkp*>Qnfips$g{D7C)v|}&acZdz6qvZt$C_qLJqJ{n5`w||K61yEAhR=dTqVpg zQAxLV?e*xa3s2XjoRY{L$BE3^)N^riN?RYO^=XL%yxfm z4nc%4c#K&Wqq)2V=%WSI)yL$H)71+=dBM;XiIwnAK*JZ4f^V^cR<+ucnU!;opn%r@UAydk2U5at9zMh5iGQL*NQ}y)xALxy>gDTq5>c1m*T=itC z`6a!2vMy;VsJ5V!uGy|8o{IcoS){3_B5<|`4VLzxzxGtO|ByRQw;h1e!O$&0IlR_G%X9>KM_T4T za>tb+lg^!7?x4?}n$kD7Kz_y>n!8IJA?ee7VMifpHDZyXrNz6pWKq#v8SM;$btXbb zDCCY4x(iUcm_px4ib!ut+|nN8ii^;iF-Z}ig$VO3U!}D@*!}mUa8Pc9L@tKLxh;SUcQVP|+Yr|y_m|l3GO9ZFR-n3SM3trt9o+pQ zw3^c)%ss?m_4FnemVE_Eyh6E=Ri9TlZvBAL&)^nXBPkq)?xrmw ziaNXZtd4qEy-CpD6r~3z3PcnPnT>9BL~OEd^~>zn&xuN@lSF+i219(0rH@5sG$)A$Lv4epBxH(yFeixv zC=OE+Zm`Sinzm6lwp?2P7H1fGdW}#j9XSW08 zj;Bo6G&kD&)=lTz0}(LIPtQSPUk)(sF_PUhMc$-~sAkHak$Yo+R1E_;{auPI6rR`v zmXvW;n%u?j#7OoU9+dWeU=n-OL^5?mzyx~=?{`3LZpi$q#aKRBZRT4A5in`3S4CdO zfNX>g9+jDCGSNX>tsRal{mCC3o$9p*lBDV2pr? zc&Y`e0`8;KsV2`$lK^$V(LCA?n9dL?DY4<2gi_0F((jBIsYn z;U{G$TeuICSSNFdiF9@wBt_KMu{Yd(reumm?~$1)nZnI?Ie9juJVRiunV)@`>Ko6g zo_Ib_c?QEI49|0#Z#<{D@ua9YoZcq^WfIl9^S!|c=K^wXVDgsX^UB0i)I65w&xbj^{qv7-CGm^$E1X|PRDI7pXYOn=K0d1 zIUw~M+9EPspTo6iE>PxrZqYp7S~SnSMfM-5*Rf7F-y+`CT~1db+j8bnG0E6`9w+8} zpv?Cy=6tIOZ}R|XWxl(Z5wN%duEdJDz!x#eUZ4e3Ofn2#z=^pKC<{G{xxhCu7bFuC zKH>)c!HT)i7ct2Wp+!_oG6-A5iMbdki#?0E&^Iv`CKGc65tH-cMZSo+1VmXv#Uuli zC7hT`fwI)In2UT9bCJ846tk8A-(|E;GD29!)wvuf%k?_xWG6iZj=Z~Vym%Zj(AD!X zp>m1GRr?jdYXz-`3>;Q)^{fQSO1FCGWUx_>I>$pjOWo^Nap>ERPW)+CMq>a zX`48yHv?s}DK$5Xyv?G^!O!+?v)Fp1pKSw9v;}I~LZu)XYYQjER-kP4CPhQ9(~|B| zcz*$i@%e2m43Q~fqCLPhqBjHHwt+m`sH`MyZR2F!4wUVlW!-LZ3IZ?dc8kSTbM}7+ z)U<<2K@!;xPKupC+38J+sy=FLyTwL!W@NgZ^-2QVVX!JkBMJOc<@Rdt^mSo#7s$Vh zwv8muU0mCC17)|_HZGEOx3}+<33M8xy&S8-v7#83oW zmF(kWO$17!XIb}IOkMMCywAen83)?u?m(uFxs_Vl4Iud@31my6a+A!H#L2xMDEmFj zo#?ZU6MfThq9+}j{R-2YB4EOm0__2i?EsaVB#Hx^+y{Yj(6ii0KFgito80;Vj7*O( zytW>&Bw8Z+usQmGg{`^NcL?M^MB7Hv$sw+7hky9;UM}QG1B949twHr`#f!*7FANdV|(O5d96Vo})lHY6{H->tTz0#s|P* ziydlAupZ`4r7-$;Z-NAGQt1fNzsX5=3@FD8>ByoV-@u}*4UbrO1uyOqi-yt747+%~ z&-$21j@WB@eUeeb5qhex;g`mO)mzZix2PHjw!X!w;ccM2%{oJPEfecs=k_v@HwvTdxr`_0O%b~kavOdu3?X0{WPv_P}6~Qt7Niw32LPtcO0HO zPI-`kInMDo0hAN2#+VH`=I$L4NBGkoxJ<--+);VUVse?EaDU66x!`lQ+3xA^vMl*tC4eR`9j6K3XHvnR_p`CUsVW-b4& z#fSCGCVv3U`hYf>IN}FflTQNWB->=Fq*FjWMN1HmImMOu5GWtIO7PO;_ZlSpnH%qN zo=v)_M~Jpf1s-?*J-n9B4)cMTjuvu# z=p2Ul{37;Ai;eUA7WL<-oPlJI~0AI7L4!5OLZylf*jS z$&^k7G9BwAw+02LCk0R~`uCfWD$&$u;3 z9{}svTU7spJtj~&XW7%y#~rTHWDvrt_?*Sz9DFQT9WqSb0qsp*7c#SiCrQs+cnj&| zn59$v-m!At-oUG8a7XvSla;+b$yG}CLz1ZmRy`LiUWhg)P(B4?eo75Z?>Iw$2ETn~ z8k+0OUa**I;8lO#-p*?q=nLXtRqrOg=t~I@mfZx7wNdge?C0mE} zQO!>NUjmOWDgXbGJC6S~pj6qPyrB`XrN*+iKC zQL%22J5H?YK)J4qMNV(wMY7l{!@XX0#bV1o5sCW(`D&UOo_=5hy>>LdK?*yU8}PUw7a47Y_bJITH*1L^=OO?l{i3fO5+a$FwD} z;Lo(gKje-paT_SNT_sq5ywc6U!eT!av6GH`*2f0_x}BD zivZ*L_awCbqg4izJFd!mK)L5u{jJy zs4^0kD#sdCN5J3QYgczH7RA}tDiDc^LaWY3?zpOLK(V=1{i+_z3PhQbj+Vk`8RH*$(XMs z;iZ5xDH$op{N#?~mAKd;%}eU%!#M+v5N6eM?C9V$>%PU^(q2~N$1z;7`0 z2V5;o?znQHKnXQuBb^?q*&^>xw3KTwP&63FBlmHG7v`U!rH!5;>@5 z?NG{2ImVDXj$=4b!VT$(X8CaW(_#-d=d%&OCxX@yNA9>fB7qXgNu3xx$thbF_$>=9 zSDf5&<+1`Lt05cFY-93xqs>A6n@2&D?m4T9&UjjBxUxJKmxN*=CJ=E$6DRQi+}WfXI6DkC4xbTuly z&y7l-LZhY|jUsrNmo};jx#Jp@4=DM#MwzobT%&?gYLrpTXcXJCvIY6vsGt-YHPdJm zf$aRWQB}zu*Qf$ODZn+#P&w~@8B=PMQOskbGWy&os{^))`^|>n)W6UhS(EjJV2drD z6boOV^SqJI@`Wr;gM>+g$miG|BGk(`U$DhTGlFlt8QP40*!xjuu#({Za1O7rN*^|G z))vej(-R4Y&PCSKTgj%J*1_VqZ6KV>G6T$5Jt?9gjUXn-!z~xDiI?exnzGx?ZQ*wBU(2T7<~9R3-2Q^s zsG`&Au;=(|)}g{JP={OG10i}@u;zJs-;U=x4+0pvoj@Ey4wBI~MUx9BXN1M<6**QX zvL4sHim-d%$iZtY!fJIBCg!La+15qa>w49LYcshmf?HSw011`!*1^W?$flgIkrrcd z@(Kxc3RZ+ji@@gQd2NvvJBJxzBe`xS5jF!OEa!_^{1rBf&%$Q$P1r2Fup8w|B;d@% zYJQ2tmYthagjQKOSPqL9lXM5;)R4o%3wQ_1VX>@9rt*?GSPr}Q{lAROa&TiJcL(Ey z)hs5TcwsdQEl^IWQoj_ky&$*__;Q-OWNkDP(px zVey9!CCIqFFfH){x#LPi0VT>+f^AG*i|Kr0)>SD2<%>|>t;ik6yC_hK>byNq0o(H0 z9bVCcA2;Pq;n35$MsJ)<97I!r+K@X=pkhEN=4z}{J!E14){bC;qb<4PO2h&s)>VR4 zPkvw2LnZ{`DDRiZ9mhK!DDm#<;RY-DeO6EY6x1^xBC7+PCWx%Z1w$c=!!;?|Lf(E} z56k*&>kCS7p3<6ny*Daa+-UotwN-t_}?_FZ}%1&fA*7J+n`+0vl zFaKm)uTO?7_7Jm0*$rXjY!_^4IAH~xyxfc=GVan>yll=6&qy_|+)i=jLEUCS-H-#i7S zpQ4-xkUNfZ1)x+&*|mA_DdDpZl}Oo#sB4pDpo&z)LFA4Tu@X=!F^z9!ej_}R2f30y zi&ZjZv68tquYyuOyLPFRT$^u1DW6@tl+UhRDkazEptqFIu3gGU*Cy*>m8o?d9WJM_p@>S<)frz$NmoZN9GssW{%QG)Ep z%ABAdaR3)?Hm{7;+f$2K{3&Cxjlvv~SBHA5Q<+ARJ5Ht=K&fHM#O~g+m9a?b+%7wK z()+Y3ks^EG<;;WFrmukEww4f$!-Dq;hwXPOWYMHIO!$}b?z3NpA*Y+o9 z8+%&2(Jgxx7(YuZ9ZT-GN^1k9wq7YcNW@6z>G=ct$TpAX;89qegqem{$sNb04p8ct zvU8RxXA$${w+@sQbkQ5cbuZ?0i~X2MV0qgQRRJ56c?)HeO6R?tFAcdhS6~t)s@DYqP)da zDtk&FIpB{!f!0>u-ps3u`*;GLtt|h!#@%uuskrpCR8@6IFG{_oS5!x2$;j%FwcvU^ z@=oL*sw0b$vQ5voC<_yV);f|4en{ z2+ff(1GpZ|aW=;})uBabg|s4Y?V=6VhQjrL_P%yXb>vK+Gh0p#u1#`w z%GnjJD|7D3xfiZyb6(5&mFmc)<%-D_57)Z6+T>~n*D1M{=2`*Q!?{l7I-@#rr^}r+ zcMjE&r&pd4c}Bzac3vfKdexElQr_?L{-iqc&Ca(b-+I-Nzfu17`8%nO0tE|{E>I4x zO$&4`&`os|ELgB~LHN61-GXfj0{?2@Vdeq;re;up90` z3sE)WM1 zDRmL9-Jr_AUwLbTg-SOoLAxs#s9dUYS-5tuJhbu%xE`qder1rS@>i9At9(~=JpEgh3{^6z zjw+3+w66kwP`6Iq)^&kj-C1>4*IftKFYDf}3v$;hQLkFPns9a0n_O=yTu;>dwBBXa zQ9pbA==!m6?N)zC{o!yuRR3iC({TNv{=W@Co(3x#>};?{bu{eWaA-rQ|M|(!FMfWR z>S$cN@iUF9!*x~T-HrFb^~c8lHIY?Elcr5NHv#`^a%1fU3D}s-~74e_2AmS`K!$*!gYJ|H=2VVwrJ3zU5gHI9n)fVi+OOp)Z+UV z;O{NfmbqKzQyr~3wi?h1{Ib=QR!dufKeyh}`eDy;i9qntiZ`~gB)nQbJxg8e3 zbytUXJAhwxtkm)Oj!og(yW_Zy6I4g12Aw)~>Y_S2r|+Dza~`<9+<9zg@TV@nbPehX zcI-O1>(s6@R7W>kw;bJa!L@m}p51!G^{s9fyIoQp-FJ3>r#r~g{dSKGJ-}W)bM-9I z6a1&=uAcAqg!+1Q?=`a57}e2xN$;J#LEpVk^}g2oE7j4bZJ+*q2Elb^pLKmUs*b+n z`!4Pa`tO_6_hetN(<@b9Y5qz})zPnfzxw?e!Sz_b3;h7E`hVL0$Nu2|1A+(S8&D9g z%?9)s@G@K{4p=$>^futYfDZ?pRUHFc4D2x#}2EU_`kQ72w)@M9&e>-jTs0^Noc1 zMot>JY~)JSF{ekNtVC`>aA(%rUAd{-KLM2J{qpurXQRB4qWfd$TS1mF{9Ls+A|=2 zXZ$=f{Y`JqrpAB}O{lD3N%>GMt%z16jiaD!Q$J`Qg zYt4NQuIuI=oO?ud%nO-UU|wOk{x?5zKKR>$P78)CfcRPP!$NssI@Pi8w?)B=LRH72 zt&84V^tS3)TypWVi|fGk^Tod`2052>S~6q_JiqjrrOlSU0N1TgzmuR7LbUlX?`0j?L;e81)=)v@;dwO7|(gX`bx?CT;`$NHf4xz|HHtsl33 z;d-$1`u*!qtq1#TEVZ%rM$pfu*EX%#1nu6^f6JsTQ&h*+a$DGsI&(c1w>w%^&2X$Rb}gXV_%PbqxOx3>y>@C_W}RJ7ZP7i>3z6(qm*4z5)lhuR++bO`d+;j)M89&VsIjszdccLeNvOT-0pMxRLA*Y=VzXu z1J_T^e|!E1)o~%ig70Cm?+{Vs>r$vU zRxCTqhZxc1NGZ&UpTV;>)k762Jf(;E0{Ns!UkVt7h~<1P0H&EmrK%`p^|cbCBE31! zNZI`4O-_f^=ee591?ekwNv(A8E~%5%R=+!^J25Jv9I3Opz? zo?%U?P#_q(GmQ!qQ+LKi1Id_Y9xW9MG;^Z@5==svo8VhjiWMQe(EvRrqNR9Il^7Kf zO$n{U)L3~bL1bf$1{s}n{-+)f@N!z6csa21LF7;@s zDK$#Xg7U)VlNzUXVGV&vsHX{G&Co9|HBAf3H59D7Z?x1rtq5%hPCO$`32k=Vs!1=T zC1tY;PjiBs1FU>ftF)$cR`F?4cy^S(TxydR_0QTM%{IUcf`U@pv}y#y5PH7)M0zPL zH^gWR$zf@}A*OeIE_F!jMqv%}STx2o&R(h0<6s=tK#xs>Od~arx;!pMVh#0JHOe$r zL8;s0WGusAdV;MY^?2MG=ib0xk7EP*Mpl!0Kdy~*Z)o4gxuNcj?f1Ah*1f?4o`eRw zH+s;M(rEXFJD#M5yElI5lh$~51q^=@72vLfkx!};+!ZnUNmhirGR8jX%5Yc6tEiAs z=9@J7$gP?*0hOXF#ob#bp<-N$;gwTGdJUDsD~DH5HEAj;D7k`oB~6m1qmn!;$xswo z@*UrI~S`TTc2)&Wt0_u+t?6Bdz{qZ8hr6S9to zO1w`;BubKK9V9GQUN~S+inGX=;M3H8&>!&M9 z!=$z7pAYVzhL7q&pHEtkN)3!s4aJh+N2LZJ_ze&+-eQ-drH$yUfpb>YVNtOGbXcNT zcH*dxv>E+2uzt(>E-E*`zU%J4qou9rzk&B()`wBS0(_V#SU=+YPLO`Yxl~u$j;<`m zm05R2B@1%rSJah~)Ob+jyeB=Bw)?o|;Ln}hA9x?%2kMZIGn&FWJ z12KnRAW*ib=}q>4}>CNc9#W9e-S zl++R^Y_OnNQcbWBv+(&vy)C_qVUwD|#t0pKZn27V0?m`!%)^_gw)8%lC^eeM-ApIZ zOsUmOys4^4AEK#Jv#EG<)t1hnxl+5i+)efonk+4t%-w9~&}?bNY`p2}O6SpZX~}fF z`HD#w(R^vme1-|h{tz@@s+xuJ)s<{P>MZ9{h_qSy3}et&#ogC)ek_If%ipzC_n34U z&FHWC{CZxX8HpUmZVEJGnuJutm6#WQmF&{z7?Xx#gj@y3q!E|;s=;#U3pA$?Ga4HQ z9)dY}llG9Vp-CSHlk#S*DP2diJ}zeEP1{!b8cq8+nbt5bnNC2{rs=+~C%0s$8m3A& zF?KyEDPXjR)PZuB*PMos%S+#)iQUx`(7zv%iAfubDGD_4V+YfQi?VP%TlyYj*-(s- z>m!V1HlESUk8L~?Gt((a=Zll2q#rS+g~akhp2M~Jj%U^G3yJYm)K_QQXT&?2 z{fUWp_n2QO{f05m#zesHQ&E05+GfN(n*NE3dt&|!B-*7i&NGign$Z*gVx&J11dQMi za!rLGK-y^l0h<4b0|H`xI#CZ`piaCeHJ1KF3=k6gskHOYw$;D^bb%)l2Z$?p>KMQm z@cu?nU;`!K_o=jFV6@W!26Tfb78r;t1QQep(}L`GgMxPkW&IHTgKyMSgpLF0JID>C ze-S2(kP&iCsKfo_q;a)}Wh@M$mjF3YbJJJ!iTh#iJv zgj~}gb{P0U(~JC!oC#e-h-(-rD~-B{;U*-_po@s>eWcMeV}uM{TMes0zcPO^2yV!R zizrz|P%$(lx7Guy=5MvCt z_Ht#`Y@~T|7-9=zOUe^V`lb*S-6dsJJ&-#+2C0a&KLeSUbALPKIXN7`=7GG!3!(D4IwNSLt$@=rTje~6Nr*}Jk4Y>pp(`OSJpeAbV^eE6 zA7T??(}Q6Xi%;lIh))lQPi`0`50wibMj=K$I7S&brN21rkPD$(p<4yUtqj*9n@G^D zFa-u61sb85j-V90*x@`1V~iYyux02*$n`PX+vbaojIAi>S_oYMgf8NGbalr0-fJzn zC}Ni{@p#|QJb~CnbYvP% zbEct3V`PA?hPm@T@}l8JzFac|sk7YQV3+gA2?%n&Xz#wCL6D=7Z2%p*8v>nRpd+Em zZn~c=mqd)aZ~XGbScq|EP#ZXhE@!Gli0h%tnfleS?j)V)-71$xtP|pJ1`eX*nT|*F zY~UTb9jBInyCAw9r(Xv1lqk6@VqU-<&cHizMd#z3kHtN7y=1Bhs4JrDCDSiEwvCd@ zBlZQ<;aJ>5>`Uf+hOUi%AG)7c6&b2}pey2^NBz3(Iv+1rKp1==KXZpY_rK8(J^CTh zxdDaff?ib=5Z6Q(^r~OJOIQi9Fdz=+j)BJC=!jk&k;OxFLrXP1u#2J_TI$!Wi};QF zG{WKo`!M5gtX~@eO6Q>~-^n!)EAPwU ze8o+4OrIT-#Y=QcztrZp3!_{5rC;+k;*oMK1WdoZ%~!A_&!K1f<(VvKqHFrCI=@^Q zUDI#~K7d6x(1bX9cKN57zMWdma!30?JpqTWiy z^fyG+2iC9I2Z)jzA+Vb34Tyf(1^n4^W5igm z4tGD8>Rtw&HXu%G;H*B++DmSVZi{Z4y2o6seaz_sdAQshU6)l&!0!=p1BSSUZHyi+ zx^BQ#Yp9rB(RBl^U-(8KJ)uy}C%=He%Xyo7SM@>vM(+){_Y!-#`VL*>R_Ma$!fB`5 z%i79xV<}Ej5 zwA@JUfB=mEEq16TRKG?dWI!5SS}celNQ>1k1ikv9c{SUkMz4$yNP>e z2nvPc_sWp}hhri`no$scMec?mZUn!OD|-4v_jF=M1IW?6#i}3OTdaOP06B4Q_s;mo zau39DA^2?=03H32I68~v=;C5cfG#dpzXp_exO(iI*OYr9s3WL9UNbDLJ&jH}y17{3 zL0}iFUk`xJx;Z^9S^bqxxHOh~Bc`*;3HUua`y+LBVow9t(bdJ80$p9KepA48;_3{x z%cJGKi0uK#bJhsx@Q>W#4Sd&6e5xk*LzhRFe|(3itZhlRMtA$|@&I&uRy6^?N2E^` zNN?EH<@!J?)_Bf&|@=(kHm;;`S=^l4GyT-tK<>43x?&=BX-pm&NMJ}j znPUcbyR(_%RSXMv^#t^9%oJ%NQy4ZUp+Qaw#;_1;HVg}~`ZYSL0lEeUsk4H@v>^N4 zpx~WBSwDpT9jF&VOFT@9oRDz)))^r#eV)YxK6vD!y zaqOntOP+*@gVjvH?=d>kTy(I>1H(hC`7k`h>Q_MWAmKq@cnfJjUuJ$vehqU-!1D%c zI1G|B86<2T!4MH^LJSeH`eorL!1rt}sU%OuT!Og-mkGW3fHA3sVImgqFrSFkZ-8@% zd$`!hHwx@^==h|hJRNh2yMhAxHwH_Z4i@4IMqa^C5o<~e6|wpioL5MwWHNF~7rGXI zCMfF}ImkH|wp*Twxh9~w#oeG7KxsaJ*nETGBG#N3E@JiT4o`yqrsEm-U=R7^+Pm^> z%rTf_aE{CuA27x>7&2mU5c7;!{R+w}oTBPCzp7LNaSQo$$6RY1;n$Spyu>-TA@>a}c_m!i3H82L+6B}r3KEp5*>joHRV)g4Q+&!Y_ z*qpXf-i|p9a~e)Iiu3_voQ9z$7I!hPiPi5T%2)n`Cgip|&RvVrPEWcMP#<6--$;BIc4C1U6Q5Z9GA{kFLgzv1;TP%>G3Pyq zGE*#z!H2=;1`tNx!_X7!8W?(F_3P%=DMDc?c%Gf)+$!(K+$Yp%7=Rdn9)jM;e;9sZ z-2=lxL|bD&V8VGv>vdI?-34`K+4brB3fvHJDm-a=tDKy|XY z@Qi#IbD>b9VIX24S_H0<4>1hIx(SA%Sp8bKxKMZvP`w^E$5fSH$K)u~dKi@$l_^9p zNsz{8bB?l^hKDhd$4mDruXXkTf%f~QB`l7V^ zeg=aQgVIOfaCKe(lHbO#yzh|nMco*d7?!^HiSrp(XpWHI#n8mi#8IVCAMhhIi5$iV z6GKxhs$&igh6jQL*~HPxluJ%K?%p z9T|^?)iBO0Zj6(-CUt~igAf?>2F+064jZ}&BYKE>p~c! zV)g5%`wNBR0LcOoN_FPe&5e>j#B?guei*A5tA56?5v#;6jg*RED%OoKOvUQg&kzs_ z$pMmu4b$|@?9r?sb>cm#Cr%*Y1X5aX<0nZ*I)QWsd%Qwzj;Rz=sh=s9q*C%f;+IB` z7sFJn8)2A=)vuo+AQX}VB#R!T9w(Ce$RA-^6>39_SBzIb(VGP)x_5 z#h?v9(6V_JLsqOyVaSTrub<%{6rKYli>EwG441&&loV7Ws<&875z$mc=N>DE2eDt)iGsz! zT?<23tbY9r3!yL_AX!oh-E<_|lGD>p(w)Zp<;$3Uh1wNk7-QJa*iI>i*%XZ7E7rX* ze8uY5&(IJG)d7;lm+*BYeTu~;|5Fczy{KSM+)WCutVf5I3(^`X#d z>ZbBFOvpm5i;;|x>}Q1g6Ul5+#!wdPY8c95_3LMt2!-tc$>MJ)+ekKcE+J2muVZc& zYGe#(3}`GUk;08ru7AW3#}LO^HK9K62twQlb27t*Aucw{ zU=|mvUq8bqHHES_Spt#832%Cuc1Q!-U2h=Y!d&i6;Q^szpktu>2}XembmG6pci%9~ z#kwJexmf-B8A1VpZozd1GR)0A=TY))Oz1+bkCBd%?k6$?GSbetUO z5(?`9k|ofgPCv&18`a^{S5hPSSIq4~od5$K1Kv*{2z0=+`5nVutUF@3i`B26p(GUA z10;)xaA$M;82LBM@j@K|gC2w4PcRS>^lYBTkQeKc81iEE>t{F#h4%o-A}ZwBTpuO> zfw^9&GhpCj;QI*(q5_}I_ZaqK-4erItbY9rDWMP_AX!9)eLDJCF*t0-n8yDT)4ouj z!1%}b_Y)UH#y^|-G4#c{CWgLP{rVYJLSa5YvWO0SxAgy({5Ph5p?-lFfEeH>Hi*Um zqYI$FLHoD-FG2u90KP#h)CW=_1Q;+t-mpao5Syj27a&%@euh_S3UzO?Jd9odsXGJ7 z{!$s|v|vaxy1Tcld=EPX-YgRkIwArhf}g1Mun>XxxACej!hl#eMHmpPUq3@EAkZ$j z&W8yDo;wGMjGY6ao`R@=sNg4xJWNzzItT~_f+x-p3J?lXITU#8B=k|}LHgjneoBwe z`-mur42TSV#@55`B(NO?gafheif|xSzkY^VT1aD$0uDTO7Gf3p8BFXf2=f|52Sf)y z5$sW*gVABow&_GSgaelft^1Oe) zdeqQB*C${fG&$V(1x3ZagHUJ2z616h`~=rW4G?Vq0pUTc`yxDu)vuqSCo~lVNS4$9 z4_+2GL$Na<)PoQ$5H0*fk<@?|Mu);!>{|$RA_NQs3_pP+wE+g(zd*PU>&^%lV)g51C<;vt0g@#(!iAT`)U4Rq z5b9Bg9*7=(qDpE+52M4OFRnhTWJlOQ*uceAp+4}Kz=i=GWU&=tLu@w0-iBEH`Wcd` z2_C%3l3IHkMBa3ymjVCT>(KW|URE^hc6hU6K034-fP3-CdQFyuY>_mn)? z0TJqLh#-g{ej-jetWk6q+sqBuiR>5T+xN4?7}4Jqu9;QN&Ml zNh>JAc193J#JV}ch**&IEUJzcyxDnj>*q0INdS1TEfVd7S2N*fs_Ssk4H@v>^N4px~WBSwDpT zlnU4l66&LfM~Fv$V%Fn~M@Cmj|Elx?r4qsq!VgYD3-tknpJXY>7>yzP zBvVa5T@iafV)g51Xb04`g6e+Udq2bm)}u0*oPHX+MM8ZQaS3tBPyBikaEZj6(KSK{ z5*s22L1OjmXLt)uHvy96Nr52i?h#B{KZD&Pq5g{4gxKUKW<4p`WOR}A3G67P8bT35 z5l&zW^#Oz;%XDOn*bs^=)%3tFioGJS`t>u+AK0D`p}r@nS48ZgkX_fXzgn84)WD9B zP!B~kLNxLd!JafUBJpQ*ju4K-h6}=xSpE7L>OxabfMj_R;mAfhNY0P>eWcXFK9W#J zMNmRe@)N9{L{MV;NeD?|Lk1y9tbY9rccJMgK(aikki_1TC%M-| z?Bi{BHyqPOPG-xk)WhzSP(Mb@Ld^0L_nvgjBJpT+sSuvTh7ZD%SpE7L`a)AtfMh{< zN}*eo^?OMQ+9Uq}ipnTulQK!gq*2l_X^bpMW94V0adLO*Re82FUOpsEkgrPlFU_w(wuZ{q`B!fOY_ow zBh61=L0XW0inK8OWoc1{V$$La4rytIRnoEy?@P;rGD<6gYD+7FCQ7S<-j!BoOed|$ zSVLNyah$X+<1uM{CP~_m=^1Hbrcu(SOs`9uGyNlN39ck<4Sq%17Q9p19{iKELoFoj zR9i~B)P>S+^@6k~B&)PHq?xoYWUiDL^0AZ@8ZPY*eO@{cI!ihjdPX{wIkR*)a|7u} z=4sOFnNLb@gau1S!|F(HhE0}^g`JSzvS*Oqw%3y0u@95pwI7s@+wV#z!plqVg*&A8 z!}m)cg#Rv`j3_Ieis&hQ7_mh<9r2xXCNf646d5a>iySL`61f+ypG)VnydZs&Wvp}| z%U-zuT)LPwOuCS@g7j(DUT{BO`Yh`SxW6r3%2r$YEL%V6a<;W_e^$DhJy`l8dt>R# z>_?<)Ic(DZa+H#8~qYT}r(!cP;&;+^y`}a*wjt&-ms}L){T4A|7 zzG4M=LdEm)#EQSjlPZPDlPkr^uT^Ry53e*&o>u8Yd3xn6@{G!D$a9}wCeM5N zq&)xW@8t#01j!4ZDJL(jT2fwCZIQgZ`c8R8ji2R}HLJ<1YR-{Y*Ss#TsZ~Z^`|OYM z`sW7A8=gBMZ>*C;-c+}SytVEWd0X8}^7eW$^3Hnw#}mA`*sll()=s`7U& zYsf#fY$4xjc}xDeRT=qqtCsRlt=h=Hwq7CMY13c+t<7rr_cpiWKVIx8-+ghT{8!uc z^1p3=mj7!vS^l@(0r}obpD0rMvWl(!(@KW+zbhF#3{rwSmQvJ?x0H}hPb*=aUsNKx z>{haL`A*5&^(iG=*FTi(-3}@_yVq87b>FDu?om$3)8mSgx2LM)>)Br^+;f0ZpjRoS zV6Sp;ZLbu3Ifqi{<@Inq1J{3*!o6jsQ14i{PEm^VX`mGCYgeNC4pfTu9ihbaE3U-% zU!oN6e^x0upuSRSU_+(!z~f4pK~d2Q^ck8ZOZWzNhOmASKuEAwVc%KX_Il?8JeDhucQrYxGf zOj$B7ow9V^%gXY3i|0(@NnHMmlC)xra$sdy<9lfg^AP32=Ap{@Epf_)Eyv;dvvP6k4dueNNagajfy$NbN0rOle^9RO z=&XFPW4Ur|=NHQLT|Jc>yI)go?pdeY*mFbqcJCnN$Gsz!pY~2re%@C^xxH_(@=Icf z@@rxioGsm<7i{Sd$Jv68$hM3} zF4%%!zim_B_}dopW;R>svHUjsv1nWPTkUKSZ&$WOzWuE&%R7s0+21*8%kl1Vn|8de zE$8u9Y(qhpo^DOKef6CfbUgZfJ`>o6Qz;wzVzx>}^}zM{RBKAAe?x`S`Xi z;api;iF2oHB|mw}R_gpdTf+HMwlWvLww3*~n62DrO>E^aeP}Ct>1!!tR>;`dz(=L3 zQijNhknwC%yp%g~l2lVtq*tVjk&}UzUTQ5BhI z_X2GT(2C|<4z#U6E0Xgoplt(Mv7D!YwjF5Ex#EGg18A|ivIA`=&|-460~+a-#OHbr zXuE+Hmum&k_5dv**JPmW1zPc3XMna3XeDzU1X?1{O61M~v?QRF&aD7#KhR3$84a`p zKr5T42ha`ztxVqZKsyAq@_B9n?J&^F<^2h0M}Sr#@25a}9cWMGTMx81fL1BrOrRYF zTE+aGfc7TPp3dI@Xvct7xj;Ffy#=&q3gidc+d!*Qpc~NM0a~>Jje+(q(5e0|dp98IB)KQ>a1zMY^%|QDCXsx5Z1KO8BYa8_m(5?aP#Udww_CKJ#RAe{Mt^=)I z(d|I{3TPdQf)7T14Yc;rJ%DxtXq}>)0_`TyI!2Q^z5!a7Xi~?wKi7<5-J(ey z-vg~{v64Xh0cbsnvQtzS%4p#284S7KHH?RTIJh?xSkKY-RhhRAXkXoF&iEPn!R zU~Czn{RK2fY+j)K4Ya|rqk#4g(1yl#2im_t8xs2-(EbD3@Yp>-y9cykaS?z!63|A* z{v#F0A_Hwi+>1a{fHperS)kc~HY#od(9!{IY}_oMr3c!WxSxTR0cfwrT>@GV(8k4A z1X@O*O^7cHv`j!7A3q*w!9bf7-v?+a&?d&81X>8tUW-ozS}4#a7tac`%s`tOe-CJ3 zK$}t=VkV0nXw!>B%w!1%+O*;~fEEF?nZ?fnEfQ!m5^4Y~3(#gK!~-oW&}Jp{1zI+s z%}rDUA5c-; zjT**h7T6Vbkp)>xOk&sA8;S*M)F{{$#okNoioIYju^{$_A}aPm6R^jw(HLXzCMFtt zPfRq4-}90$-dw{y=jI%r{m*a8GBdj~%M#;&QODR;KGOKeIB1MC;>#~KvKWULB?R)@ zsDByONGgAyHOjI^V&HKs0NWmzgq6dREAvY9FOQ{G=9TClh@}LT!zy4qf^uOMv9zGk zSS4&%P3+a2^PRu$V9bPKD7?XBvGRmb*Md4biyzO2d>)4wKm zuqszf|4*?4!P?7O*x_L9Wo_(G@EWWRb~HE=`wTl0tTSB~J07evT@O1JQVR>lPK1=e zLa?twW?=QPuS14l4X~3TSFwiJHz9|yM%bzPMX|=%xAk3E6YO;TQCL&#Z2fLnGwe+L zQ&@BCeEl>m6g$^I$GHV|vA<OYA~}L@W%u+#nijgt?ud%+^ z!_W|{ANC})9M&Iu96ALXfISNxfDOc+hH}U8AB4RK<&NVYjy-SD2^)<4+#&=Ug1u}p z9~+9jY7v1A!+vSOUDtm&_FD_?y8a`uU&C@?Be6GOp4cetbt~>n{-d!!T5)IcAA|kg zio2wL1opNScS--T*q^Nr7!Ln&_C==IdaY5E?-6R5Mw|WE1k9~X95xZNw9Sf5!aUl% z#wKI#ZI5D8Fk9PA*i_8gJ_|Mt^KADD`vS|F^Mnjb-lG4vWHkJJ!JFV9rhpvAI~5P9w2-m|v%3*nG^@X*0F}^Y7dYTZm=r z9EdH#vUZ+~Eyi+m{v2C^W$)4rTZ-lEQV&~(ebDtIEE@Z$tBEbgKI}RPTY=^3+7pYx zKJM;?t;F(ldyK_mxw}VVtFV0C2VtwRyghPaYp?>{-(qoC{vLg?wOFAZO|W%X!5&wz z^;qE^d$A4JCp~qXH)2J5>Ns!0ihS;gZN`fCe1vVmiuEdsZN*CV%7$&jO7zlkiN{L! z(s4<^O7$LxC1PcJcg2#hGJO-VWUO4@h1hm1pzn`Z3KrP+7?z5a?>7WX!z%V`h3&v9 z^jnAR#47ijf$hR7^^eAOV^#W(!}ef7{ZC65gw^-xBcd#>9v%z0sXR)S3 zwcI%@bf}g)k2N2*6uW@692S9H#9EASV3)8~!=GZ8v9J+(e_X-ZjL`ezD%N^rd+Zw4 zZe&gDI@WgNb?gS#VdQ@7Cf0s*MeIAQ)98<|@3D@f^}4!+bs4SK)el(bF*+_kV%^5* zxZK9Nj)}qUU_Hi6#D2oMM?_$EvCktqWB0J05#M0=D*y z+z#w9)_>e`>< z!G=xfguTLsPU?>Rij9~QjQxfUpIi`ojg6Y@g}uQ>PU(pKj*Xd83;P2bJtYDA6B|2a z0rnP)n7YQ`i(G8{)M-Xhmx+y=`ZH$1CQiMKxnUD#vL7yYZ1N2D!{vcZnl%=)VpC^z z!ED%+S%EbMCTZ(<6g12R19R1m=y+oXz#ml^L5I$@P!# zach~8v$-C)oYS7;Y%jOlsa$-y8?Z!UDmd{&>eS}5N&yRhK#mvuy<-%4h z;J)C>jm6IAzTnD(tz0+?%ZsgE*a6Fjty<)c<;UU{-o*-FYZiNB1+jIDo?(TswTlm8 zpI{pnufqys>zCBSieQ_T6vv8U8<*%D6~neH(K#xPZCZSRj_Nq8L^I+p)rlRm9R_ zblxgqyH@DDRmOJ4gknM1o|uYQ6>N9R9;_<1FD4eNhV5P12CI(kUs(;Sfqfa<8LNpM zjID!xiXDi3fYrhd$9{v=#tyA2iPgc5uF8Uah831{5rj!L$Pz~^;&CzU0ko%T1)K0#_3oXc6nnztQB@?Q$wsZc6C!3tPOT$ z(?+Zww+ZJRj?beZP4))(QJ=OHr&d_QMt*tP6H)tKNfM zvD;hp9_)twxRuX7uI|`RTlwtc>Ve(a`V#Aj-P?Kz`y9Kw?Jd>|d$8>XtT%Q)UO!78 z>`}aamcH1-_(-fD_9T7~)*pKu|0Om6dltV28;Ctk_z)X}y+|;yaO`=)25d0)bHXfa z2=+4JDmD~*m9P&RhW(Nlhz-YnOU#LlzU#~ZaQ#`gZ$1k7!FGi)Me+0H%QH3{?B&OP2W8FNq3 zYiJ5)+pgEpRLq*fXDQb-%rk}0Qm!wsOex2)>6krb3pNAuN;R>Wn0Lx;Y!>E7<-X;L z#C%e@Z@Fe;nN#;+QJ8P)YHSYXOv{JO#j>Q?uz8qYn%+nAF;|-2M+-3jw5`}eEL+-K zY!Q}qMEbkFr*VbSKj_A4;hvh%2_uE>m&{4hL)?o#Y z#$)TT!bj(08?aA~-oiFwMUNiAHep4MRmC=A#gFC1wqV6huEn-uB~MPrwqYes^~2(^ z(x;kY30SGq<*`Jp?CB4%B&^J7K3}<#v2v&ReC67X1)NF6Qn0`?%dk|e{8?Wt4Xb$O zXKV*n;cPOt6RUi7F}4e@1DD7FU+I{y&ci&Z;+8rz3ez3?&iC065t1>28R zzrbfv*8%L)3w#!J9mHy0jKU6KwJ#3F4r8@0d0j$(B#)yIxu^)8jdj$?H% z^O@E46&7-t&#bN!Sg>JSxnrGfonaWx9QLyI0K?+C$6u-I@=doJk6uM`7E5!TPjg+2 zXUd|#V;fe3KU&jGrg#Ukkl^9#4B7wr-|x-P4l3^*rfYp5Qa_|Jd@i z_qW_TeGmShTlR8YcirF#b#StD=Z{Ea`0;Y$Bo ze|8>oFrAaf4|)8U$6P$-;W00d`FSkBW1;lz_!@lnHHxuD366A0o~SgBWq1tWu^f+q zJXYYbk`ZK7VZCZb4Wp)!!}WyqErzG-DUWvMd-2a6&MALp816NVa^?}kH22y062xA{ z9%!#hV{iM;+RDs*4u`}0Z)3!tGaSASfBw1B*ZpgmTp28r@4aOT zWw1=qe=MWz2K-}M&mEM(I@RA>rd9^a1i!aTOGntdeF*z&zr0U)pZwbxrSC`PN&ntf z=4t=jmc5Km0iQyDug55TJ)cmY7VoTuj*(AmpLRa2|K}Ll!+Zw#41BlDK&{8Nrua;I zXVd;`Q9g6t*_?C>^I4q1y3sz%-z~TNzstqGfBtxmxD1xt@cwe=fqhx1FMsPMP*=%|9yO&(f>HUyat>r|1quSJN@1|vI`m5wG8Z526p$~KbLR* z3~Y1;cH-aWm-D^nU?2Q){qKG7E0V!_p?>dPGmO%Yw_n=~tZN4AzWS%Rel*JRZf?Un z)!&iJkM>v#^wW@Vd_sHC#6W4GpBBHpDj?*Ju` z*mK!)o4V7O-j!I+yP6iMt+`=JdoAK__b_$WGws>z*-iUvJ?|3zEGsnHsL^1Sb4+ne zH61hgSsb$+QKn-73p7w*hx+>k2?n)2kbETKkTj`_pRr+aD{gnZl9HwTV>mncqKteRFafrWxJB1q$+934rQm3gBZ6xyBpS6>ng*#%o=SNdp!Br*xob1 zFt#(pNb$^M7+p2lLrIb)%Q|bayV6dRos>l7nie^&oKtQn7d7LGa#=YnJFCo7zE#dE zN0c+l1?7?!ZtR)UFoHduhOx>s#V}%ID?O78W0@BDUb#ig%*-%7GTkjagDCQcQm3VC`L3ymn2g-V7gECcFtGP#&HOe>2G|gz~$p^w? zo^K4(U6c1Uqo-$W!|0_vkv)|?Q#LBmvY&|Qr8pG3;z^86TI36je$(iVGEtKQm7U5X z*=}X2Cg&<~Oq$*rjZ;o&?n&hUw$`#yzdpSCgZaPdz<|*Al~c%cN<@4r-A@%5Y77 zrVLYRD`SbRtS!K>mA3^NnR+XIl)g&8O#JzJfJOtAK}xtXSQ(G z3zbF6Vr7Z4R9U7(E6bG?N{q5nTZz?Zm9kn{qr@p|m37K`WrG&psL>{6v$91=RJJPH zlz1gUNm7!P?MjN0s-$UCJCvQ8+@&h)+>X=#rHTjdGa8Ch^3N7b|tgotN1H9l#dl1VvmAK zVWk+cRMDuUQbsAKq;D#HMs-bos?7aC0x+y&s9d?g? z#FDAZVhPnq zXNE5@iHDL&@m8FQOUbT$q~s=+)*9)|SaoKsMHRhRt)-O!MXv~JB}FI2s$*~J$t~?P zsbgx@>9OkcSQ{$MlrW`@(oyNA^dy$_Nu3ny07dTw>riEc5~1jtW9g!#uhCZ{Eo>R0 zj8w)dx-6N$X{2kDMb{>a&YLAlnWrpNmMGDRu2PmY#QamEb&AfQWs4H8Bq_RHS#~J9 zm3@j%p2bZ$uAEZNDi@T?$_?cQ<&JVs(J8a&R9IdpdJ9=}qTCEc+jFxjo=RrLSMetn zojkW}$_I+hn_F%rpQ2OdR#?%S$xZJgH@%N6ej1fiDkznes*0{$Znc!p6up_;nkdbQ zb*6QeVLirYexszVplK^?%V*k(*@~OCQjDy>SYH`7H=Da*eWqUq-YR?b3&J6dE^2hm zdfl*oZ9Qe!JZ)Zv&B?DzwvTPOOj}-UDl5wwcXhW4*WGF`F?}=|q6}3IC@pjcTcpu- Y<*L$5_J>kmx3&hv^@6X0-T1KjA9;cCUH||9 diff --git a/target/scala-2.12/classes/dec/el2_dec_tlu_ctl.class b/target/scala-2.12/classes/dec/el2_dec_tlu_ctl.class index edffff5dca076b095777677e49a9b2b24dd5c26c..18ace6efbcb9b34554a8f3e0bf217e93f5e65ffa 100644 GIT binary patch delta 47745 zcmbV#2V4|a_x8*HI}1CzASxCV(OB1pHL;7`Sfa+*6%kNTnt;7vPh!_quO+r`C5KV~d)qe&^1;Gcd!s!vFjEzQfEp&-0uzckbL7$nIC`UH8|!+^3%$ zJ|;<0ea{J5D(Wl0q3GVo$ERz1;!|Trr^Kd@kEu8$Hf5OR>fro5$Z^}%QI-M|Q!+AR zGRLPSXs%BFr-NJ#U7dY(J}sWrl^wjKNdsU{Qe53-sd#)sTuf%t=$OQ)n7GvBfrbd+}@ zk)7Wq;0QYA^7#&(Eh8`UT{;_?MFL&RT`NGTZL1z_qdRG?Ra9xYYqch~P_k$VYh)>) zZR_aHoi*1w=35Vzq=aFyNt)|TrnnJ$)}=?=o|@||2H7l2>d=IgxP+KQ&Gj||ZIz|a z#G!Cz;#enWSG08K^LFqxzjnWL$@9jEBtp5~ zLq%0_o$!@EUncOqK-Wpv`!M2tbq*Etuc*3C`39YjDEU#K>qFPa(AbQ)*reFnJx8Y{ zCDc`2r+rJ$m#=arP^#lPt4ZA<1Y~kvmV%;TT#Sv*$ViRTTo=`^gVfJmpZTtw?>XQL z*Ox(nzNAU*82W2XYR~q*)ugs;?}{e1VSCp!sRP@)p~)KC`%aTRZ0`q6cC)=7WvNkB zU+nqfz6}?uIDQU-Y=7~cyAUD&=KK9Z-K^h(psL$&mC%bIE<+!fNl7t@DVZ@tq1AUm z1Wv>~O`@j}O87&Q=*fY-hnn1wjfX!qX+5+3t;tQ9?O#pm#%zx@xgN7U)#OI{9waQk zpzmdAewy5z83Q!=dA6r&ax=CUsL74lo=cPKvpu&am(r!RU`=kqY(+G=0oyC8$>Gdf zT$7ryy%L&Sn(dX+29lrcJHXlzC%Ju`5bz&Qe(rZr)RrkdQ6 zmC#(1wy|I>VIrdQXIj$e3{7jL{s0rF)*42tG0I_p!#LL3`pSJ?yhi&#t)12ZE~%8{ z#F#M&ahmoblkODc9OR1EA$3CeaBBPH~bZeW=e1T8gF~V`nN2&eSO1x-TNK=(G;e zM{DXy<{AsGaiLNxdR{+Zrioyh6e_i*rjM8@3rtf(r8d-bnwe&RX=bR@mYU8o)2m>5 zEmUepO+PWyTrkZGmD*F&uZ~mEG{c2pTNEmFptj!`%m=2Wp;AX`y6f0NQ3OmYLL6H{ zr5BxTHMu&ozTunvWvQ&SLF#>N9o$}^TeTCC>c+HwxkpULmsD*-koKnYWte+n<1!P+ zB*erejnuSF&<^cQ?JZjPTNKcbZj$I`INikO8IfZXQj8I~16l>Nos_ndpBXqS5VBZm zd;%S_dl+IbMeOxeyHqZV&dtC%KrIL0)=8&)dK(%tIwK}CeO!vB9b||@6mbY{3h~LY za8rm&&O6eNGR(Ua^Df84+b|~><|M_Og!!BfvJBrxmqO})z&xj@=M-PI&>Ygz6Eeo9 z#IZn{_A!HfLSdiyTwjF`JHsqzspTx6Cn*ssrUmPlqNZJ7sEZVJ5ds?}4o%368*YHU zV4yE4=u7BG&=I)zI%~k5E7>>ys}k6Dw4#=Xn z=HZDjQQcvHyA*I&mfZA;q>~jL8gM=S!Q2n1`vEOY=4VK66+KI1(-SijH0>`2{F?&* zrb7(Qw24Vih=pSQW01!HX|FxbgP{{6lW|Kr#w`QJ4WU8|84A%=-2My^KoJ4HkZ+1- zIhiGpS_0{SjE9Z$N80{-^blrQ%g}`3IbL&zFjf)DDgw#SAw?6WgO{!G+{GBAIE54k zh|%3Vkdgp_817OOQ_8pNoA4~S`%p_+YAFk$^9t)%g`3W7fGAH9(cbh$UoMl?1Ki+wnPOo6qZ6b4tOB>+;jw9& zyBG8KrvBc1P}64_@TWlu{g}Hyb@%6UhW@Anx8N~w?ZwB89a2Yg4`Q$w3WHvhG@>N> zu3Rosk3QBw4sp~Q$LA0Jyp%_SJ;}I-QPePB-S~p9m2)p=Mna9m*W_B+=jmezRK1#7R_AFmy~^N@7Lz&# zF2}_91kFts4uD)ok?VX5ua$MvhZ3-Cq?V1oL)RkuZf2G()UqXyS|VHqRyDes0`7K- z+|H|{GhccuG2dVL(Z~FBL?jy`{ zlv+6->?=#B>)bfGPb)&4CK0HH0A5+W6z6Lj*%ledA&QQyl zJY$Sr&!bW^;3k&|Gue5DqPKlF-30l;M*W#?s8-E3cpIs{yx z!Q;oFfRkWz!{f(cX1k$fNr##7J54&SFDu+XP|OcNQTI?8dj12GVdLsW_kPmkN{oDq z;%-sMZP=*q(akBk*``VCKH&a?LjC}omO>pnVdH`&XBZRs8@yTWzp3XpO;(xlF{M1F zwof&=nr`#RV1o_Flz@#>k9k&;t1z!hz3|xKVuwNWFi4Zx9O`k?LpRl{MmLq|<{WHX zbpCS<%A&`#qk(W})Y8wX|=Y6_)pveyAjiBBL>ZR2M(tA+g@32vI zm8*1*j-9}xn(Sqas+3Wc+78prF$x)}Nm)NIcP;9!MU5JDKY@)y@632=8>318FkC~5 zYX~;i*K~7*Zm!YIdDy59x;L3_rfU*g4|!TrMoUflgbB2!hphqPOoxXTXHpH=)ne%; ziSlSnZ8&VyO>`4X4@bbpMeB49rhCO;6SNFAf&aipYe{Vnscn{~K5%TK`n`i4o<1Rt zZJ|;py40=7tOI;4zOUvO6r?`##Q0Laf38_6dXjm>zaV@jsx z$pEKR){_YuE_l)5q|-q>Y%~vj2L!_K4oKCFX&^O$*(MsmWVk(g===*nn0m7`9SLtT z#wNyPXr8IeGY#&Ph9f>o^UPpocps!cLB<0u>Xg=tpv&>0$} zi1A1pn-0&FU|-4Xt9az(#N;$;euJ6e-4S~N9uDunsC^xK0`|ZwBt6sQ_>6>D=o+1} zK_1%6%9e|CJ%{^ZIh6Zke&!P z=?Mv%XRl{#sMOiB&-d+*Kg#>xIr&qJ6MmGx`?r6^1Mq6Jwj{$pNeb{DlppSa9mSIk zTZeA^)sy3spBAn0m;5)_DZH)Z2md5V^@i6tC_lvrlOI+Zcn~0giu{ihBL6FeNU|a+ zGFQkGP;nr9U}`KQ`H}pmBzg9OMNt$GQ57c@@jZQ5!fi>CM5}VK7VEB#fe zDMwcabPXLHg{N0flwOPKQWLYy3h2POs?Q?AnaLex)oUD7UO+^A-~LreKDgxXuqt#^ zc9Zv$ z3Ml0`s0_fw7XH&kKIpspPvuIq_}qaFV{!-(PPIc@sgi@Sr3o`;GZM~ zvDgQdBt*xT^>3p<*1`nOLEp)LBjf|V8~-BLV)2$pv{6br-mo!PtiJ!%Zp9lm6q*GI zE5r1p$13A^(s}z{_MkEulR5og?e;9?@y1z)vu&Jt7<5yVEEtEjnNXRr1Dau*AABtz zm&)JpSCOcC!<*%Afm{a(wqJQ23kK7!7hWCb%Wc0hR{-}#K5^Kl^!>_w0oV&~j1ldH z0=NoqyD8ka;YpZn@_T{`3oqyqv2_A5FTCSN;2Q++Wyj_Gb!`-Yz3}E6(SAz+SECu6w3N}p zTA^xN1!~^bD15sBPVUM`Wjh6EZ(CG+w*aoTAda^q znpTbgP6qiQH6Ie7+jK(3k05ZEpDfEHn97bS@6rjDT=$caIXT=|ksQ;f(c=tkxqpNo zQ0DH~2g@!LZ0*UHcwRZ8ysYd?IQvlH*k9r7BO-PdUF8PIiatHboss9{{mM7U zBGY~Y$bC+hUqbI9m+`wu3Hxp2igJ~%D9CyEeRR|z2;(QoF6*PR89RhMqr=VT2jzb{ zE7JXcVg07u(pjT`)w9sC?kj)rM}R!fJ_nR<1T8KR*#&~Ir+^3i^#E(P@{o_JvL19) zS%#q8i!UM4JlG1u+Z*lr-$>W#I~8vq6#lOOP9lSmb;J_^+S?x!_mc#0bpWqy1G?N* z2Xgndd~PC#aI&yCQdxZg+B*h4n+*kUbu2%dAz^4`<50E6 z0yXb=6y8(-Cnv&?%9;z%-ifGqO95P+#4C#~fmNnX=I$x^++;%uWSq7W#PMdKXS0I< zPHL4zYJO3G_D(~^I}70IbOtB8OJX&9XP|1`1Zv)yD7=RNPGU+SmGu;$y|YpAUIMuK zDzEHDDYUZJP_=#nHSg;ve1HH>7L`US8zew`=c40uumG;kzKHEr{b?ho<$K08Y-8Lu#HQKzlc!;`0P>^-W&XOOf`X^v8#d z=)hYfQ1fm=;Y$Q?@-z~uY^eb4-HcYYTmVvi$G75z}3%qQOB!eHG4lt)$R(^ykDU3`vN#gt$|eb zK!EmMLd72m;ObYrvb#0V%DzU`{t>8ozd_;u3E*UXO{B6X0<`xsChji@;OZ4#S@~L6 zW$IP#9+b~brq#+nPW>H%INs}MT229+cxvab**{2t_TEIrH33}xj^7*?*2Ze~evhh! z2-Lhkpzu%uoV18SDk~;Hdw)d5!vt{kCk7`cqR`5IM%79S)V#l-@G=58NvnfY79l`; ze?!G11#tBiuk2nOtTOd??ygnW?k3&qBIC5OAddGAnpRZ=PCf-;+aFcIUm^S{_)U)W zuMqsb_^;ajE*!f$&i+@#w)`^4zlMKJ{f{lK)x&;sRDw)xCi`0%wfOIv>eR;=w$f^& z$@wXwX{)J=L!PyQG+gfH_UgqQmXqi@UJ>JC&D42E8T3UTPIZc$DmEC~F zgWosg{^l_JfS*Ue#u8|VClDf#u$|ZEadxPPZEe>Jytk>(qrJ7(+6WI7CJJR`H^$i| z3&(DPvr89_-4th+5wWdJYR1QurxDg9?8c%i+zM{Zz{{JUSZiO};AzzmrDbKe#o4tA$8LwSqYB4vkF)EF z*w!9(U~ICkX(5`_5g$Aa1);FP^CB+s!v8^{6E4yC{~*yBmuUJ-32WoJFbUGUIo3Gr zV(rQqi=V-;4y%{&G+RA0O{+vVT%yhYL83c8z}g8UY!_hg<}uE*#ksuYm){tHc4rXHpvPe#IMYgC>Wc@V({dKh?29mbuiA3FC04-XAc#z zt+fu}jd8avL}TJOcrXsORuzxul_bi`%1*%9DTQMX#o1|vV-Le8$#el5o7{)?E8$NyN5}y=2BFYdfG#vVv1M_#O_nb~F{w zYq}^eD?1Hm&nz5!6waP4Vq42h=Vdl~u@F7U;NV3#*jiO4p4U84URL&KoV}oM>@oNi zwMf9mrr5E##FA%9SbIB;x2tw%w71rR$KwSr7sRt&loN3Fio&rc;_Ow0V^6}_Z;05| zCQaryzjIx%CSh~k6c&$^?}{;Ojmg53dsCF0^`uP2*_#T-o`$nGi`dr2Oy^}Lzl4@) z1<&B%6FAsf)l7V7?-1mLT^+OV(sl_XY$s(l&fZfv_NzF1pNMVk)N8y`G2PL&T08YR z-l=RsD6CU+aEXKe2Z_0O!G{GBwr0=6*+)feYrE$2%kxPOtX|L zDja(|&i>W7!KM~v7q@9dS1`R};WCicy;JrB5+LPUAUjB+GFDrWw&h{@HdoRv* zh}hOL_wh0x4=O}Y-r?YGF(}wt)qXrLO_Z0FeE?mB`FjLxY$2D8ClDf#uq||QaCWGO zZEfp8-l;o-3(=`Vc&IQ@C~Kz<?{|O?}?~L;gWTdH$nA|4;1yjrA>0j``m?2ju-MGXIa@^T`_fpQb^q|K+0p8N0~u zJgC}~;Yo`GOH#MF-wU7Cj_6&m>)kQ%%~AYkcKltACwCH%KP>vf4!>u}!)MbX>0Gko z?{mBw&C5$0YANpzL$4L8_pM#;fq`$0;;-284>_Jh4nxYjW`{pAQ(mzIK9ns8ww&VZhc(PzPQuwcS_-ctkL6~*7T;o;+o*|kcJCnDuNu)}3Tz8fn4$c|Sy zUWMQ@Q+>3-@wB+zaaSk?DznVCwE66(9ftfXn0$a_ z$EzH#!e>0|<&7F?DbH#6;mZkh|1PUP5d0TM=KqVo0Q=`P%0WhEebLOE_RL%yPX;CB zFFYW~4%ZC%{;0fW$GbUR9l#5_o@6Q9W9SV;^+N1=!3G{a<~sj*4G6X4LpYu+Oh(Ep zW``Fs9uj#r2B@}g5M<&`x2!?=Hn)n5wy8&Z*BTiG6|w2@gNnpsslKAhu8tu&-? zuN_{-kcUs#MGCKB$Cu@JHHjCtJIzvfgrS#=>P6Z0${Be0AYUYYT{}LK<4MdYq`dle zczHuU4V7(w^!Q&4;lJ3flzN$t@{ zc|Gm$I)*%a$TX5pFFU?2$E)yV0Qz~`Gul#KJwtCgs@Ko1SKq+Hr&%NM2iWlqIGzk1 zgOoSO4sU44&qCz~+wsqHyb2$pt(SLmjHSF64F9X#A2HVAZv_76vB|$P7N0 z8!3Fa9p8lG$+@ve;UnzuriT1nR6fa$Z^rQ|d>*)7_)Fs~g*7+y;M*FIbkpp5Ee!ku z6rXO#x8!*8bR1G%rX3z_$S*?W$Jp_$I9`R%G1tqRI^I%VYeR1dsyD%|*T%s6Q2Zo2 zzAeX-s0m1UQ|$0|hWs*AeySbcp5s;c2zI@^y%Q|ubuj#d`)^zQ9l>8@A~I}e+atYb zWCkDVj*NrX?D$R`Pu5OE3ZG+#cQ)i#qw@3Y_%0l;!iUN0g_oOTDZHzpw+7W)WY>Gi zz{59&AmuHwb&L!;oK($}hL$U*>pq123=JWJ`HH4L$g5d?ejfcD+{& z{6-Z2h8^FF>^*1uxie~n<9Y28MNlF${_%=Iypdr5f)ZWRm6dq&f?LzhT z+Vut-_}u~fkjC$~1^BG9tXYKGAhWs&9{=6MOljBwRN*}$j z<1;LUziQ~6WPa;w;D9gedaoJy_t5it$&R1H@g#L7Qr_2g_*_H&162N7JANL=tMGlX zdUv%{Ag@}HpcKiKhvl()k0f6D!Xto~KtpEesAw!hjVtv50|i)MDqj^DuX#Pcdr_-#9UlOYdZUxl29 zyLS9r9IsyBg)My5Qut;=?;@)Az^=E&z<-9~AKCHSIi9q54Jq$0J3PmbhcAaj%KOKT zKgjXwm%O|auUX1FWawQ&^`6-Eju`l_FuX&uaV=Klk+X*887kG-?;yY)lXl_mtTMkTdzG*86&gb(adVt@nt!l>{@^n zUds+QA4TE&UXk+}WyhP3qIY>=wHI0nHy%a3_fWn1c0J<((t97pH?-r8Cq8n0AyVE8 zcDV5X=Y4?6H@4%ACoc6NFK_T7OL@i^)KV4#}dp>h}WmK)FT@99U`sWcUD7=>) zzLLSoZXZ%wA3GWrV!F6LD&Efyhvkx9SpcsrYN@5Nom|aNG{ zWQW6ojKWFRx()5;;NqoF@tJmbWd>JEGdQWUGH+#mRk%BxyHl)g`iru~E0JM3#~ufMWu~9| zvS?cK?C_clP9jzzH7~HE>vQpPsQ4l~9A2R5!!(i?wQiNA=7C(TJgT?&^*$y1Tj6P2W|;u0h7> z4qF_5_+5;CHsSkbkp<;0JN!ikC%4zgjx5_t7snnu8kURpzfTN_mhZw}INRUYWINum zktKLU%1@RWIQAQ4lCf3}tz!9>RXafnRcrIPzrV#T`TZxAP$i{}>Nslq z0!5P_$-gxwRQZ|n%dPwWPA*CE2I8Nyw@KtZU+`O*Y2N~8oW*s#hAL`#w%f7+K@nJ{^@a}NlMk{YgLA?8% zS9F6Vp06O@L(ZFFtUOqXKAY#_ylR^)@nBKMBEuM#mCflF$8tk(0!V2OI;=H%4yb=ZRVmYt)ZcDt9 z1@YoJZ=nh?mTH`iT2=kL4u7`%H6~qa$EcUh=@b z7IN8w$fG&=$X-jv5qWs%2pGqC)%RI=Uy9&RKczi`HlJ7I0qk1D1I3 z3)g4!7IWS%D{oOjyrrBMk!^`r(5hD@oVUQrD`?fLuHw8WR$f7?UUd!UrQ}%hENIoM zuIIdKR$f7?UUehqbvhcih_{3D)>?Vl1@U%s zp69S7-ra(D`#5ikh3EG|LA(Q;ciYNqR1ohV=M6fNH&4ID1@Vq>-Z?9;NkP10oY(xQ zB_6!{c=q`_$$7i1ym1BbjHk|scP;V87sUIB$6H|KO(=+Wn)9Amc@qobo#nigWAgIc zHH{y)w(<8SdC-pl{bycuuq;Xbtt8oz!`@ote0M_r zb(G?Ik({fm%pg_kD{lD^i7us-ArtE<8PpI=9@kT<%iVIS)mK7gxkpaZ2Fe=vNxp5U zd?~*|_B^k6<=#1$pI1)Ha$mB!kuqNHPa+#DC*a4;#!AhAf!=Ia3@O?~c~6cdmzn@P zfyhmjU*z88x2B2@eq=RM2Fb(8wPs3vI4as)=_ijQDb1BAIfbliuDn`gRJN=B30Fq8 zYm7JBk)7=tPo8g~M3gkmlOV*DBaX{`i#o0pQ7*&R$|yyHU8Z{)xMz@sK#3le?Ys#` zvrO>SkUBYz>-!u(WIKM&cE&J$lldAuo@>Idk?0mmv1TS<0Rt>H0oOws<#N!iXyW%)R1(^-k+=P|Lf(jW9rbymJF z`N2NdC;QY(A)a?#pZ4|J=Q;-mQ1AtEqKh)K^5^>;pNF`z9hcyzGg~c|t(MGI!?Rsq zz1-K|#C}6YbX8``pOZUXl@cCs1(>eOq}WTkE2XCrMqLimb(OpeE*7CI8bLL&*U7MM z2DS`}b(+|lq)2yVW~82Z1d0uzNst5GmAQ~}bPpvg7!nJ~jTS?O_E2V4c}DFax#4<{ zA}{mQ{PAdkW@-b;k(ZTy5R`VKC=D89`o4#z|BsNK%GcmedxiU5rvE41zw#C3YcEaA ziO14RJkwjHlgNbr45}&QQhy!OWq^*E2tRa;KiN5eV;m%KppKb3 zP{-_oA3DZKZVcp@AkuV@k{0*?GK4HOjT{)H^w&WiQalD|A*6MTQpW^@lF2a~P>fuq zfH2}34D;H3(sHm;$5Rq65o50Kt4VIAD&ZtDKnWl%nXs88r;PHGOOp9Rm4ziD{nz_xaLMQF zb3V~eg7X#cVXY#mH%zG`S0xGbM-4*gk0|oVFqr7;<~$sxl#=BOBsfvoRHnW++nJHA zJ+G6s#(876B;6C0@Z~9ri#$wJLU{nKSx)c>rMWEECtXJ>wc%tB@lx zm<8|W1f(g)DBy!p%BX(3bn5ew(Y;(Y|$h%MLNP;FQ z^_`tz58_3Wc9WDrS{K-TX`ge0ZrL+QnG7>yt;tFat%pALmv|vkUovzuq}DTM#bo6J z1#Xc8r$UULWbRaDfZQwR=c&pzS?)-D)0O&F`|fiYbE?xar)vH4c0Pej>kVhUWmm<& z$mi_L3^M0cQf`LQI3NL18caq_Qo=~)45h3mAuoR@x!6Ss4-HzE?E<yNO*0|=!Q``}_hv_zf8 zrsCIL5mfA3$9wXm&2>%{&3- zM1NIz30Ilc{Q0bPidg8$=23qYa7Yoc}fKjI{+#y zHnc6|*Lg}f2fR(R`AP+Tu$45(J=jJDTuZjTOd-8Yps36z4F zQe!e;iCIG^OQWbs-Yg)8F<=R@E0+u_21v~vZj#>?kYNo$f#sI_jC1I&z)a7PYG7j{ z%3Yb+s+fA)1$uCQqk7fJ#9VSMW~*(IcM8aL8L%EX3uHEW+zpxSd6T?bKz@OgTx!f| z?nWdU4%nb{H)Rsd$f%{ph3Ias+niTS*?02Fx?336m%9}sw>E+M^8#}(M0Yy|Y)@`M z6h0a{5YI9*4Ok`6;nJ5hU#5iD*RKtCXGZE`svi`nclBnwUn28?S)4JufuXxeJ4|Ai zE5%$r&9q-3SC%Oe#rv3sz9#l4!wxWA14*srN`z~$X^17gsUhApB#^1pFx)gGlC5AE zmTVewC#sWqD{zlu1!kJS(Pkd+g;dSGf4Ijn;6xKR$ppSn?yZ30vP{=h(uF{AGfcxw z6MKqbUo~B?k#&G|%{2}4$j8*M&@?O}_o%^V8kUmME0hXFSf7`f(x;j93KO@IB&~on z-Y^YoOzatkr7Hm@vw<7~tZS2Lc#Hf*4R4!fgyz2pN!Qip#9&-+W`t{b2VR~C z9mvYn`7gpxK&hYLBD^V3(l0_Y-gg3&er1y8Z1FE!u^d&`AtB9wS@b!iMk~~!9v1)ousT$!s{?` zSVg$MH)*#8G+08oeq)F8KvCc-r?!@H+G0K4}04T>Sy4KO`~h zO!Y@Fyi-l}e=X{{6Yig6ORmOWP*e|cF;@eYH}2fE%@d18P4n{kkCa+(_7WC4?x#jr ze+j2}B$5CWmbwSlK^{M{aJ`Yb#~-9ini_rrjR5i$Xt2h39ON+^urc9rf?%M@cL?|{ zKxw4Q1~V)yggn6}%_*RTkYyXputms`+=EbZ6ApM$MWL4iiR(=zoEwUPA%@fe13Uem z;_!asq8ZL32p2}iziEamLDs|L}fuI;H8r11>)Xp%t4+;aB9s7&cCXF))-J%NnfDx9y9^Nb&>@JJ|f^Q z;a?LO`Y6&!=p@deX*%l?7&C#AVU}$U7q69R! zn>jN~RGqviPkXp%hZu8$rvupy;&sfWm#3pis~=L%dT#YMl=>X$d6E1JG*_aj(}|RS z+dPY%N&DP`E+qMFh%nNGb~Ph3$`=7qVp~*<`uFAG%B-$UM3|vq)_6*MU z#F2 zr}7-Ryi*Awhp5fH3-*44J$V7~>{9l^o6{4!l)myJQhK)%qrpm*K1jf*_bel`b}LcA zyICw)n$oORlMld1D(?Xm|2?4Bhwe3`dvoaCaM+W#khy!5kHYBRCkIQ))2&S<8U8|A zlKz$d^knA@->V!6Y-s-e^KK0L>*$am&)c4@{MU*_;S*=!w}+DIJ?wc$lIU+0CCTAA zO!^*CdY3)|2a4qK9EG1Qz-jP*cZTHgyqj|xKFQcW>qwyDY~*b0Z0c+bd#xp>v%dBm zVylAKsw1}Ah%Ftljc2wjOW?@}a2jHph1gz4Z1WM@VvDUAt9Y5k$ZRXMRYqrEPq%sc zdiohQPe0Ed^4>{hV)-N5b6ORxx>j3D*T!p;wQ1Tc?R9Owwpd%Htpv)koI&p?_uS6o La1Q(eB@wf1Tk+jIk_gt{1PTIe-EfY2fIV2lemxM55$riL2Y0z>E!LJQS^ zO@L6+AqfzYkVZ={UqVX*LiyilMzTlygnjx)e;_t{5B zPe_u~(DSA&757!%T>L<#hi9{clhejz#b!;+NYq@N0?&rHo_2MXrO+|SX(Lh+V-w@! zV-qto(=#>KbF8teCJlitNpW?TrIHDW@v&JcV`GzJV&l_OQqshhC*7KV5KHKWANpXP@y3{~T8qR`)H93rJz2N)g!_4eh*RT-B4Og5jg(XhV z`x%}YJ1#CIIUyEuiU%n4lORi;{MOVA+LXkaM#xeL+LV!MkmIuAMkS_cu4D#y5tI!V z!!u)IGh;JGfrAw1*Hk$>RhG&mr^Tm?O-PJROsO9Wy;Hw{iOo`7>9Q0!GINJfaWn-ahX{LD0>P+P1WQQ43(|P zCE3;tSqc~*H&JuVVwl;oR4l)5XrW!d1a07Bt}HoHlM@nB;3&^$@CC9Io)2e@l(C30 zUX~>-BekAhwPZFtmOx9$3D;6tDqXN7`T)`kQdM;=_uc-uQuc~qm(R5l`s~oIcZasm zX)Z$h^to1Ra%&}lH+qJ9aTF2VfLnI|}L|lsI+Q>TE1l8@&{i|@H*Ss4T{O}aY>qM zFJtcmMH+}rs;9XQuvm^Jy&H6aCV5Cx_c`9BTe-y?uDs>v%T+$ggpR=}6c2|tF{MHD z*sSD~=x$>t)awNP-}JROU*2&t*mc5n$~W|UM9^DMt**Yf^Cc@Z*BobD?*zNvcD)O= z9TOjy5*OWfY(`3Aebx28Z{PXKHO>S}^;~B)sTXttoqi-sA#LI08{c-!nDltfbx!>< zME%rt-uLhMzC%87T?_&Ar<&A}p+DE8XW7;ln$&@9eWgk5+159jM9*$ey`sq)+q$O7 z9=7$ZCcD|z_p;QqrZ47=GQN`+ss{fU0@?l~OJ#lTPs#?Uu3vo4PwG2<3jufk^R@Y; zZ1x|(f+FA0BzibN{gx)tTEo^IO@5jk>%TN<6N~+$$t_syt|rk*0&w>=xdDqk(B!6U z>yaim(YG{7lUuPZMUxw|tw2p~$+of`n%sxNG^JsD-wiT+$ zXGx4fq2=;J|)EauQEhD#$`1_x^DVHT?b zv8TeNRy1^kg{niSM!3|PhK{k27ecker8W?fBUuM^HK_;NijgH({Fuzx)WqcYjQA`~ zs}FH!Rjq;VGR` z`UA|MS{oR1#)yT%T2lfzjCJvF%s+v*KUnJ^3LN`0Bq^P2n=YwZt7 zrHmOHo0Oax8<&ufsc8clWRNCTW{@G8w1I66h55~xso{E)5f8JKHcZF2(;%@*3>L3R zo7q;PCT(R~BQ%N5DUzZkYtnoA$*qmj)D!HGq{1Od^Bw&xGMmogU^P=yPqU^hXc`+X zwW9~{9Tu7Zp^4$rGc@!*3r&I0)NrXi4V`77=@6O`E_I-xk6CCogyw`x9ck!i7Mcg4 z`Qg&DH1wO}T{<&riy-!LxYUWp{$Q}B5Ly;4b*7LBiwjo5@=3vGFOR zG;K4~NZY7wq1D(z5rgPU3Vj&~FTO{gml?DZiUpWm6tjyT8#pe|L3%=BY=WlkVSv39 zuveBM_%xl6IDG7g*vy331h^ElYq_S;xfjH8C^pBJaj9hXVHU}ykzDYVkQxV*e0(aM z;8Rj!<`A6-dgq>srOGchfmNod*`hSLYnSzq@r$|ioyBIhXc9P~=PQ)W_P zR{ThV_6Y-Bq@asnKjau(U!75~<$`62es#`Yx1=VI$y_h zT!GY$p6F#6&Z?B;5ow8VFs?J$w-oj*UoUa-jQEC{_5*AGk+%N`N7IyvkAO>)(V9U7_ zW<7WT@gd)o&!*Q)Xz58YJ=v_Lugl@WIWjIobN6BGeQA4NJ{IY^9ojP>;r^_70Bs&n z@HQq5u2lNX#rWa%H1}Wzqt4w!;6{Kt*Y9?G|9%tJAeOZbqpic>0GX{Z1vS_$iaUX# z5`4`sw{VYekEFRL(@3&!_T?%yQbOFR&L}wPvNDrLj7ZdPLG%gEogPT%9(M-CX81n7 z+`rbC5N8#47CjGmGO1aRajc&2IF`|PiXQLlb|oTv5{pcxk;(kn>Gv;*nMv_!SuvV> z8bf4LM7BQysxXrwW>Lf}e?)!F&2BQ>b14GuH1rXo_fm>=(?D}CU?K}CWTEfDmB`S= zEV6_~=!?&LwPIr*3$CES6$L6zPcyhM#ioygGblMBQFGHf3aHF#id^lRezk&oEsLz9 zk#)XZS1UB3*L=Wiq7k^6C~K5VpIt<`R~d9Gg>LmdzFHxAJB#d~ksaI=oz5~7^y?Ok zQFc?|ri0hL+xOhHiVgNL&VCx9FDl$1nroKS%((d2q$JIKh@lQs)M4NHYgODwSmX^F zdBgY7wFvid7I~9K-t_%>t$O2AEOMGgP8TSRe#+4M^n~Owal_#T&;1TVzDtqs`g&e} z%KZV0oS~63zUkM?W`D#YAJYhZ2?>A~^%wf`0lWl%PhWE3#kqo>c=UicSJACin#8WU zZn(L4Bj_~5+;DS2?~6d{3T(01HBCCHFCyIEQpmT^s?w4;7r;w!b}GEO`q0gvHJMLV zzf$0@6hkLm^)32BO{lvx=>gNfMIpB!rj4Qvd*HH%Bu)B|(MnQUNgA5~FWJsJ@ap_alO3$N9BnQ~oByOQ^a2_D z2fV1d%oVzIkj9Q{vX?QcQARZyJ4#JpVODG=*u_s4nfWiM{}Xjv{TNrzK^y)Fig(@wB14ZJ@!K3AZZx z>O~t0yBeL~T=ZyYiSVM*Q%fyIcSnS1T{pVuQFPMD(?yR#$Vzw#rpHNZNn^A;A#*hK zFUKyb-?x~<^L(gdSGe>XUGCOl(Sfp51(v(Mxc%kA_(In+I7I!&1Cw$#AP> zgf-77#!1oSoBBPOCp!%;$#G+z@0^yUc`~3?s^A$7vM!j7oOCitfEUd}pASJ_@O((s zgBkIfXFQ8dFo3CWq4m(|9Dp#BYdR1fU5rnTAESAuvW97}jyD<-Vl+?obQXt4Mf!bZ z0uT~nMrt1V$Oweskx@Rrp5~zwIfP*jH^U>+HP3t&UZBa*umy)>5ues*Uu+=&C1De~ zXNe}qFw`bS(TgmjpoCftESd=-lm-g9blY6cCz!ou*h zh`~p~voRW9$KvqJNKZ61VN7Bi6pc>!pbph#;bLq#;GxftApWW^=f^C0yRXJiTfI9y zyFxrWJg>vRf~P`ZDRHU86XMz@j!Dc+h6TW+M9s6;vn^ce>e=V}`=_7e0|zhv9P5NX zEpFWlteOMQQKKap{z+1h_lW%We%Mev2jSJB2Y>S%B5h^4c+_3_9yCyV2PpvlNs{U< z7j;B_j4*s{?w0WF{yR)Q>^t#ysTVDsC=P@IdK$|@{zv{-k~{|>q9}?aE2`q;JvWmS z_y?(Z%NRa|t=`w>P*>f1$f@Jx=6bb@v#X|*rssEIA3(f#uu7m(Bu9VQB zr~IIs@0Rp!{MXTh!IhG_as-1zXZfksKB81W4Ej)kNL--u69k$H8B|f8;>F27EVZMK zD77$!Hg`*Qt130u>#Qo(U`x>}6|5*#rBDdaYI$uGqjAM*WoSO2P#YAYbcGmR#Hn|! z_1=8fs5gZ}XAN0rz6*EDSPl*3>I=CS+KQ_~y_H5frK!?P3eX2)Pbm=oNs`lBu6&&% zN;@pU0r#SV*-&ckIqX|{FQU3QglVmw#fTT~na%+r+zpN$Q2KS@O(^TC7 zN*91X2mf`|)9t17)+y8OSF})e-R}k`$ld$ZQ1^p zu$s?$V46PXOf{@*BKqTq+j=0g~*pTrDcQ<+1>2?tUVqxcWck zcYO`As4(CiS2##*wGt~l{dg+*FPB+hS&?WgL@Oubi(cMY9hmv zZ^KY~Y7%dc4EJvjm;Dy+0cGrZ@{U9^i?G9!bK!{b@^*0Vi)dXV?eOX-eq4JL_csPl z_`E5oUKKk%Zz^i9svVv@E{@o%ZU^_Kqw+QF@M;D>ZqrNP_C}+6QFeOXOcY<&4o_lA zBKBhJ;NC1$zP=q^9n0++9 zOBtS2Dv#S+hU%r*>3Nr<_%u5_xlkUlmthC@u0YTEOgp@~lH2Q50kx;D;_Zw5+YeMg zhV2Bqp1iA3tXZ;_pzcMFPNY=g}zV@s-_#=X1Ibhp^) zdH10Bt#)|Q`YFWTHaoa?A1c4Y4zKR#_D(&8+dF`kYqy=AHwW#1uN|IbR7LFVw}X2R zq4GI)c=a&1ce^TTPt9faNZo3F?Y*iY!}f?>Pu?SFW=HMt3QEo@$cB-NwFG;z4z?k-jk^O2X=V%6t}mu25#>(s`rtd zp7$*jf6fk1+SEksU9f|D-$CUs+TqoAxxLdhQG4opy#0}Xd*xclu>I1mC+`PnW?$Rk z$=+Is@ym8_?^#s-svTbakQ3Kg!@juw%$u%!x?}1z&?v;-EjzgPGgSVL9bWyM+glce+EXv__H+L2x1*3@ zd)KZf@0Vz1_wDdxS{=mr13S3)YgGP`9bWy08+%*_HSWEP=>;lwdfqE2KF|(N_SN;b z7wE8qd#|DLPCLAMo!g6v#_fHJ>S=a*-tVxBS)j)bPp(HJ_CoF8-XG9(!tLAum#^r_myb;6|(~vdkasfAF5h zU4>$K`48I0I6J&(>?Sz7gdJP7*_7AhO5-Bbq!|atG(o}QIBAaO6=9c`$ZmnN%NLE^ z5+5g#7~B77w!+z!?AT&OTl0Z)zNuf4{JUy{+pLOpp z(=IQO-5+Q7E*g6P&hBf+7Hcw)+icmc2sIhR!Ao(l=xQ*Y*C4yRMD`GzJ)~&t7jX8_ zqOphK=f^ON?SCr9@*+jIM=L7Y9EK;FfOX}6GR5KSq@uBhMuuEWU za;^hfBoUm*!Ie9rV6mb}cwQNHd5P>1_@U3l*#6g#k$B%(7~6lwN#-_FpG9qoHF=SP zPvKzE)hIl#Np^XO>=c|mrD*I_oIR~*>@=J`-Ht65DV?!NY-hBhqRkAvtJ!v4iR{rh z`=z3>GjaC3qOr%|>;-mgu}E2rO&)bYizI@_a&WKbP_S5&arnu!6wAy1WEzjNmlusa z0k6pljO~9aPUJS%cSUWAHJQZ0w{WmnlgW5qYwYq8*;8=#x}veC;uYP1vHg$7G`#Ok z7~8+1*}O&6KC%z8haMb-et!YN78I|fJoh*ens+M zXUt(;ks1DA|CPc^cwYPM@)9dL7iZ@bjXe)%AF^YMHJQ(A@^P;s)MNn%SL%&|MOO>) zypG!CC9)Uc?Bhjazl@)XCos1Eskj*L`xM6ZKNXiSHaXeHuSos_XDQy*J9b@(MOucl z-zyq>InMr|Xlx(OK5NGohusRsCPSY`izI?qa_|8hEY@Tdp4SDtyhJv^*%yn(UX8Oq zvtx@kU*R?%_A5e7)^Kpo{wP>xoGV5IQweR*c)*6bvw3L(T$8v zZVo6ykv8F7{b1LXSftH3`=_F@x8UqwipG8wXa8o$7K^l%vB}~=XpuzlYaIM74i;;& z4bSVAU0x!4JI=mSH1-ah{g)kEw7HYptTUttHQB|%({Zrq>UBJ?`*wMW?A7v7K?O< zvB`;8v`8ZOFkg&?4f6y0FKKe|yh82r5-XaAv%`zVK7zAL*s(>MZ}6JD5a(ypf9-XY zgZJZL(bX|LuL!%mMD}r26%}os!n>+!*OkaV zjkBv4jr|tRu4%^>{x@K$QQ+JD|KDxkyVn1~`hV~hWTTVh;^~b8-}C<;vIEap@xOZx zSwC9BzD+FfefZ|9W`SpsPCv4W{J}f*wm|XctoR!oPnISkAD6jch2J#fTcPq7t@v9U zueN4*5|$)<8tAss-iEh#7u)Z!cEA67HSkMoPk$PjwL>%e+KT^+)ehWP_6WiFzlI+CcmQ%-zq9JyHSqAgya@gWEB+qGljxC%y`QY``-XgH zRQ?w${y&aayKsB^M+){H7<$j4dcRxs9vb+rDE@{O|A^zsuw=yEEi3%7A>SR9zhl9} z_ZhQOSB0-Rrqw3jCKt3P%SJo=go554`J&LSKzrL4kx%d4we}QXWY!za?7kHr$noUd zi-_?DR(Oyh58wQc44X$*yo2M_=ee;SqXc8Bq1O-73sS6lP6H2L`0U?*P@okb%<<&$ zD1UoF)-Pa`Lk#(WsJzoE@8WoM5VtoyMX;wCdho^5NV=L;&u!p`pm>iJ@8Nh7lZx02 zwZe-T@6<*SiPeA1(t@u(Lufmtc(sW40bisIOLoW%{t76qFW8g=i_^MWXS&k>? z(-C{st?&p#J{gs-X~mc0c=bhYuV;o}ue_lL-+zl7jVP;L1p}Xg;_F)RksQBjG~zDC zimqs=r=jZgt@uhDucmW%Ge!&UDjV(a{k{6ZJt4MNf%b1lBcry7wWp_y%reo;npyEx zIi93tBF0--;nfUz_&Q@BYM{F4V7yec=+mK1mE7; ze=UwD8^<8_I$GggLw+JE-^q%v&G9OHX|jIYDrE`wq71#ss9smAUL6BJ1;ux_;_Gre zxsZj}>uH5Y8}jgl{7ChBTk$a*uV!<5y~Yam>KX0u70|l<#bSGXXg@F(8MXtgJvA^g zn~7#N$ck^s@ubx_#P|>^ypbV48KtzDa{fRi%@); z72k^E$)*X2y$mb7wIL7R{*BnnwBp-vyt;(jt2|M#*VbrX%G-yE?d_m_#zbV;PO$d$ zjFH)LG_y%oe0z>3o=J%DDOPv~Lw*G+Kh28o$nok*ZfwaU!T7U=9()rzQplNBy-o%m zeh34>&$i+_b3AE18L{`072d^=hi_m<TR*=4K(oEQT$dbeh|l#V%dnjZC3bTLw+YJzr%_j!tv@ZZf|L}VDAM(?{!pfw^eVb zf!`gp2RVBCtoT@tCvB!9_6}I#!wmU-K{<&0AuB$f<5l>1HGSBgo-WwSGTL)^`y;V^ zEVNgifehQD)}F>0nH@q4b=-;{&+%mM48-^eD}16MpNq<$vf`(5yqXtuS~ng&Q!qZw z(1TyFK?-Som?~!*c=&Z21pmIZ|LGi0uFXX3S)aYiGYt9TsQiak`I#KAzRB$kn@76f z-{I}&#P&tdetR}DY%g1TT5MznKMIK$ziP!V;dnA_4r2Ve6~4@nho8c-udHA(M#NO{#{AP|<&vAP( za|L@_4ZZWI-Yu)%YX<%TioavUZ{v7!eJ*0}FDrbzA%78-|Hq1do#WL{xxKh~g1tPW z{WIRaL2N$)?WgApm?Vh|1QUq>;;Iu5G(vWL;eaXuUYXIIbOZW@T(RI z?yebf*HF1otK9bn{yK^ex8i@~c(Q*X;;w`h{+l8H9V%bSiZ|Y}Q@>|;Qg4x9?_aK` z{=nPQ#P$c!zHE{IsCAUL^rXl}WaX0` z#+M5kSBy6UyuYD()vbEw)BFFS_?lL{`82-AV#J=;3NLTi`vaAavf?Wk_HJ-{7ZwZl zj2lw#O;j(&s%PGy-a_&9t$5=Gl}ulP*lTEo8#j>NJE;8AR=jb;r~b+9$x8)$<{jH# zyuFp!PVckgmLkKpg|#R1mgyffvsPBTaZ5yQEJcjBvBJ$;mb=(m&C$+^H*PW1d)!$1 zGQoI)F;4EI>2|d0jWF>4q5XHV;$P%=QgJzAuZtC)X2?H8)9Gr(&*ymc5x2K#xnR#& z8+sq3dOfXrbS?!3g2(YJ5l-JR{Q~u zSA)5+)++^L2MxUtR4>V@cgVoIQ2aDo;{ zZXRwgW0hc!uGn74wJbMQ?3IGX1F9Q(5vblvR=pYqz8s35XT{Su)%4?f@)gA10xO)pr=|~^3aI=d zE4~iLtC8GZn>B*HhK626RBx$Ok3LV+`>%xJms{~Ka6GxS2C=uo3a8gTUA_t`zsicI zkJl((eTv%~y;iU{%xJI5+xLp?^jiAKT4dO+v-Xs3WL6!`Y=ad)j^oMDb%^mzRyckB zr5mq_%5SmaCv&`7iyOPPPB1>j(DR~t+pKz14Sa3Kc4Ru-X~okAS~Op>WIbYUw*?+Z z-__CWMWgBLwc@*Qyc)ypg>H~bbuoXWG!Pb(*3UAAwv}(eC#B)pJ+$QiGEJ`IphaAw zH&7WH{0@4qBNs#N0VMpe%=;VUrj_V#&$y+42U2NOQrfGI}IR<30T+X4$X(INl2l1>Q~0J0bFx7sk88d384n{rL*x{mpqBMBa+Rcy~F^vqk7{ zWnsMkIPdlrxg_yzk)sL}XH@}m3{o6b&L_7mz$1n6f;cbXRUuh;n)k$mp*lJ5Gm!^t z&L{I+oY#J<&>yTtpUm@c-XW2~u#r&5vg z{uOz!Tz)dI3g?a3CiDkS7N5+k#(7_eylsW?YI5GQ+lBtN7sjj2d3hoamYq+|vo7b= z*dg=>%h4zE>TwXr_diffP8X)jX7_;$U9ybuNmk4Eb?Ia{N(;xa$f&k zLZ0xX^2xk5ocF%SJ6Ra-8P03`y3iji+n?NDN6y&$ujycM;(;Jl@T6(FPY zRyR&wu)AQgN_YVtb}>+U7VNax9--6X1;}WpeG8IjisTZ7kq2<{^*utyB@6J-j0baG z&%FY#RAIcKoOep(l`f1I$9XaPg#OAD#!KM5O(L&sVZ0HX7rI~QFQPEsi<~!I$!duFzj$t6r6G-cFHM*s51u z!+B-%g#HR!^{VSRZ@$PYY}Ko7;=Fqzudr3G`YPuo9})80TUb4}ao$%V4<0Q(`S|VR zyv}b3{T(ihx100c5P7+U@%C|Et)oJJw+iFsaNa8dFQ7?byu+Lqe5_!90Zj|z9pSu* zBClCtykngAtH^6!81Dq<4LmO72@f}(eEd#x-WicMp)j6t*V*(~lGB$?kziIGQn}Nk0m&25=L!0I~uH`s>$aVaZ>#W0?P54CUeKMKWT8Z?Sv1t&SPIf`enU?GP z7WQV63$PbvBIYoadE~JWUdY0WN!>O|xiByWnS>nAbuG(rt;%)1LI$-_YJs~?S}4T{ zX`@V)*OHQLl|RFVSdbe?*>?HJe(jV$<;{6ro&mQiliEsVw9f~eXb*txO!nOl$}M?U zUT#NafJ_c|RKoHqc2c^@@_yDly|dC34$jfe%B3;~4?DoAdce~8D`ZEPeC;2*=xN43 zr(6g+>^xCDQk zxoU}AwM?#BF4qMr4iow{`4XVe!3#Z^ifT4pCNp~~v#V2;(DJB^)5KmSQN47T1HF{e zPUs<&c0n%mQWok0K`1{ozq27^MsL$-F)ENR-h)K-Vd8z1QhHmk+3_H9sgIJ=*xqVr zh>5)p1^o`{Y7Fs!FmkH9Ql1POuDHoBeU&X15x=fiLs0=yuyz-@vcj9=>#OvLX z+!o#&Lg=_I3<2Q<@z<(_4_Hujl+(akVrLl z>f9vb2Pk#q+vGL)6Z9uE{7t?Xp!5&_7dGz|^jwk1bCq)B))1JJk_Renuw(1&E@U=DpuZV%QmBZugiRq%&mG?{!b zgkiJ*QuPHLv-SlYa|ZtCm>}}s3ml`8{zH|F;M<$0Vu9u+Lt~YC zCZHHu9m@e>9L?P&7JC?#FKO4_CwvG34r2c zZYBkgBbiWy(s`j{l$(Id9SgIe+#+w_IOU^&AV|e^iNMe8)`5-(OoF-VDmesup05jz zul}T`rc_j1mr3YkrL)|M44SOG?QDD4vDBNRbtKiMC=H#RVGBBMMdGF?L$xlj*)_+x zS&w`;1?J5jr1MlIO6#GI^5tIWw;!1?74qzrcW|omjsh3N3DY5sUS!*JWr*A-FJOkU zOO`v5y)%`D<@)8gjM>yF%%<7^@^GdS6?TD@ey~0j(g~F`o24`h8V(7(Kqk&o8o;$? z&nzX%13)cSN71>JqAHNU*-C|AfQAIZToDK#riG){YBn6T;bhQkB?11NoDHeHK-$hy zN|As$N(Bg2o|E70aB~JGL+9{r2Qc(OhW-T5dfy2;lunX)u9uWjGFhHC}!EYbh}JGbAZD*r^|xb$T5`g5mh|CG{65ZRIg}SqqdzVBR%ld#Mb7|8mH}TQHC|S#aEWcCXa3H1 zGBJN=2iXEUjTz^4CcfJgpC^dJii(TxW5E67zn7JAoP3bQ4w>WyHsm}8JVLrHHp$0W z?6^r@WJ5m5fTzgDeDd2Y_KrzjY(svZ0Y4zOf$U+PKV-3wNW>B|oAWGo!4zC(Blsx; zenwJ&%xnEQS-eDv42QK4FV+`2$TfU!ZKgks!bH9I)$4iweyqa+RqrUEv z=w)VV{JQbLRNr8u{#YlwB{BoZOwb*`Vu56rDd<*N%xMa4#s%GQp3`iGlLtU%>AFKm zfjw@U}OZj$cHjda~`^`xZ6ruu6(>cv>sqvLQdkfK^FqKDh>q)ilYwZOFA5Fp8YaCr7hbj7i>WLvFx; z4N2Gvv&xM~gB8Z?<9?b9fgN6*fSJcTyw55+m@4|0Cuf31FOhf z8hFJFtReepV7(dGK)#~-baBQG_ZCzC9Lwc3vuPWt{R&`rnt@#=_5#E1F`M?1sT6y_ z4CI*Di!5(7!)!Z9&I8z$YX{kqX&TKkQZmcm+BIE2c;osN{>gJ^BTKtquUTdoPosw5=)H;wuYmHTg z+c+i79Io52jPsPZ*D2-dv4bg|lHb`d*(o^?XnfYcKqjwKD)OCA$maZ=i{$=GP|r69iv{=p$Z??Y()~`ZLJvH{Kj1zye~|ubqj7`4Z`2#5ze#$+4o~VfsNW%z zHkvi~6RMg*HbH$ho0Es^mxdn{GDRt0qn5KdqSb6gG_bJ zMm-ErLrB*xrg}I@+hU9l4=jd)^EHauXp|sF^C_hu-O^^aVK$U9#PO=>p)84l9iDas zRQqp}U&4l89(t)jro3vV9Z5ET20vo39t&!I92-D)OOg*=_V^As5Z zJ3PCpp!}w(Tt2imHlui|Lv}UD?yY8aHOV>9;MvszjgzKEMVsurB;qxb5(R>FOiE=N zN?no!6h1Jb$)bFI46G3DoBXOa{Cecee13iM2zIz_c#Py}Wb$j+@SldUbcuA|W}N(< z#t``0q}8&aH38IRvIc1U41`M@JcK@#uhR_9bJf&|ve9V{w8=!bagwvV6BPtk@U^8OQ!i zB=R1hPa*Z`pKKCO|I8#S=$|>{-91V@^3EP5lsNV(RmeYdtI1wv3p`)_X0I|(ewj4d zr^ISYd3P|7mXl5Ul$c_>nK~?uX*#RPH3-7nOriThuh@Q2dFG)UK`QN4obqOp1yOk` z*|uMKzZCtjaxqDHyt9QQ!)Kc%=|A~j&%wM|2b5#MPn&OM-il=(RSpgDyyn?P-!=qV zarpLF8UCu?qaOGGHT?{=Bsn~JBWQtjwd0&X-I4)5_<`WEkI(6 zg;-1Gc)1W{u~ix|Dg#@3%+t@)--vnod-jkkrw dZGpB}Tdu7F%CWqOZz;Fk&f{Vg delta 37 tcmdlPvNL4EKM6*i$p-~RCyPj`PyQz%wmDGp3LmQuOD}`&W-SeVCIAse3^D)!