From c52c6324d992794bf79bfc22a0be404394ef20fb Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?=E2=80=8BLaraib=20Khan?= <​laraib.khan@lampromellon.com> Date: Mon, 28 Dec 2020 18:01:06 +0500 Subject: [PATCH] buf_rst corrected --- lsu_bus_buffer.fir | 1310 ++++++++--------- lsu_bus_buffer.v | 762 +++++----- src/main/scala/lsu/lsu_bus_buffer.scala | 4 +- .../classes/lsu/lsu_bus_buffer.class | Bin 573859 -> 573817 bytes 4 files changed, 1034 insertions(+), 1042 deletions(-) diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir index c450d5b0..dc6caed1 100644 --- a/lsu_bus_buffer.fir +++ b/lsu_bus_buffer.fir @@ -4624,19 +4624,19 @@ circuit lsu_bus_buffer : node _T_3655 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] node _T_3656 = and(_T_3655, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] buf_data_en[0] <= _T_3656 @[lsu_bus_buffer.scala 482:24] - node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] - node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 483:106] - node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 483:86] + node _T_3657 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] + node _T_3658 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 483:111] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 483:91] node _T_3660 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 484:42] node _T_3661 = and(bus_rsp_read_error, _T_3660) @[lsu_bus_buffer.scala 484:31] node _T_3662 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 484:66] node _T_3663 = and(_T_3661, _T_3662) @[lsu_bus_buffer.scala 484:46] - node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 483:138] + node _T_3664 = or(_T_3659, _T_3663) @[lsu_bus_buffer.scala 483:143] node _T_3665 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 485:54] node _T_3666 = and(bus_rsp_write_error, _T_3665) @[lsu_bus_buffer.scala 485:33] node _T_3667 = or(_T_3664, _T_3666) @[lsu_bus_buffer.scala 484:88] - node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 483:63] - buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 483:20] + node _T_3668 = and(_T_3657, _T_3667) @[lsu_bus_buffer.scala 483:68] + buf_error_en[0] <= _T_3668 @[lsu_bus_buffer.scala 483:25] node _T_3669 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] node _T_3670 = and(buf_state_en[0], _T_3669) @[lsu_bus_buffer.scala 486:48] node _T_3671 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 486:84] @@ -4904,19 +4904,19 @@ circuit lsu_bus_buffer : node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 482:24] - node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] - node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 483:106] - node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 483:86] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 483:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 483:91] node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 484:42] node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 484:31] node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 484:66] node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 484:46] - node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 483:138] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 483:143] node _T_3856 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 485:54] node _T_3857 = and(bus_rsp_write_error, _T_3856) @[lsu_bus_buffer.scala 485:33] node _T_3858 = or(_T_3855, _T_3857) @[lsu_bus_buffer.scala 484:88] - node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 483:63] - buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 483:20] + node _T_3859 = and(_T_3848, _T_3858) @[lsu_bus_buffer.scala 483:68] + buf_error_en[1] <= _T_3859 @[lsu_bus_buffer.scala 483:25] node _T_3860 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] node _T_3861 = and(buf_state_en[1], _T_3860) @[lsu_bus_buffer.scala 486:48] node _T_3862 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 486:84] @@ -5184,19 +5184,19 @@ circuit lsu_bus_buffer : node _T_4037 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] node _T_4038 = and(_T_4037, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] buf_data_en[2] <= _T_4038 @[lsu_bus_buffer.scala 482:24] - node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] - node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 483:106] - node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 483:86] + node _T_4039 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] + node _T_4040 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 483:111] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[lsu_bus_buffer.scala 483:91] node _T_4042 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 484:42] node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 484:31] node _T_4044 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 484:66] node _T_4045 = and(_T_4043, _T_4044) @[lsu_bus_buffer.scala 484:46] - node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 483:138] + node _T_4046 = or(_T_4041, _T_4045) @[lsu_bus_buffer.scala 483:143] node _T_4047 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 485:54] node _T_4048 = and(bus_rsp_write_error, _T_4047) @[lsu_bus_buffer.scala 485:33] node _T_4049 = or(_T_4046, _T_4048) @[lsu_bus_buffer.scala 484:88] - node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 483:63] - buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 483:20] + node _T_4050 = and(_T_4039, _T_4049) @[lsu_bus_buffer.scala 483:68] + buf_error_en[2] <= _T_4050 @[lsu_bus_buffer.scala 483:25] node _T_4051 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] node _T_4052 = and(buf_state_en[2], _T_4051) @[lsu_bus_buffer.scala 486:48] node _T_4053 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 486:84] @@ -5464,19 +5464,19 @@ circuit lsu_bus_buffer : node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 482:47] node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 482:62] buf_data_en[3] <= _T_4229 @[lsu_bus_buffer.scala 482:24] - node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:43] - node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 483:106] - node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 483:86] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 483:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 483:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[lsu_bus_buffer.scala 483:91] node _T_4233 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 484:42] node _T_4234 = and(bus_rsp_read_error, _T_4233) @[lsu_bus_buffer.scala 484:31] node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 484:66] node _T_4236 = and(_T_4234, _T_4235) @[lsu_bus_buffer.scala 484:46] - node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 483:138] + node _T_4237 = or(_T_4232, _T_4236) @[lsu_bus_buffer.scala 483:143] node _T_4238 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 485:54] node _T_4239 = and(bus_rsp_write_error, _T_4238) @[lsu_bus_buffer.scala 485:33] node _T_4240 = or(_T_4237, _T_4239) @[lsu_bus_buffer.scala 484:88] - node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 483:63] - buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 483:20] + node _T_4241 = and(_T_4230, _T_4240) @[lsu_bus_buffer.scala 483:68] + buf_error_en[3] <= _T_4241 @[lsu_bus_buffer.scala 483:25] node _T_4242 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 486:50] node _T_4243 = and(buf_state_en[3], _T_4242) @[lsu_bus_buffer.scala 486:48] node _T_4244 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 486:84] @@ -5857,672 +5857,668 @@ circuit lsu_bus_buffer : buf_data[3] <= _T_4386 @[lsu_bus_buffer.scala 528:12] node _T_4387 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 529:119] node _T_4388 = mux(buf_error_en[0], UInt<1>("h01"), _T_4387) @[lsu_bus_buffer.scala 529:84] - node _T_4389 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] - node _T_4390 = and(_T_4388, _T_4389) @[lsu_bus_buffer.scala 529:124] - reg _T_4391 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4391 <= _T_4390 @[lsu_bus_buffer.scala 529:80] - node _T_4392 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 529:119] - node _T_4393 = mux(buf_error_en[1], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 529:84] - node _T_4394 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] - node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 529:124] - reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 529:80] - node _T_4397 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 529:119] - node _T_4398 = mux(buf_error_en[2], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 529:84] - node _T_4399 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] - node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 529:124] - reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 529:80] - node _T_4402 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 529:119] - node _T_4403 = mux(buf_error_en[3], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 529:84] - node _T_4404 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 529:126] - node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 529:124] - reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] - _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 529:80] - node _T_4407 = cat(_T_4406, _T_4401) @[Cat.scala 29:58] - node _T_4408 = cat(_T_4407, _T_4396) @[Cat.scala 29:58] - node _T_4409 = cat(_T_4408, _T_4391) @[Cat.scala 29:58] - buf_error <= _T_4409 @[lsu_bus_buffer.scala 529:13] - node _T_4410 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4411 = mux(io.ldst_dual_m, _T_4410, io.lsu_busreq_m) @[lsu_bus_buffer.scala 530:28] - node _T_4412 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4413 = mux(io.ldst_dual_r, _T_4412, io.lsu_busreq_r) @[lsu_bus_buffer.scala 530:94] - node _T_4414 = add(_T_4411, _T_4413) @[lsu_bus_buffer.scala 530:88] - node _T_4415 = add(_T_4414, ibuf_valid) @[lsu_bus_buffer.scala 530:154] - node _T_4416 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4417 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4418 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4419 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] - node _T_4420 = add(_T_4416, _T_4417) @[lsu_bus_buffer.scala 530:217] - node _T_4421 = add(_T_4420, _T_4418) @[lsu_bus_buffer.scala 530:217] - node _T_4422 = add(_T_4421, _T_4419) @[lsu_bus_buffer.scala 530:217] - node _T_4423 = add(_T_4415, _T_4422) @[lsu_bus_buffer.scala 530:169] - node buf_numvld_any = tail(_T_4423, 1) @[lsu_bus_buffer.scala 530:169] - node _T_4424 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 531:60] - node _T_4425 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4426 = and(_T_4424, _T_4425) @[lsu_bus_buffer.scala 531:64] - node _T_4427 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4428 = and(_T_4426, _T_4427) @[lsu_bus_buffer.scala 531:89] - node _T_4429 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 531:60] - node _T_4430 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 531:64] - node _T_4432 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 531:89] - node _T_4434 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 531:60] - node _T_4435 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 531:64] - node _T_4437 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 531:89] - node _T_4439 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 531:60] - node _T_4440 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] - node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 531:64] - node _T_4442 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] - node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 531:89] - node _T_4444 = add(_T_4443, _T_4438) @[lsu_bus_buffer.scala 531:142] - node _T_4445 = add(_T_4444, _T_4433) @[lsu_bus_buffer.scala 531:142] - node _T_4446 = add(_T_4445, _T_4428) @[lsu_bus_buffer.scala 531:142] - buf_numvld_wrcmd_any <= _T_4446 @[lsu_bus_buffer.scala 531:24] - node _T_4447 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4448 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4449 = and(_T_4447, _T_4448) @[lsu_bus_buffer.scala 532:73] - node _T_4450 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4451 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4452 = and(_T_4450, _T_4451) @[lsu_bus_buffer.scala 532:73] - node _T_4453 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4454 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4455 = and(_T_4453, _T_4454) @[lsu_bus_buffer.scala 532:73] - node _T_4456 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] - node _T_4458 = and(_T_4456, _T_4457) @[lsu_bus_buffer.scala 532:73] - node _T_4459 = add(_T_4458, _T_4455) @[lsu_bus_buffer.scala 532:126] - node _T_4460 = add(_T_4459, _T_4452) @[lsu_bus_buffer.scala 532:126] - node _T_4461 = add(_T_4460, _T_4449) @[lsu_bus_buffer.scala 532:126] - buf_numvld_cmd_any <= _T_4461 @[lsu_bus_buffer.scala 532:22] - node _T_4462 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4463 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4464 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4465 = and(_T_4463, _T_4464) @[lsu_bus_buffer.scala 533:100] - node _T_4466 = or(_T_4462, _T_4465) @[lsu_bus_buffer.scala 533:74] - node _T_4467 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4468 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4469 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 533:100] - node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 533:74] - node _T_4472 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4473 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4474 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 533:100] - node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 533:74] - node _T_4477 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] - node _T_4478 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] - node _T_4479 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] - node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 533:100] - node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 533:74] - node _T_4482 = add(_T_4481, _T_4476) @[lsu_bus_buffer.scala 533:154] - node _T_4483 = add(_T_4482, _T_4471) @[lsu_bus_buffer.scala 533:154] - node _T_4484 = add(_T_4483, _T_4466) @[lsu_bus_buffer.scala 533:154] - buf_numvld_pend_any <= _T_4484 @[lsu_bus_buffer.scala 533:23] - node _T_4485 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4486 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4487 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4488 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] - node _T_4489 = or(_T_4488, _T_4487) @[lsu_bus_buffer.scala 534:93] - node _T_4490 = or(_T_4489, _T_4486) @[lsu_bus_buffer.scala 534:93] - node _T_4491 = or(_T_4490, _T_4485) @[lsu_bus_buffer.scala 534:93] - any_done_wait_state <= _T_4491 @[lsu_bus_buffer.scala 534:23] - node _T_4492 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 535:53] - io.lsu_bus_buffer_pend_any <= _T_4492 @[lsu_bus_buffer.scala 535:30] - node _T_4493 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 536:52] - node _T_4494 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 536:92] - node _T_4495 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 536:121] - node _T_4496 = mux(_T_4493, _T_4494, _T_4495) @[lsu_bus_buffer.scala 536:36] - io.lsu_bus_buffer_full_any <= _T_4496 @[lsu_bus_buffer.scala 536:30] - node _T_4497 = orr(buf_state[0]) @[lsu_bus_buffer.scala 537:52] - node _T_4498 = orr(buf_state[1]) @[lsu_bus_buffer.scala 537:52] - node _T_4499 = orr(buf_state[2]) @[lsu_bus_buffer.scala 537:52] - node _T_4500 = orr(buf_state[3]) @[lsu_bus_buffer.scala 537:52] - node _T_4501 = or(_T_4497, _T_4498) @[lsu_bus_buffer.scala 537:65] - node _T_4502 = or(_T_4501, _T_4499) @[lsu_bus_buffer.scala 537:65] - node _T_4503 = or(_T_4502, _T_4500) @[lsu_bus_buffer.scala 537:65] - node _T_4504 = eq(_T_4503, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:34] - node _T_4505 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:72] - node _T_4506 = and(_T_4504, _T_4505) @[lsu_bus_buffer.scala 537:70] - node _T_4507 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:86] - node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 537:84] - io.lsu_bus_buffer_empty_any <= _T_4508 @[lsu_bus_buffer.scala 537:31] - node _T_4509 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 539:64] - node _T_4510 = and(_T_4509, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 539:85] - node _T_4511 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:112] - node _T_4512 = and(_T_4510, _T_4511) @[lsu_bus_buffer.scala 539:110] - node _T_4513 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:129] - node _T_4514 = and(_T_4512, _T_4513) @[lsu_bus_buffer.scala 539:127] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4514 @[lsu_bus_buffer.scala 539:45] + node _T_4389 = and(_T_4388, buf_rst[0]) @[lsu_bus_buffer.scala 529:124] + reg _T_4390 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4390 <= _T_4389 @[lsu_bus_buffer.scala 529:80] + node _T_4391 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 529:119] + node _T_4392 = mux(buf_error_en[1], UInt<1>("h01"), _T_4391) @[lsu_bus_buffer.scala 529:84] + node _T_4393 = and(_T_4392, buf_rst[1]) @[lsu_bus_buffer.scala 529:124] + reg _T_4394 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4394 <= _T_4393 @[lsu_bus_buffer.scala 529:80] + node _T_4395 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 529:119] + node _T_4396 = mux(buf_error_en[2], UInt<1>("h01"), _T_4395) @[lsu_bus_buffer.scala 529:84] + node _T_4397 = and(_T_4396, buf_rst[2]) @[lsu_bus_buffer.scala 529:124] + reg _T_4398 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4398 <= _T_4397 @[lsu_bus_buffer.scala 529:80] + node _T_4399 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 529:119] + node _T_4400 = mux(buf_error_en[3], UInt<1>("h01"), _T_4399) @[lsu_bus_buffer.scala 529:84] + node _T_4401 = and(_T_4400, buf_rst[3]) @[lsu_bus_buffer.scala 529:124] + reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 529:80] + _T_4402 <= _T_4401 @[lsu_bus_buffer.scala 529:80] + node _T_4403 = cat(_T_4402, _T_4398) @[Cat.scala 29:58] + node _T_4404 = cat(_T_4403, _T_4394) @[Cat.scala 29:58] + node _T_4405 = cat(_T_4404, _T_4390) @[Cat.scala 29:58] + buf_error <= _T_4405 @[lsu_bus_buffer.scala 529:13] + node _T_4406 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4407 = mux(io.ldst_dual_m, _T_4406, io.lsu_busreq_m) @[lsu_bus_buffer.scala 530:28] + node _T_4408 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4409 = mux(io.ldst_dual_r, _T_4408, io.lsu_busreq_r) @[lsu_bus_buffer.scala 530:94] + node _T_4410 = add(_T_4407, _T_4409) @[lsu_bus_buffer.scala 530:88] + node _T_4411 = add(_T_4410, ibuf_valid) @[lsu_bus_buffer.scala 530:154] + node _T_4412 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4413 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4414 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4415 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 530:190] + node _T_4416 = add(_T_4412, _T_4413) @[lsu_bus_buffer.scala 530:217] + node _T_4417 = add(_T_4416, _T_4414) @[lsu_bus_buffer.scala 530:217] + node _T_4418 = add(_T_4417, _T_4415) @[lsu_bus_buffer.scala 530:217] + node _T_4419 = add(_T_4411, _T_4418) @[lsu_bus_buffer.scala 530:169] + node buf_numvld_any = tail(_T_4419, 1) @[lsu_bus_buffer.scala 530:169] + node _T_4420 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 531:60] + node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4422 = and(_T_4420, _T_4421) @[lsu_bus_buffer.scala 531:64] + node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4424 = and(_T_4422, _T_4423) @[lsu_bus_buffer.scala 531:89] + node _T_4425 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 531:60] + node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4427 = and(_T_4425, _T_4426) @[lsu_bus_buffer.scala 531:64] + node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4429 = and(_T_4427, _T_4428) @[lsu_bus_buffer.scala 531:89] + node _T_4430 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 531:60] + node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4432 = and(_T_4430, _T_4431) @[lsu_bus_buffer.scala 531:64] + node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4434 = and(_T_4432, _T_4433) @[lsu_bus_buffer.scala 531:89] + node _T_4435 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 531:60] + node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 531:79] + node _T_4437 = and(_T_4435, _T_4436) @[lsu_bus_buffer.scala 531:64] + node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 531:91] + node _T_4439 = and(_T_4437, _T_4438) @[lsu_bus_buffer.scala 531:89] + node _T_4440 = add(_T_4439, _T_4434) @[lsu_bus_buffer.scala 531:142] + node _T_4441 = add(_T_4440, _T_4429) @[lsu_bus_buffer.scala 531:142] + node _T_4442 = add(_T_4441, _T_4424) @[lsu_bus_buffer.scala 531:142] + buf_numvld_wrcmd_any <= _T_4442 @[lsu_bus_buffer.scala 531:24] + node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4445 = and(_T_4443, _T_4444) @[lsu_bus_buffer.scala 532:73] + node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 532:73] + node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4451 = and(_T_4449, _T_4450) @[lsu_bus_buffer.scala 532:73] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 532:63] + node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 532:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 532:73] + node _T_4455 = add(_T_4454, _T_4451) @[lsu_bus_buffer.scala 532:126] + node _T_4456 = add(_T_4455, _T_4448) @[lsu_bus_buffer.scala 532:126] + node _T_4457 = add(_T_4456, _T_4445) @[lsu_bus_buffer.scala 532:126] + buf_numvld_cmd_any <= _T_4457 @[lsu_bus_buffer.scala 532:22] + node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4461 = and(_T_4459, _T_4460) @[lsu_bus_buffer.scala 533:100] + node _T_4462 = or(_T_4458, _T_4461) @[lsu_bus_buffer.scala 533:74] + node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4466 = and(_T_4464, _T_4465) @[lsu_bus_buffer.scala 533:100] + node _T_4467 = or(_T_4463, _T_4466) @[lsu_bus_buffer.scala 533:74] + node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4471 = and(_T_4469, _T_4470) @[lsu_bus_buffer.scala 533:100] + node _T_4472 = or(_T_4468, _T_4471) @[lsu_bus_buffer.scala 533:74] + node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 533:63] + node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 533:90] + node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 533:102] + node _T_4476 = and(_T_4474, _T_4475) @[lsu_bus_buffer.scala 533:100] + node _T_4477 = or(_T_4473, _T_4476) @[lsu_bus_buffer.scala 533:74] + node _T_4478 = add(_T_4477, _T_4472) @[lsu_bus_buffer.scala 533:154] + node _T_4479 = add(_T_4478, _T_4467) @[lsu_bus_buffer.scala 533:154] + node _T_4480 = add(_T_4479, _T_4462) @[lsu_bus_buffer.scala 533:154] + buf_numvld_pend_any <= _T_4480 @[lsu_bus_buffer.scala 533:23] + node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 534:61] + node _T_4485 = or(_T_4484, _T_4483) @[lsu_bus_buffer.scala 534:93] + node _T_4486 = or(_T_4485, _T_4482) @[lsu_bus_buffer.scala 534:93] + node _T_4487 = or(_T_4486, _T_4481) @[lsu_bus_buffer.scala 534:93] + any_done_wait_state <= _T_4487 @[lsu_bus_buffer.scala 534:23] + node _T_4488 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 535:53] + io.lsu_bus_buffer_pend_any <= _T_4488 @[lsu_bus_buffer.scala 535:30] + node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 536:52] + node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 536:92] + node _T_4491 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 536:121] + node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[lsu_bus_buffer.scala 536:36] + io.lsu_bus_buffer_full_any <= _T_4492 @[lsu_bus_buffer.scala 536:30] + node _T_4493 = orr(buf_state[0]) @[lsu_bus_buffer.scala 537:52] + node _T_4494 = orr(buf_state[1]) @[lsu_bus_buffer.scala 537:52] + node _T_4495 = orr(buf_state[2]) @[lsu_bus_buffer.scala 537:52] + node _T_4496 = orr(buf_state[3]) @[lsu_bus_buffer.scala 537:52] + node _T_4497 = or(_T_4493, _T_4494) @[lsu_bus_buffer.scala 537:65] + node _T_4498 = or(_T_4497, _T_4495) @[lsu_bus_buffer.scala 537:65] + node _T_4499 = or(_T_4498, _T_4496) @[lsu_bus_buffer.scala 537:65] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:34] + node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:72] + node _T_4502 = and(_T_4500, _T_4501) @[lsu_bus_buffer.scala 537:70] + node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:86] + node _T_4504 = and(_T_4502, _T_4503) @[lsu_bus_buffer.scala 537:84] + io.lsu_bus_buffer_empty_any <= _T_4504 @[lsu_bus_buffer.scala 537:31] + node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 539:64] + node _T_4506 = and(_T_4505, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 539:85] + node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:112] + node _T_4508 = and(_T_4506, _T_4507) @[lsu_bus_buffer.scala 539:110] + node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:129] + node _T_4510 = and(_T_4508, _T_4509) @[lsu_bus_buffer.scala 539:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4510 @[lsu_bus_buffer.scala 539:45] io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 540:43] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4515 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:74] - node _T_4516 = and(lsu_nonblock_load_valid_r, _T_4515) @[lsu_bus_buffer.scala 542:72] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4516 @[lsu_bus_buffer.scala 542:43] + node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 542:74] + node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[lsu_bus_buffer.scala 542:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4512 @[lsu_bus_buffer.scala 542:43] io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 543:47] - node _T_4517 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4518 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:106] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4520 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4521 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:106] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4523 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4524 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:106] - node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4526 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] - node _T_4527 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:106] - node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] - node _T_4529 = mux(_T_4517, _T_4519, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4530 = mux(_T_4520, _T_4522, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4531 = mux(_T_4523, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4532 = mux(_T_4526, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] - node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] - node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] + node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4514 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 544:106] + node _T_4515 = eq(_T_4514, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4516 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4517 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 544:106] + node _T_4518 = eq(_T_4517, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4519 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4520 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 544:106] + node _T_4521 = eq(_T_4520, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4522 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 544:80] + node _T_4523 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 544:106] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[lsu_bus_buffer.scala 544:95] + node _T_4525 = mux(_T_4513, _T_4515, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4526 = mux(_T_4516, _T_4518, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4527 = mux(_T_4519, _T_4521, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4528 = mux(_T_4522, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4529 = or(_T_4525, _T_4526) @[Mux.scala 27:72] + node _T_4530 = or(_T_4529, _T_4527) @[Mux.scala 27:72] + node _T_4531 = or(_T_4530, _T_4528) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] - node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4537 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 545:117] - node _T_4538 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:133] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4540 = and(_T_4537, _T_4539) @[lsu_bus_buffer.scala 545:121] - node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4542 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 545:117] - node _T_4543 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:133] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4545 = and(_T_4542, _T_4544) @[lsu_bus_buffer.scala 545:121] - node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4547 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 545:117] - node _T_4548 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:133] - node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4550 = and(_T_4547, _T_4549) @[lsu_bus_buffer.scala 545:121] - node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] - node _T_4552 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 545:117] - node _T_4553 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:133] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] - node _T_4555 = and(_T_4552, _T_4554) @[lsu_bus_buffer.scala 545:121] - node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] - node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] - node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] - wire _T_4563 : UInt<1> @[Mux.scala 27:72] - _T_4563 <= _T_4562 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4563 @[lsu_bus_buffer.scala 545:48] - node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4565 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:115] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4567 = and(_T_4564, _T_4566) @[lsu_bus_buffer.scala 546:103] - node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4570 = or(_T_4568, _T_4569) @[lsu_bus_buffer.scala 546:135] - node _T_4571 = and(_T_4567, _T_4570) @[lsu_bus_buffer.scala 546:119] - node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4573 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:115] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4575 = and(_T_4572, _T_4574) @[lsu_bus_buffer.scala 546:103] - node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4578 = or(_T_4576, _T_4577) @[lsu_bus_buffer.scala 546:135] - node _T_4579 = and(_T_4575, _T_4578) @[lsu_bus_buffer.scala 546:119] - node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4581 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:115] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4583 = and(_T_4580, _T_4582) @[lsu_bus_buffer.scala 546:103] - node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4586 = or(_T_4584, _T_4585) @[lsu_bus_buffer.scala 546:135] - node _T_4587 = and(_T_4583, _T_4586) @[lsu_bus_buffer.scala 546:119] - node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] - node _T_4589 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:115] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] - node _T_4591 = and(_T_4588, _T_4590) @[lsu_bus_buffer.scala 546:103] - node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] - node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] - node _T_4594 = or(_T_4592, _T_4593) @[lsu_bus_buffer.scala 546:135] - node _T_4595 = and(_T_4591, _T_4594) @[lsu_bus_buffer.scala 546:119] - node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] - node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] - node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] - wire _T_4603 : UInt<2> @[Mux.scala 27:72] - _T_4603 <= _T_4602 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4603 @[lsu_bus_buffer.scala 546:46] - node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4605 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4607 = and(_T_4604, _T_4606) @[lsu_bus_buffer.scala 547:89] - node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4610 = or(_T_4608, _T_4609) @[lsu_bus_buffer.scala 547:121] - node _T_4611 = and(_T_4607, _T_4610) @[lsu_bus_buffer.scala 547:105] - node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4613 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4615 = and(_T_4612, _T_4614) @[lsu_bus_buffer.scala 547:89] - node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4618 = or(_T_4616, _T_4617) @[lsu_bus_buffer.scala 547:121] - node _T_4619 = and(_T_4615, _T_4618) @[lsu_bus_buffer.scala 547:105] - node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4621 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4623 = and(_T_4620, _T_4622) @[lsu_bus_buffer.scala 547:89] - node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4626 = or(_T_4624, _T_4625) @[lsu_bus_buffer.scala 547:121] - node _T_4627 = and(_T_4623, _T_4626) @[lsu_bus_buffer.scala 547:105] - node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] - node _T_4629 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] - node _T_4631 = and(_T_4628, _T_4630) @[lsu_bus_buffer.scala 547:89] - node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] - node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] - node _T_4634 = or(_T_4632, _T_4633) @[lsu_bus_buffer.scala 547:121] - node _T_4635 = and(_T_4631, _T_4634) @[lsu_bus_buffer.scala 547:105] - node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] - node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] - node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4531 @[Mux.scala 27:72] + node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4533 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 545:117] + node _T_4534 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 545:133] + node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4536 = and(_T_4533, _T_4535) @[lsu_bus_buffer.scala 545:121] + node _T_4537 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4538 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 545:117] + node _T_4539 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 545:133] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4541 = and(_T_4538, _T_4540) @[lsu_bus_buffer.scala 545:121] + node _T_4542 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4543 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 545:117] + node _T_4544 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 545:133] + node _T_4545 = eq(_T_4544, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4546 = and(_T_4543, _T_4545) @[lsu_bus_buffer.scala 545:121] + node _T_4547 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 545:93] + node _T_4548 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 545:117] + node _T_4549 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 545:133] + node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:123] + node _T_4551 = and(_T_4548, _T_4550) @[lsu_bus_buffer.scala 545:121] + node _T_4552 = mux(_T_4532, _T_4536, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4553 = mux(_T_4537, _T_4541, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4554 = mux(_T_4542, _T_4546, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4555 = mux(_T_4547, _T_4551, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4556 = or(_T_4552, _T_4553) @[Mux.scala 27:72] + node _T_4557 = or(_T_4556, _T_4554) @[Mux.scala 27:72] + node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] + wire _T_4559 : UInt<1> @[Mux.scala 27:72] + _T_4559 <= _T_4558 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4559 @[lsu_bus_buffer.scala 545:48] + node _T_4560 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] + node _T_4561 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 546:115] + node _T_4562 = eq(_T_4561, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] + node _T_4563 = and(_T_4560, _T_4562) @[lsu_bus_buffer.scala 546:103] + node _T_4564 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] + node _T_4565 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] + node _T_4566 = or(_T_4564, _T_4565) @[lsu_bus_buffer.scala 546:135] + node _T_4567 = and(_T_4563, _T_4566) @[lsu_bus_buffer.scala 546:119] + node _T_4568 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] + node _T_4569 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 546:115] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] + node _T_4571 = and(_T_4568, _T_4570) @[lsu_bus_buffer.scala 546:103] + node _T_4572 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] + node _T_4573 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] + node _T_4574 = or(_T_4572, _T_4573) @[lsu_bus_buffer.scala 546:135] + node _T_4575 = and(_T_4571, _T_4574) @[lsu_bus_buffer.scala 546:119] + node _T_4576 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] + node _T_4577 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 546:115] + node _T_4578 = eq(_T_4577, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] + node _T_4579 = and(_T_4576, _T_4578) @[lsu_bus_buffer.scala 546:103] + node _T_4580 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] + node _T_4581 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] + node _T_4582 = or(_T_4580, _T_4581) @[lsu_bus_buffer.scala 546:135] + node _T_4583 = and(_T_4579, _T_4582) @[lsu_bus_buffer.scala 546:119] + node _T_4584 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 546:92] + node _T_4585 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 546:115] + node _T_4586 = eq(_T_4585, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:105] + node _T_4587 = and(_T_4584, _T_4586) @[lsu_bus_buffer.scala 546:103] + node _T_4588 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:122] + node _T_4589 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 546:137] + node _T_4590 = or(_T_4588, _T_4589) @[lsu_bus_buffer.scala 546:135] + node _T_4591 = and(_T_4587, _T_4590) @[lsu_bus_buffer.scala 546:119] + node _T_4592 = mux(_T_4567, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4593 = mux(_T_4575, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4594 = mux(_T_4583, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4595 = mux(_T_4591, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4596 = or(_T_4592, _T_4593) @[Mux.scala 27:72] + node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] + node _T_4598 = or(_T_4597, _T_4595) @[Mux.scala 27:72] + wire _T_4599 : UInt<2> @[Mux.scala 27:72] + _T_4599 <= _T_4598 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4599 @[lsu_bus_buffer.scala 546:46] + node _T_4600 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4601 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 547:101] + node _T_4602 = eq(_T_4601, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4603 = and(_T_4600, _T_4602) @[lsu_bus_buffer.scala 547:89] + node _T_4604 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4605 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4606 = or(_T_4604, _T_4605) @[lsu_bus_buffer.scala 547:121] + node _T_4607 = and(_T_4603, _T_4606) @[lsu_bus_buffer.scala 547:105] + node _T_4608 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4609 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 547:101] + node _T_4610 = eq(_T_4609, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4611 = and(_T_4608, _T_4610) @[lsu_bus_buffer.scala 547:89] + node _T_4612 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4613 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4614 = or(_T_4612, _T_4613) @[lsu_bus_buffer.scala 547:121] + node _T_4615 = and(_T_4611, _T_4614) @[lsu_bus_buffer.scala 547:105] + node _T_4616 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4617 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 547:101] + node _T_4618 = eq(_T_4617, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4619 = and(_T_4616, _T_4618) @[lsu_bus_buffer.scala 547:89] + node _T_4620 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4621 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4622 = or(_T_4620, _T_4621) @[lsu_bus_buffer.scala 547:121] + node _T_4623 = and(_T_4619, _T_4622) @[lsu_bus_buffer.scala 547:105] + node _T_4624 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 547:78] + node _T_4625 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 547:101] + node _T_4626 = eq(_T_4625, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:91] + node _T_4627 = and(_T_4624, _T_4626) @[lsu_bus_buffer.scala 547:89] + node _T_4628 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:108] + node _T_4629 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 547:123] + node _T_4630 = or(_T_4628, _T_4629) @[lsu_bus_buffer.scala 547:121] + node _T_4631 = and(_T_4627, _T_4630) @[lsu_bus_buffer.scala 547:105] + node _T_4632 = mux(_T_4607, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4633 = mux(_T_4615, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4634 = mux(_T_4623, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4635 = mux(_T_4631, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4636 = or(_T_4632, _T_4633) @[Mux.scala 27:72] + node _T_4637 = or(_T_4636, _T_4634) @[Mux.scala 27:72] + node _T_4638 = or(_T_4637, _T_4635) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] - node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4644 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] - node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4646 = and(_T_4643, _T_4645) @[lsu_bus_buffer.scala 548:89] - node _T_4647 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 548:120] - node _T_4648 = and(_T_4646, _T_4647) @[lsu_bus_buffer.scala 548:105] - node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4650 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] - node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4652 = and(_T_4649, _T_4651) @[lsu_bus_buffer.scala 548:89] - node _T_4653 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 548:120] - node _T_4654 = and(_T_4652, _T_4653) @[lsu_bus_buffer.scala 548:105] - node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4656 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] - node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4658 = and(_T_4655, _T_4657) @[lsu_bus_buffer.scala 548:89] - node _T_4659 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 548:120] - node _T_4660 = and(_T_4658, _T_4659) @[lsu_bus_buffer.scala 548:105] - node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] - node _T_4662 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] - node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] - node _T_4664 = and(_T_4661, _T_4663) @[lsu_bus_buffer.scala 548:89] - node _T_4665 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 548:120] - node _T_4666 = and(_T_4664, _T_4665) @[lsu_bus_buffer.scala 548:105] - node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] - node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] - node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4638 @[Mux.scala 27:72] + node _T_4639 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4640 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 548:101] + node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4642 = and(_T_4639, _T_4641) @[lsu_bus_buffer.scala 548:89] + node _T_4643 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 548:120] + node _T_4644 = and(_T_4642, _T_4643) @[lsu_bus_buffer.scala 548:105] + node _T_4645 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4646 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 548:101] + node _T_4647 = eq(_T_4646, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4648 = and(_T_4645, _T_4647) @[lsu_bus_buffer.scala 548:89] + node _T_4649 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 548:120] + node _T_4650 = and(_T_4648, _T_4649) @[lsu_bus_buffer.scala 548:105] + node _T_4651 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4652 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 548:101] + node _T_4653 = eq(_T_4652, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4654 = and(_T_4651, _T_4653) @[lsu_bus_buffer.scala 548:89] + node _T_4655 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 548:120] + node _T_4656 = and(_T_4654, _T_4655) @[lsu_bus_buffer.scala 548:105] + node _T_4657 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 548:78] + node _T_4658 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 548:101] + node _T_4659 = eq(_T_4658, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:91] + node _T_4660 = and(_T_4657, _T_4659) @[lsu_bus_buffer.scala 548:89] + node _T_4661 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 548:120] + node _T_4662 = and(_T_4660, _T_4661) @[lsu_bus_buffer.scala 548:105] + node _T_4663 = mux(_T_4644, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4664 = mux(_T_4650, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4665 = mux(_T_4656, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4666 = mux(_T_4662, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4667 = or(_T_4663, _T_4664) @[Mux.scala 27:72] + node _T_4668 = or(_T_4667, _T_4665) @[Mux.scala 27:72] + node _T_4669 = or(_T_4668, _T_4666) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] - node _T_4674 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4675 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4676 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4677 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] - node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] - node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] - wire _T_4685 : UInt<32> @[Mux.scala 27:72] - _T_4685 <= _T_4684 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[lsu_bus_buffer.scala 549:96] - node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] - node _T_4687 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] - node _T_4688 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] - node _T_4689 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] - node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] - node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] - node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4669 @[Mux.scala 27:72] + node _T_4670 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4671 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4672 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4673 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4674 = mux(_T_4670, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4675 = mux(_T_4671, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4676 = mux(_T_4672, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4673, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = or(_T_4674, _T_4675) @[Mux.scala 27:72] + node _T_4679 = or(_T_4678, _T_4676) @[Mux.scala 27:72] + node _T_4680 = or(_T_4679, _T_4677) @[Mux.scala 27:72] + wire _T_4681 : UInt<32> @[Mux.scala 27:72] + _T_4681 <= _T_4680 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4681, 1, 0) @[lsu_bus_buffer.scala 549:96] + node _T_4682 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 61:123] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 61:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 61:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 61:123] + node _T_4686 = mux(_T_4682, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4687 = mux(_T_4683, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4684, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = or(_T_4686, _T_4687) @[Mux.scala 27:72] + node _T_4691 = or(_T_4690, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] - node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] - node _T_4698 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] - node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] - node _T_4700 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] - node _T_4701 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] - node _T_4702 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] - node _T_4703 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] - node _T_4704 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] - node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] - node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] - node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4692 @[Mux.scala 27:72] + node _T_4693 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 60:118] + node _T_4694 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 60:129] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 60:118] + node _T_4696 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 60:129] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 60:118] + node _T_4698 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 60:129] + node _T_4699 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 60:118] + node _T_4700 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 60:129] + node _T_4701 = mux(_T_4693, _T_4694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4695, _T_4696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4704 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4705 = or(_T_4701, _T_4702) @[Mux.scala 27:72] + node _T_4706 = or(_T_4705, _T_4703) @[Mux.scala 27:72] + node _T_4707 = or(_T_4706, _T_4704) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] - node _T_4712 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4713 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 553:121] - node lsu_nonblock_data_unalgn = dshr(_T_4712, _T_4713) @[lsu_bus_buffer.scala 553:92] - node _T_4714 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 555:82] - node _T_4715 = and(lsu_nonblock_load_data_ready, _T_4714) @[lsu_bus_buffer.scala 555:80] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4715 @[lsu_bus_buffer.scala 555:48] - node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:94] - node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 556:76] - node _T_4718 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:144] - node _T_4719 = cat(UInt<24>("h00"), _T_4718) @[Cat.scala 29:58] - node _T_4720 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] - node _T_4721 = and(lsu_nonblock_unsign, _T_4720) @[lsu_bus_buffer.scala 557:26] - node _T_4722 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:95] - node _T_4723 = cat(UInt<16>("h00"), _T_4722) @[Cat.scala 29:58] - node _T_4724 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:6] - node _T_4725 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:45] - node _T_4726 = and(_T_4724, _T_4725) @[lsu_bus_buffer.scala 558:27] - node _T_4727 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 558:93] - node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] - node _T_4729 = mux(_T_4728, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:123] - node _T_4731 = cat(_T_4729, _T_4730) @[Cat.scala 29:58] - node _T_4732 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] - node _T_4733 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] - node _T_4734 = and(_T_4732, _T_4733) @[lsu_bus_buffer.scala 559:27] - node _T_4735 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 559:93] - node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] - node _T_4737 = mux(_T_4736, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:124] - node _T_4739 = cat(_T_4737, _T_4738) @[Cat.scala 29:58] - node _T_4740 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 560:21] - node _T_4741 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4742 = mux(_T_4721, _T_4723, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4743 = mux(_T_4726, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4744 = mux(_T_4734, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4745 = mux(_T_4740, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4746 = or(_T_4741, _T_4742) @[Mux.scala 27:72] - node _T_4747 = or(_T_4746, _T_4743) @[Mux.scala 27:72] - node _T_4748 = or(_T_4747, _T_4744) @[Mux.scala 27:72] - node _T_4749 = or(_T_4748, _T_4745) @[Mux.scala 27:72] - wire _T_4750 : UInt<64> @[Mux.scala 27:72] - _T_4750 <= _T_4749 @[Mux.scala 27:72] - io.dctl_busbuff.lsu_nonblock_load_data <= _T_4750 @[lsu_bus_buffer.scala 556:42] - node _T_4751 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4752 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 561:89] + lsu_nonblock_unsign <= _T_4707 @[Mux.scala 27:72] + node _T_4708 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4709 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 553:121] + node lsu_nonblock_data_unalgn = dshr(_T_4708, _T_4709) @[lsu_bus_buffer.scala 553:92] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 555:82] + node _T_4711 = and(lsu_nonblock_load_data_ready, _T_4710) @[lsu_bus_buffer.scala 555:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4711 @[lsu_bus_buffer.scala 555:48] + node _T_4712 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 556:94] + node _T_4713 = and(lsu_nonblock_unsign, _T_4712) @[lsu_bus_buffer.scala 556:76] + node _T_4714 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 556:144] + node _T_4715 = cat(UInt<24>("h00"), _T_4714) @[Cat.scala 29:58] + node _T_4716 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 557:45] + node _T_4717 = and(lsu_nonblock_unsign, _T_4716) @[lsu_bus_buffer.scala 557:26] + node _T_4718 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 557:95] + node _T_4719 = cat(UInt<16>("h00"), _T_4718) @[Cat.scala 29:58] + node _T_4720 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:6] + node _T_4721 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 558:45] + node _T_4722 = and(_T_4720, _T_4721) @[lsu_bus_buffer.scala 558:27] + node _T_4723 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 558:93] + node _T_4724 = bits(_T_4723, 0, 0) @[Bitwise.scala 72:15] + node _T_4725 = mux(_T_4724, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4726 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 558:123] + node _T_4727 = cat(_T_4725, _T_4726) @[Cat.scala 29:58] + node _T_4728 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 559:6] + node _T_4729 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 559:45] + node _T_4730 = and(_T_4728, _T_4729) @[lsu_bus_buffer.scala 559:27] + node _T_4731 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 559:93] + node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] + node _T_4733 = mux(_T_4732, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4734 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 559:124] + node _T_4735 = cat(_T_4733, _T_4734) @[Cat.scala 29:58] + node _T_4736 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 560:21] + node _T_4737 = mux(_T_4713, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4738 = mux(_T_4717, _T_4719, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4739 = mux(_T_4722, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4740 = mux(_T_4730, _T_4735, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4741 = mux(_T_4736, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4742 = or(_T_4737, _T_4738) @[Mux.scala 27:72] + node _T_4743 = or(_T_4742, _T_4739) @[Mux.scala 27:72] + node _T_4744 = or(_T_4743, _T_4740) @[Mux.scala 27:72] + node _T_4745 = or(_T_4744, _T_4741) @[Mux.scala 27:72] + wire _T_4746 : UInt<64> @[Mux.scala 27:72] + _T_4746 <= _T_4745 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4746 @[lsu_bus_buffer.scala 556:42] + node _T_4747 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4748 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 561:89] + node _T_4749 = and(_T_4747, _T_4748) @[lsu_bus_buffer.scala 561:73] + node _T_4750 = and(_T_4749, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] + node _T_4751 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4752 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 561:89] node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 561:73] node _T_4754 = and(_T_4753, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4755 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4756 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 561:89] + node _T_4755 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4756 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 561:89] node _T_4757 = and(_T_4755, _T_4756) @[lsu_bus_buffer.scala 561:73] node _T_4758 = and(_T_4757, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4759 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4760 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 561:89] + node _T_4759 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] + node _T_4760 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 561:89] node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 561:73] node _T_4762 = and(_T_4761, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4763 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 561:62] - node _T_4764 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 561:89] - node _T_4765 = and(_T_4763, _T_4764) @[lsu_bus_buffer.scala 561:73] - node _T_4766 = and(_T_4765, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:93] - node _T_4767 = or(_T_4754, _T_4758) @[lsu_bus_buffer.scala 561:153] - node _T_4768 = or(_T_4767, _T_4762) @[lsu_bus_buffer.scala 561:153] - node _T_4769 = or(_T_4768, _T_4766) @[lsu_bus_buffer.scala 561:153] - node _T_4770 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 561:171] - node _T_4771 = and(_T_4770, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:189] - node _T_4772 = or(_T_4769, _T_4771) @[lsu_bus_buffer.scala 561:157] - bus_sideeffect_pend <= _T_4772 @[lsu_bus_buffer.scala 561:23] - node _T_4773 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4774 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4775 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4776 = eq(_T_4774, _T_4775) @[lsu_bus_buffer.scala 563:37] - node _T_4777 = and(obuf_valid, _T_4776) @[lsu_bus_buffer.scala 563:19] - node _T_4778 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:73] - node _T_4779 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:107] - node _T_4780 = and(obuf_merge, _T_4779) @[lsu_bus_buffer.scala 563:95] - node _T_4781 = or(_T_4778, _T_4780) @[lsu_bus_buffer.scala 563:81] - node _T_4782 = eq(_T_4781, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4783 = and(_T_4777, _T_4782) @[lsu_bus_buffer.scala 563:59] - node _T_4784 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4785 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4786 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4787 = eq(_T_4785, _T_4786) @[lsu_bus_buffer.scala 563:37] - node _T_4788 = and(obuf_valid, _T_4787) @[lsu_bus_buffer.scala 563:19] - node _T_4789 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:73] - node _T_4790 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:107] - node _T_4791 = and(obuf_merge, _T_4790) @[lsu_bus_buffer.scala 563:95] - node _T_4792 = or(_T_4789, _T_4791) @[lsu_bus_buffer.scala 563:81] - node _T_4793 = eq(_T_4792, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4794 = and(_T_4788, _T_4793) @[lsu_bus_buffer.scala 563:59] - node _T_4795 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4796 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4797 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4798 = eq(_T_4796, _T_4797) @[lsu_bus_buffer.scala 563:37] - node _T_4799 = and(obuf_valid, _T_4798) @[lsu_bus_buffer.scala 563:19] - node _T_4800 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:73] - node _T_4801 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:107] - node _T_4802 = and(obuf_merge, _T_4801) @[lsu_bus_buffer.scala 563:95] - node _T_4803 = or(_T_4800, _T_4802) @[lsu_bus_buffer.scala 563:81] - node _T_4804 = eq(_T_4803, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4805 = and(_T_4799, _T_4804) @[lsu_bus_buffer.scala 563:59] - node _T_4806 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] - node _T_4807 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] - node _T_4808 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 563:51] - node _T_4809 = eq(_T_4807, _T_4808) @[lsu_bus_buffer.scala 563:37] - node _T_4810 = and(obuf_valid, _T_4809) @[lsu_bus_buffer.scala 563:19] - node _T_4811 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:73] - node _T_4812 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:107] - node _T_4813 = and(obuf_merge, _T_4812) @[lsu_bus_buffer.scala 563:95] - node _T_4814 = or(_T_4811, _T_4813) @[lsu_bus_buffer.scala 563:81] - node _T_4815 = eq(_T_4814, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] - node _T_4816 = and(_T_4810, _T_4815) @[lsu_bus_buffer.scala 563:59] - node _T_4817 = mux(_T_4773, _T_4783, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4818 = mux(_T_4784, _T_4794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4819 = mux(_T_4795, _T_4805, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4820 = mux(_T_4806, _T_4816, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4821 = or(_T_4817, _T_4818) @[Mux.scala 27:72] - node _T_4822 = or(_T_4821, _T_4819) @[Mux.scala 27:72] - node _T_4823 = or(_T_4822, _T_4820) @[Mux.scala 27:72] - wire _T_4824 : UInt<1> @[Mux.scala 27:72] - _T_4824 <= _T_4823 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4824 @[lsu_bus_buffer.scala 562:26] - node _T_4825 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 565:54] - node _T_4826 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 565:75] - node _T_4827 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:153] - node _T_4828 = mux(_T_4825, _T_4826, _T_4827) @[lsu_bus_buffer.scala 565:39] - node _T_4829 = mux(obuf_write, _T_4828, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 565:23] - bus_cmd_ready <= _T_4829 @[lsu_bus_buffer.scala 565:17] - node _T_4830 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:40] - bus_wcmd_sent <= _T_4830 @[lsu_bus_buffer.scala 566:17] - node _T_4831 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:40] - bus_wdata_sent <= _T_4831 @[lsu_bus_buffer.scala 567:18] - node _T_4832 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 568:35] - node _T_4833 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 568:70] - node _T_4834 = and(_T_4832, _T_4833) @[lsu_bus_buffer.scala 568:52] - node _T_4835 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 568:112] - node _T_4836 = or(_T_4834, _T_4835) @[lsu_bus_buffer.scala 568:89] - bus_cmd_sent <= _T_4836 @[lsu_bus_buffer.scala 568:16] - node _T_4837 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 569:38] - bus_rsp_read <= _T_4837 @[lsu_bus_buffer.scala 569:16] - node _T_4838 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 570:39] - bus_rsp_write <= _T_4838 @[lsu_bus_buffer.scala 570:17] + node _T_4763 = or(_T_4750, _T_4754) @[lsu_bus_buffer.scala 561:153] + node _T_4764 = or(_T_4763, _T_4758) @[lsu_bus_buffer.scala 561:153] + node _T_4765 = or(_T_4764, _T_4762) @[lsu_bus_buffer.scala 561:153] + node _T_4766 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 561:171] + node _T_4767 = and(_T_4766, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 561:189] + node _T_4768 = or(_T_4765, _T_4767) @[lsu_bus_buffer.scala 561:157] + bus_sideeffect_pend <= _T_4768 @[lsu_bus_buffer.scala 561:23] + node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4770 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4771 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4772 = eq(_T_4770, _T_4771) @[lsu_bus_buffer.scala 563:37] + node _T_4773 = and(obuf_valid, _T_4772) @[lsu_bus_buffer.scala 563:19] + node _T_4774 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:73] + node _T_4775 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:107] + node _T_4776 = and(obuf_merge, _T_4775) @[lsu_bus_buffer.scala 563:95] + node _T_4777 = or(_T_4774, _T_4776) @[lsu_bus_buffer.scala 563:81] + node _T_4778 = eq(_T_4777, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4779 = and(_T_4773, _T_4778) @[lsu_bus_buffer.scala 563:59] + node _T_4780 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4781 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4782 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4783 = eq(_T_4781, _T_4782) @[lsu_bus_buffer.scala 563:37] + node _T_4784 = and(obuf_valid, _T_4783) @[lsu_bus_buffer.scala 563:19] + node _T_4785 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:73] + node _T_4786 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 563:107] + node _T_4787 = and(obuf_merge, _T_4786) @[lsu_bus_buffer.scala 563:95] + node _T_4788 = or(_T_4785, _T_4787) @[lsu_bus_buffer.scala 563:81] + node _T_4789 = eq(_T_4788, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4790 = and(_T_4784, _T_4789) @[lsu_bus_buffer.scala 563:59] + node _T_4791 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4792 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4793 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4794 = eq(_T_4792, _T_4793) @[lsu_bus_buffer.scala 563:37] + node _T_4795 = and(obuf_valid, _T_4794) @[lsu_bus_buffer.scala 563:19] + node _T_4796 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:73] + node _T_4797 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 563:107] + node _T_4798 = and(obuf_merge, _T_4797) @[lsu_bus_buffer.scala 563:95] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 563:81] + node _T_4800 = eq(_T_4799, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4801 = and(_T_4795, _T_4800) @[lsu_bus_buffer.scala 563:59] + node _T_4802 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 562:71] + node _T_4803 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 563:31] + node _T_4804 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 563:51] + node _T_4805 = eq(_T_4803, _T_4804) @[lsu_bus_buffer.scala 563:37] + node _T_4806 = and(obuf_valid, _T_4805) @[lsu_bus_buffer.scala 563:19] + node _T_4807 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:73] + node _T_4808 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 563:107] + node _T_4809 = and(obuf_merge, _T_4808) @[lsu_bus_buffer.scala 563:95] + node _T_4810 = or(_T_4807, _T_4809) @[lsu_bus_buffer.scala 563:81] + node _T_4811 = eq(_T_4810, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:61] + node _T_4812 = and(_T_4806, _T_4811) @[lsu_bus_buffer.scala 563:59] + node _T_4813 = mux(_T_4769, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4814 = mux(_T_4780, _T_4790, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4815 = mux(_T_4791, _T_4801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4816 = mux(_T_4802, _T_4812, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4817 = or(_T_4813, _T_4814) @[Mux.scala 27:72] + node _T_4818 = or(_T_4817, _T_4815) @[Mux.scala 27:72] + node _T_4819 = or(_T_4818, _T_4816) @[Mux.scala 27:72] + wire _T_4820 : UInt<1> @[Mux.scala 27:72] + _T_4820 <= _T_4819 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4820 @[lsu_bus_buffer.scala 562:26] + node _T_4821 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 565:54] + node _T_4822 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 565:75] + node _T_4823 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 565:153] + node _T_4824 = mux(_T_4821, _T_4822, _T_4823) @[lsu_bus_buffer.scala 565:39] + node _T_4825 = mux(obuf_write, _T_4824, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 565:23] + bus_cmd_ready <= _T_4825 @[lsu_bus_buffer.scala 565:17] + node _T_4826 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 566:40] + bus_wcmd_sent <= _T_4826 @[lsu_bus_buffer.scala 566:17] + node _T_4827 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 567:40] + bus_wdata_sent <= _T_4827 @[lsu_bus_buffer.scala 567:18] + node _T_4828 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 568:35] + node _T_4829 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 568:70] + node _T_4830 = and(_T_4828, _T_4829) @[lsu_bus_buffer.scala 568:52] + node _T_4831 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 568:112] + node _T_4832 = or(_T_4830, _T_4831) @[lsu_bus_buffer.scala 568:89] + bus_cmd_sent <= _T_4832 @[lsu_bus_buffer.scala 568:16] + node _T_4833 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 569:38] + bus_rsp_read <= _T_4833 @[lsu_bus_buffer.scala 569:16] + node _T_4834 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 570:39] + bus_rsp_write <= _T_4834 @[lsu_bus_buffer.scala 570:17] bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 571:20] bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 572:21] - node _T_4839 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 573:66] - node _T_4840 = and(bus_rsp_write, _T_4839) @[lsu_bus_buffer.scala 573:40] - bus_rsp_write_error <= _T_4840 @[lsu_bus_buffer.scala 573:23] - node _T_4841 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:64] - node _T_4842 = and(bus_rsp_read, _T_4841) @[lsu_bus_buffer.scala 574:38] - bus_rsp_read_error <= _T_4842 @[lsu_bus_buffer.scala 574:22] + node _T_4835 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 573:66] + node _T_4836 = and(bus_rsp_write, _T_4835) @[lsu_bus_buffer.scala 573:40] + bus_rsp_write_error <= _T_4836 @[lsu_bus_buffer.scala 573:23] + node _T_4837 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 574:64] + node _T_4838 = and(bus_rsp_read, _T_4837) @[lsu_bus_buffer.scala 574:38] + bus_rsp_read_error <= _T_4838 @[lsu_bus_buffer.scala 574:22] bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 575:17] - node _T_4843 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 578:37] - node _T_4844 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:52] - node _T_4845 = and(_T_4843, _T_4844) @[lsu_bus_buffer.scala 578:50] - node _T_4846 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:69] - node _T_4847 = and(_T_4845, _T_4846) @[lsu_bus_buffer.scala 578:67] - io.lsu_axi.aw.valid <= _T_4847 @[lsu_bus_buffer.scala 578:23] + node _T_4839 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 578:37] + node _T_4840 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:52] + node _T_4841 = and(_T_4839, _T_4840) @[lsu_bus_buffer.scala 578:50] + node _T_4842 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 578:69] + node _T_4843 = and(_T_4841, _T_4842) @[lsu_bus_buffer.scala 578:67] + io.lsu_axi.aw.valid <= _T_4843 @[lsu_bus_buffer.scala 578:23] io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 579:25] - node _T_4848 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 580:75] - node _T_4849 = cat(_T_4848, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4850 = mux(obuf_sideeffect, obuf_addr, _T_4849) @[lsu_bus_buffer.scala 580:33] - io.lsu_axi.aw.bits.addr <= _T_4850 @[lsu_bus_buffer.scala 580:27] - node _T_4851 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4852 = mux(obuf_sideeffect, _T_4851, UInt<3>("h03")) @[lsu_bus_buffer.scala 581:33] - io.lsu_axi.aw.bits.size <= _T_4852 @[lsu_bus_buffer.scala 581:27] + node _T_4844 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 580:75] + node _T_4845 = cat(_T_4844, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4846 = mux(obuf_sideeffect, obuf_addr, _T_4845) @[lsu_bus_buffer.scala 580:33] + io.lsu_axi.aw.bits.addr <= _T_4846 @[lsu_bus_buffer.scala 580:27] + node _T_4847 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4848 = mux(obuf_sideeffect, _T_4847, UInt<3>("h03")) @[lsu_bus_buffer.scala 581:33] + io.lsu_axi.aw.bits.size <= _T_4848 @[lsu_bus_buffer.scala 581:27] io.lsu_axi.aw.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 582:27] - node _T_4853 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 583:34] - io.lsu_axi.aw.bits.cache <= _T_4853 @[lsu_bus_buffer.scala 583:28] - node _T_4854 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 584:41] - io.lsu_axi.aw.bits.region <= _T_4854 @[lsu_bus_buffer.scala 584:29] + node _T_4849 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 583:34] + io.lsu_axi.aw.bits.cache <= _T_4849 @[lsu_bus_buffer.scala 583:28] + node _T_4850 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 584:41] + io.lsu_axi.aw.bits.region <= _T_4850 @[lsu_bus_buffer.scala 584:29] io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 585:26] io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 586:28] io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 587:26] io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 588:27] - node _T_4855 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 590:36] - node _T_4856 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:51] - node _T_4857 = and(_T_4855, _T_4856) @[lsu_bus_buffer.scala 590:49] - node _T_4858 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:69] - node _T_4859 = and(_T_4857, _T_4858) @[lsu_bus_buffer.scala 590:67] - io.lsu_axi.w.valid <= _T_4859 @[lsu_bus_buffer.scala 590:22] - node _T_4860 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4861 = mux(_T_4860, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(obuf_byteen, _T_4861) @[lsu_bus_buffer.scala 591:41] - io.lsu_axi.w.bits.strb <= _T_4862 @[lsu_bus_buffer.scala 591:26] + node _T_4851 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 590:36] + node _T_4852 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:51] + node _T_4853 = and(_T_4851, _T_4852) @[lsu_bus_buffer.scala 590:49] + node _T_4854 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 590:69] + node _T_4855 = and(_T_4853, _T_4854) @[lsu_bus_buffer.scala 590:67] + io.lsu_axi.w.valid <= _T_4855 @[lsu_bus_buffer.scala 590:22] + node _T_4856 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4857 = mux(_T_4856, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4858 = and(obuf_byteen, _T_4857) @[lsu_bus_buffer.scala 591:41] + io.lsu_axi.w.bits.strb <= _T_4858 @[lsu_bus_buffer.scala 591:26] io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 592:26] io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 593:26] - node _T_4863 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:39] - node _T_4864 = and(obuf_valid, _T_4863) @[lsu_bus_buffer.scala 595:37] - node _T_4865 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:53] - node _T_4866 = and(_T_4864, _T_4865) @[lsu_bus_buffer.scala 595:51] - node _T_4867 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:68] - node _T_4868 = and(_T_4866, _T_4867) @[lsu_bus_buffer.scala 595:66] - io.lsu_axi.ar.valid <= _T_4868 @[lsu_bus_buffer.scala 595:23] + node _T_4859 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:39] + node _T_4860 = and(obuf_valid, _T_4859) @[lsu_bus_buffer.scala 595:37] + node _T_4861 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:53] + node _T_4862 = and(_T_4860, _T_4861) @[lsu_bus_buffer.scala 595:51] + node _T_4863 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 595:68] + node _T_4864 = and(_T_4862, _T_4863) @[lsu_bus_buffer.scala 595:66] + io.lsu_axi.ar.valid <= _T_4864 @[lsu_bus_buffer.scala 595:23] io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 596:25] - node _T_4869 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 597:75] - node _T_4870 = cat(_T_4869, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4871 = mux(obuf_sideeffect, obuf_addr, _T_4870) @[lsu_bus_buffer.scala 597:33] - io.lsu_axi.ar.bits.addr <= _T_4871 @[lsu_bus_buffer.scala 597:27] - node _T_4872 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4873 = mux(obuf_sideeffect, _T_4872, UInt<3>("h03")) @[lsu_bus_buffer.scala 598:33] - io.lsu_axi.ar.bits.size <= _T_4873 @[lsu_bus_buffer.scala 598:27] + node _T_4865 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 597:75] + node _T_4866 = cat(_T_4865, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4867 = mux(obuf_sideeffect, obuf_addr, _T_4866) @[lsu_bus_buffer.scala 597:33] + io.lsu_axi.ar.bits.addr <= _T_4867 @[lsu_bus_buffer.scala 597:27] + node _T_4868 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4869 = mux(obuf_sideeffect, _T_4868, UInt<3>("h03")) @[lsu_bus_buffer.scala 598:33] + io.lsu_axi.ar.bits.size <= _T_4869 @[lsu_bus_buffer.scala 598:27] io.lsu_axi.ar.bits.prot <= UInt<1>("h01") @[lsu_bus_buffer.scala 599:27] - node _T_4874 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 600:34] - io.lsu_axi.ar.bits.cache <= _T_4874 @[lsu_bus_buffer.scala 600:28] - node _T_4875 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 601:41] - io.lsu_axi.ar.bits.region <= _T_4875 @[lsu_bus_buffer.scala 601:29] + node _T_4870 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 600:34] + io.lsu_axi.ar.bits.cache <= _T_4870 @[lsu_bus_buffer.scala 600:28] + node _T_4871 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 601:41] + io.lsu_axi.ar.bits.region <= _T_4871 @[lsu_bus_buffer.scala 601:29] io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 602:26] io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 603:28] io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:26] io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 605:27] io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:22] io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 607:22] - node _T_4876 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4877 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 608:137] - node _T_4878 = and(io.lsu_bus_clk_en_q, _T_4877) @[lsu_bus_buffer.scala 608:126] - node _T_4879 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 608:152] - node _T_4880 = and(_T_4878, _T_4879) @[lsu_bus_buffer.scala 608:141] - node _T_4881 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4882 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 608:137] - node _T_4883 = and(io.lsu_bus_clk_en_q, _T_4882) @[lsu_bus_buffer.scala 608:126] - node _T_4884 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 608:152] - node _T_4885 = and(_T_4883, _T_4884) @[lsu_bus_buffer.scala 608:141] - node _T_4886 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4887 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 608:137] - node _T_4888 = and(io.lsu_bus_clk_en_q, _T_4887) @[lsu_bus_buffer.scala 608:126] - node _T_4889 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 608:152] - node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 608:141] - node _T_4891 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] - node _T_4892 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 608:137] - node _T_4893 = and(io.lsu_bus_clk_en_q, _T_4892) @[lsu_bus_buffer.scala 608:126] - node _T_4894 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 608:152] - node _T_4895 = and(_T_4893, _T_4894) @[lsu_bus_buffer.scala 608:141] - node _T_4896 = mux(_T_4876, _T_4880, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4897 = mux(_T_4881, _T_4885, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4898 = mux(_T_4886, _T_4890, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4899 = mux(_T_4891, _T_4895, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4900 = or(_T_4896, _T_4897) @[Mux.scala 27:72] - node _T_4901 = or(_T_4900, _T_4898) @[Mux.scala 27:72] - node _T_4902 = or(_T_4901, _T_4899) @[Mux.scala 27:72] - wire _T_4903 : UInt<1> @[Mux.scala 27:72] - _T_4903 <= _T_4902 @[Mux.scala 27:72] - io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4903 @[lsu_bus_buffer.scala 608:48] - node _T_4904 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4905 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:104] - node _T_4906 = and(_T_4904, _T_4905) @[lsu_bus_buffer.scala 609:93] - node _T_4907 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:119] - node _T_4908 = and(_T_4906, _T_4907) @[lsu_bus_buffer.scala 609:108] - node _T_4909 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4910 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:104] - node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 609:93] - node _T_4912 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:119] - node _T_4913 = and(_T_4911, _T_4912) @[lsu_bus_buffer.scala 609:108] - node _T_4914 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4915 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:104] - node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 609:93] - node _T_4917 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:119] - node _T_4918 = and(_T_4916, _T_4917) @[lsu_bus_buffer.scala 609:108] - node _T_4919 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] - node _T_4920 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:104] - node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 609:93] - node _T_4922 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:119] - node _T_4923 = and(_T_4921, _T_4922) @[lsu_bus_buffer.scala 609:108] - node _T_4924 = mux(_T_4908, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4925 = mux(_T_4913, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4926 = mux(_T_4918, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4927 = mux(_T_4923, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4928 = or(_T_4924, _T_4925) @[Mux.scala 27:72] - node _T_4929 = or(_T_4928, _T_4926) @[Mux.scala 27:72] - node _T_4930 = or(_T_4929, _T_4927) @[Mux.scala 27:72] + node _T_4872 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4873 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 608:137] + node _T_4874 = and(io.lsu_bus_clk_en_q, _T_4873) @[lsu_bus_buffer.scala 608:126] + node _T_4875 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 608:152] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 608:141] + node _T_4877 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4878 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 608:137] + node _T_4879 = and(io.lsu_bus_clk_en_q, _T_4878) @[lsu_bus_buffer.scala 608:126] + node _T_4880 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 608:152] + node _T_4881 = and(_T_4879, _T_4880) @[lsu_bus_buffer.scala 608:141] + node _T_4882 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4883 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 608:137] + node _T_4884 = and(io.lsu_bus_clk_en_q, _T_4883) @[lsu_bus_buffer.scala 608:126] + node _T_4885 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 608:152] + node _T_4886 = and(_T_4884, _T_4885) @[lsu_bus_buffer.scala 608:141] + node _T_4887 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 608:93] + node _T_4888 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 608:137] + node _T_4889 = and(io.lsu_bus_clk_en_q, _T_4888) @[lsu_bus_buffer.scala 608:126] + node _T_4890 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 608:152] + node _T_4891 = and(_T_4889, _T_4890) @[lsu_bus_buffer.scala 608:141] + node _T_4892 = mux(_T_4872, _T_4876, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4893 = mux(_T_4877, _T_4881, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4894 = mux(_T_4882, _T_4886, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4895 = mux(_T_4887, _T_4891, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4896 = or(_T_4892, _T_4893) @[Mux.scala 27:72] + node _T_4897 = or(_T_4896, _T_4894) @[Mux.scala 27:72] + node _T_4898 = or(_T_4897, _T_4895) @[Mux.scala 27:72] + wire _T_4899 : UInt<1> @[Mux.scala 27:72] + _T_4899 <= _T_4898 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4899 @[lsu_bus_buffer.scala 608:48] + node _T_4900 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4901 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 609:104] + node _T_4902 = and(_T_4900, _T_4901) @[lsu_bus_buffer.scala 609:93] + node _T_4903 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 609:119] + node _T_4904 = and(_T_4902, _T_4903) @[lsu_bus_buffer.scala 609:108] + node _T_4905 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4906 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 609:104] + node _T_4907 = and(_T_4905, _T_4906) @[lsu_bus_buffer.scala 609:93] + node _T_4908 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 609:119] + node _T_4909 = and(_T_4907, _T_4908) @[lsu_bus_buffer.scala 609:108] + node _T_4910 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4911 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 609:104] + node _T_4912 = and(_T_4910, _T_4911) @[lsu_bus_buffer.scala 609:93] + node _T_4913 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 609:119] + node _T_4914 = and(_T_4912, _T_4913) @[lsu_bus_buffer.scala 609:108] + node _T_4915 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 609:82] + node _T_4916 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 609:104] + node _T_4917 = and(_T_4915, _T_4916) @[lsu_bus_buffer.scala 609:93] + node _T_4918 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 609:119] + node _T_4919 = and(_T_4917, _T_4918) @[lsu_bus_buffer.scala 609:108] + node _T_4920 = mux(_T_4904, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4921 = mux(_T_4909, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4922 = mux(_T_4914, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4923 = mux(_T_4919, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4924 = or(_T_4920, _T_4921) @[Mux.scala 27:72] + node _T_4925 = or(_T_4924, _T_4922) @[Mux.scala 27:72] + node _T_4926 = or(_T_4925, _T_4923) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4930 @[Mux.scala 27:72] - node _T_4931 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 611:97] - node _T_4932 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4931) @[lsu_bus_buffer.scala 611:95] - io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4932 @[lsu_bus_buffer.scala 611:47] - node _T_4933 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 612:53] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4933 @[lsu_bus_buffer.scala 612:47] - node _T_4934 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 618:59] - node _T_4935 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 618:104] - node _T_4936 = or(_T_4934, _T_4935) @[lsu_bus_buffer.scala 618:82] - node _T_4937 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 618:149] - node _T_4938 = or(_T_4936, _T_4937) @[lsu_bus_buffer.scala 618:126] - io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4938 @[lsu_bus_buffer.scala 618:35] - node _T_4939 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 619:60] - node _T_4940 = and(_T_4939, io.lsu_commit_r) @[lsu_bus_buffer.scala 619:77] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4940 @[lsu_bus_buffer.scala 619:41] - node _T_4941 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 620:83] - io.tlu_busbuff.lsu_pmu_bus_error <= _T_4941 @[lsu_bus_buffer.scala 620:36] - node _T_4942 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:61] - node _T_4943 = and(io.lsu_axi.aw.valid, _T_4942) @[lsu_bus_buffer.scala 622:59] - node _T_4944 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:107] - node _T_4945 = and(io.lsu_axi.w.valid, _T_4944) @[lsu_bus_buffer.scala 622:105] - node _T_4946 = or(_T_4943, _T_4945) @[lsu_bus_buffer.scala 622:83] - node _T_4947 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:153] - node _T_4948 = and(io.lsu_axi.ar.valid, _T_4947) @[lsu_bus_buffer.scala 622:151] - node _T_4949 = or(_T_4946, _T_4948) @[lsu_bus_buffer.scala 622:128] - io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4949 @[lsu_bus_buffer.scala 622:35] - reg _T_4950 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:49] - _T_4950 <= WrPtr0_m @[lsu_bus_buffer.scala 624:49] - WrPtr0_r <= _T_4950 @[lsu_bus_buffer.scala 624:12] - reg _T_4951 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] - _T_4951 <= WrPtr1_m @[lsu_bus_buffer.scala 625:49] - WrPtr1_r <= _T_4951 @[lsu_bus_buffer.scala 625:12] - node _T_4952 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:75] - node _T_4953 = and(io.lsu_busreq_m, _T_4952) @[lsu_bus_buffer.scala 626:73] - node _T_4954 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:89] - node _T_4955 = and(_T_4953, _T_4954) @[lsu_bus_buffer.scala 626:87] - reg _T_4956 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:56] - _T_4956 <= _T_4955 @[lsu_bus_buffer.scala 626:56] - io.lsu_busreq_r <= _T_4956 @[lsu_bus_buffer.scala 626:19] - reg _T_4957 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:66] - _T_4957 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 627:66] - lsu_nonblock_load_valid_r <= _T_4957 @[lsu_bus_buffer.scala 627:29] + lsu_imprecise_error_store_tag <= _T_4926 @[Mux.scala 27:72] + node _T_4927 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 611:97] + node _T_4928 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4927) @[lsu_bus_buffer.scala 611:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4928 @[lsu_bus_buffer.scala 611:47] + node _T_4929 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 612:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4929 @[lsu_bus_buffer.scala 612:47] + node _T_4930 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 618:59] + node _T_4931 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 618:104] + node _T_4932 = or(_T_4930, _T_4931) @[lsu_bus_buffer.scala 618:82] + node _T_4933 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 618:149] + node _T_4934 = or(_T_4932, _T_4933) @[lsu_bus_buffer.scala 618:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4934 @[lsu_bus_buffer.scala 618:35] + node _T_4935 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 619:60] + node _T_4936 = and(_T_4935, io.lsu_commit_r) @[lsu_bus_buffer.scala 619:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4936 @[lsu_bus_buffer.scala 619:41] + node _T_4937 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 620:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4937 @[lsu_bus_buffer.scala 620:36] + node _T_4938 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:61] + node _T_4939 = and(io.lsu_axi.aw.valid, _T_4938) @[lsu_bus_buffer.scala 622:59] + node _T_4940 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:107] + node _T_4941 = and(io.lsu_axi.w.valid, _T_4940) @[lsu_bus_buffer.scala 622:105] + node _T_4942 = or(_T_4939, _T_4941) @[lsu_bus_buffer.scala 622:83] + node _T_4943 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 622:153] + node _T_4944 = and(io.lsu_axi.ar.valid, _T_4943) @[lsu_bus_buffer.scala 622:151] + node _T_4945 = or(_T_4942, _T_4944) @[lsu_bus_buffer.scala 622:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4945 @[lsu_bus_buffer.scala 622:35] + reg _T_4946 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 624:49] + _T_4946 <= WrPtr0_m @[lsu_bus_buffer.scala 624:49] + WrPtr0_r <= _T_4946 @[lsu_bus_buffer.scala 624:12] + reg _T_4947 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 625:49] + _T_4947 <= WrPtr1_m @[lsu_bus_buffer.scala 625:49] + WrPtr1_r <= _T_4947 @[lsu_bus_buffer.scala 625:12] + node _T_4948 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:75] + node _T_4949 = and(io.lsu_busreq_m, _T_4948) @[lsu_bus_buffer.scala 626:73] + node _T_4950 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 626:89] + node _T_4951 = and(_T_4949, _T_4950) @[lsu_bus_buffer.scala 626:87] + reg _T_4952 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 626:56] + _T_4952 <= _T_4951 @[lsu_bus_buffer.scala 626:56] + io.lsu_busreq_r <= _T_4952 @[lsu_bus_buffer.scala 626:19] + reg _T_4953 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 627:66] + _T_4953 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 627:66] + lsu_nonblock_load_valid_r <= _T_4953 @[lsu_bus_buffer.scala 627:29] diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v index bbd9a618..6183abee 100644 --- a/lsu_bus_buffer.v +++ b/lsu_bus_buffer.v @@ -1010,33 +1010,33 @@ module lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4441 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 531:64] - wire _T_4442 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 531:91] - wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 531:89] - wire _T_4436 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 531:64] - wire _T_4437 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 531:91] - wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 531:89] - wire [1:0] _T_4444 = _T_4443 + _T_4438; // @[lsu_bus_buffer.scala 531:142] - wire _T_4431 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 531:64] - wire _T_4432 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 531:91] - wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 531:89] - wire [1:0] _GEN_380 = {{1'd0}, _T_4433}; // @[lsu_bus_buffer.scala 531:142] - wire [2:0] _T_4445 = _T_4444 + _GEN_380; // @[lsu_bus_buffer.scala 531:142] - wire _T_4426 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 531:64] - wire _T_4427 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 531:91] - wire _T_4428 = _T_4426 & _T_4427; // @[lsu_bus_buffer.scala 531:89] - wire [2:0] _GEN_381 = {{2'd0}, _T_4428}; // @[lsu_bus_buffer.scala 531:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4445 + _GEN_381; // @[lsu_bus_buffer.scala 531:142] + wire _T_4437 = buf_write[3] & _T_2590; // @[lsu_bus_buffer.scala 531:64] + wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 531:91] + wire _T_4439 = _T_4437 & _T_4438; // @[lsu_bus_buffer.scala 531:89] + wire _T_4432 = buf_write[2] & _T_2583; // @[lsu_bus_buffer.scala 531:64] + wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 531:91] + wire _T_4434 = _T_4432 & _T_4433; // @[lsu_bus_buffer.scala 531:89] + wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[lsu_bus_buffer.scala 531:142] + wire _T_4427 = buf_write[1] & _T_2576; // @[lsu_bus_buffer.scala 531:64] + wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 531:91] + wire _T_4429 = _T_4427 & _T_4428; // @[lsu_bus_buffer.scala 531:89] + wire [1:0] _GEN_380 = {{1'd0}, _T_4429}; // @[lsu_bus_buffer.scala 531:142] + wire [2:0] _T_4441 = _T_4440 + _GEN_380; // @[lsu_bus_buffer.scala 531:142] + wire _T_4422 = buf_write[0] & _T_2569; // @[lsu_bus_buffer.scala 531:64] + wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 531:91] + wire _T_4424 = _T_4422 & _T_4423; // @[lsu_bus_buffer.scala 531:89] + wire [2:0] _GEN_381 = {{2'd0}, _T_4424}; // @[lsu_bus_buffer.scala 531:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_381; // @[lsu_bus_buffer.scala 531:142] wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:43] - wire _T_4458 = _T_2590 & _T_4442; // @[lsu_bus_buffer.scala 532:73] - wire _T_4455 = _T_2583 & _T_4437; // @[lsu_bus_buffer.scala 532:73] - wire [1:0] _T_4459 = _T_4458 + _T_4455; // @[lsu_bus_buffer.scala 532:126] - wire _T_4452 = _T_2576 & _T_4432; // @[lsu_bus_buffer.scala 532:73] - wire [1:0] _GEN_382 = {{1'd0}, _T_4452}; // @[lsu_bus_buffer.scala 532:126] - wire [2:0] _T_4460 = _T_4459 + _GEN_382; // @[lsu_bus_buffer.scala 532:126] - wire _T_4449 = _T_2569 & _T_4427; // @[lsu_bus_buffer.scala 532:73] - wire [2:0] _GEN_383 = {{2'd0}, _T_4449}; // @[lsu_bus_buffer.scala 532:126] - wire [3:0] buf_numvld_cmd_any = _T_4460 + _GEN_383; // @[lsu_bus_buffer.scala 532:126] + wire _T_4454 = _T_2590 & _T_4438; // @[lsu_bus_buffer.scala 532:73] + wire _T_4451 = _T_2583 & _T_4433; // @[lsu_bus_buffer.scala 532:73] + wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[lsu_bus_buffer.scala 532:126] + wire _T_4448 = _T_2576 & _T_4428; // @[lsu_bus_buffer.scala 532:73] + wire [1:0] _GEN_382 = {{1'd0}, _T_4448}; // @[lsu_bus_buffer.scala 532:126] + wire [2:0] _T_4456 = _T_4455 + _GEN_382; // @[lsu_bus_buffer.scala 532:126] + wire _T_4445 = _T_2569 & _T_4423; // @[lsu_bus_buffer.scala 532:73] + wire [2:0] _GEN_383 = {{2'd0}, _T_4445}; // @[lsu_bus_buffer.scala 532:126] + wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_383; // @[lsu_bus_buffer.scala 532:126] wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 266:72] wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 266:51] reg _T_1791; // @[Reg.scala 27:20] @@ -1047,19 +1047,19 @@ module lsu_bus_buffer( wire _T_1918 = |buf_age_3; // @[lsu_bus_buffer.scala 383:58] wire _T_1919 = ~_T_1918; // @[lsu_bus_buffer.scala 383:45] wire _T_1921 = _T_1919 & _T_2590; // @[lsu_bus_buffer.scala 383:63] - wire _T_1923 = _T_1921 & _T_4442; // @[lsu_bus_buffer.scala 383:88] + wire _T_1923 = _T_1921 & _T_4438; // @[lsu_bus_buffer.scala 383:88] wire _T_1912 = |buf_age_2; // @[lsu_bus_buffer.scala 383:58] wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 383:45] wire _T_1915 = _T_1913 & _T_2583; // @[lsu_bus_buffer.scala 383:63] - wire _T_1917 = _T_1915 & _T_4437; // @[lsu_bus_buffer.scala 383:88] + wire _T_1917 = _T_1915 & _T_4433; // @[lsu_bus_buffer.scala 383:88] wire _T_1906 = |buf_age_1; // @[lsu_bus_buffer.scala 383:58] wire _T_1907 = ~_T_1906; // @[lsu_bus_buffer.scala 383:45] wire _T_1909 = _T_1907 & _T_2576; // @[lsu_bus_buffer.scala 383:63] - wire _T_1911 = _T_1909 & _T_4432; // @[lsu_bus_buffer.scala 383:88] + wire _T_1911 = _T_1909 & _T_4428; // @[lsu_bus_buffer.scala 383:88] wire _T_1900 = |buf_age_0; // @[lsu_bus_buffer.scala 383:58] wire _T_1901 = ~_T_1900; // @[lsu_bus_buffer.scala 383:45] wire _T_1903 = _T_1901 & _T_2569; // @[lsu_bus_buffer.scala 383:63] - wire _T_1905 = _T_1903 & _T_4427; // @[lsu_bus_buffer.scala 383:88] + wire _T_1905 = _T_1903 & _T_4423; // @[lsu_bus_buffer.scala 383:88] wire [3:0] CmdPtr0Dec = {_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire [7:0] _T_1993 = {4'h0,_T_1923,_T_1917,_T_1911,_T_1905}; // @[Cat.scala 29:58] wire _T_1996 = _T_1993[4] | _T_1993[5]; // @[lsu_bus_buffer.scala 391:42] @@ -1117,44 +1117,44 @@ module lsu_bus_buffer( wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 270:101] wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 268:119] wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 268:117] - wire _T_4477 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4481 = _T_4477 | _T_4458; // @[lsu_bus_buffer.scala 533:74] - wire _T_4472 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4476 = _T_4472 | _T_4455; // @[lsu_bus_buffer.scala 533:74] - wire [1:0] _T_4482 = _T_4481 + _T_4476; // @[lsu_bus_buffer.scala 533:154] - wire _T_4467 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4471 = _T_4467 | _T_4452; // @[lsu_bus_buffer.scala 533:74] - wire [1:0] _GEN_384 = {{1'd0}, _T_4471}; // @[lsu_bus_buffer.scala 533:154] - wire [2:0] _T_4483 = _T_4482 + _GEN_384; // @[lsu_bus_buffer.scala 533:154] - wire _T_4462 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 533:63] - wire _T_4466 = _T_4462 | _T_4449; // @[lsu_bus_buffer.scala 533:74] - wire [2:0] _GEN_385 = {{2'd0}, _T_4466}; // @[lsu_bus_buffer.scala 533:154] - wire [3:0] buf_numvld_pend_any = _T_4483 + _GEN_385; // @[lsu_bus_buffer.scala 533:154] + wire _T_4473 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4477 = _T_4473 | _T_4454; // @[lsu_bus_buffer.scala 533:74] + wire _T_4468 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4472 = _T_4468 | _T_4451; // @[lsu_bus_buffer.scala 533:74] + wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[lsu_bus_buffer.scala 533:154] + wire _T_4463 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4467 = _T_4463 | _T_4448; // @[lsu_bus_buffer.scala 533:74] + wire [1:0] _GEN_384 = {{1'd0}, _T_4467}; // @[lsu_bus_buffer.scala 533:154] + wire [2:0] _T_4479 = _T_4478 + _GEN_384; // @[lsu_bus_buffer.scala 533:154] + wire _T_4458 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 533:63] + wire _T_4462 = _T_4458 | _T_4445; // @[lsu_bus_buffer.scala 533:74] + wire [2:0] _GEN_385 = {{2'd0}, _T_4462}; // @[lsu_bus_buffer.scala 533:154] + wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_385; // @[lsu_bus_buffer.scala 533:154] wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 272:53] wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 272:31] wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 272:64] wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 272:89] wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 272:61] wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 288:32] - wire _T_4751 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4753 = _T_4751 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4747 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4749 = _T_4747 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4750 = _T_4749 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] + wire _T_4751 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4753 = _T_4751 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 561:73] wire _T_4754 = _T_4753 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4755 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4757 = _T_4755 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4763 = _T_4750 | _T_4754; // @[lsu_bus_buffer.scala 561:153] + wire _T_4755 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4757 = _T_4755 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 561:73] wire _T_4758 = _T_4757 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4767 = _T_4754 | _T_4758; // @[lsu_bus_buffer.scala 561:153] - wire _T_4759 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4761 = _T_4759 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 561:73] + wire _T_4764 = _T_4763 | _T_4758; // @[lsu_bus_buffer.scala 561:153] + wire _T_4759 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 561:62] + wire _T_4761 = _T_4759 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 561:73] wire _T_4762 = _T_4761 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4768 = _T_4767 | _T_4762; // @[lsu_bus_buffer.scala 561:153] - wire _T_4763 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 561:62] - wire _T_4765 = _T_4763 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 561:73] - wire _T_4766 = _T_4765 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:93] - wire _T_4769 = _T_4768 | _T_4766; // @[lsu_bus_buffer.scala 561:153] + wire _T_4765 = _T_4764 | _T_4762; // @[lsu_bus_buffer.scala 561:153] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_4770 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 561:171] - wire _T_4771 = _T_4770 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:189] - wire bus_sideeffect_pend = _T_4769 | _T_4771; // @[lsu_bus_buffer.scala 561:157] + wire _T_4766 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 561:171] + wire _T_4767 = _T_4766 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 561:189] + wire bus_sideeffect_pend = _T_4765 | _T_4767; // @[lsu_bus_buffer.scala 561:157] wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 288:74] wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 288:52] wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 288:50] @@ -1223,28 +1223,28 @@ module lsu_bus_buffer( wire _T_1964 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 384:83] wire _T_1965 = _T_1962 & _T_1964; // @[lsu_bus_buffer.scala 384:81] wire _T_1967 = _T_1965 & _T_2590; // @[lsu_bus_buffer.scala 384:98] - wire _T_1969 = _T_1967 & _T_4442; // @[lsu_bus_buffer.scala 384:123] + wire _T_1969 = _T_1967 & _T_4438; // @[lsu_bus_buffer.scala 384:123] wire [3:0] _T_1949 = buf_age_2 & _T_1959; // @[lsu_bus_buffer.scala 384:59] wire _T_1950 = |_T_1949; // @[lsu_bus_buffer.scala 384:76] wire _T_1951 = ~_T_1950; // @[lsu_bus_buffer.scala 384:45] wire _T_1953 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 384:83] wire _T_1954 = _T_1951 & _T_1953; // @[lsu_bus_buffer.scala 384:81] wire _T_1956 = _T_1954 & _T_2583; // @[lsu_bus_buffer.scala 384:98] - wire _T_1958 = _T_1956 & _T_4437; // @[lsu_bus_buffer.scala 384:123] + wire _T_1958 = _T_1956 & _T_4433; // @[lsu_bus_buffer.scala 384:123] wire [3:0] _T_1938 = buf_age_1 & _T_1959; // @[lsu_bus_buffer.scala 384:59] wire _T_1939 = |_T_1938; // @[lsu_bus_buffer.scala 384:76] wire _T_1940 = ~_T_1939; // @[lsu_bus_buffer.scala 384:45] wire _T_1942 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 384:83] wire _T_1943 = _T_1940 & _T_1942; // @[lsu_bus_buffer.scala 384:81] wire _T_1945 = _T_1943 & _T_2576; // @[lsu_bus_buffer.scala 384:98] - wire _T_1947 = _T_1945 & _T_4432; // @[lsu_bus_buffer.scala 384:123] + wire _T_1947 = _T_1945 & _T_4428; // @[lsu_bus_buffer.scala 384:123] wire [3:0] _T_1927 = buf_age_0 & _T_1959; // @[lsu_bus_buffer.scala 384:59] wire _T_1928 = |_T_1927; // @[lsu_bus_buffer.scala 384:76] wire _T_1929 = ~_T_1928; // @[lsu_bus_buffer.scala 384:45] wire _T_1931 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 384:83] wire _T_1932 = _T_1929 & _T_1931; // @[lsu_bus_buffer.scala 384:81] wire _T_1934 = _T_1932 & _T_2569; // @[lsu_bus_buffer.scala 384:98] - wire _T_1936 = _T_1934 & _T_4427; // @[lsu_bus_buffer.scala 384:123] + wire _T_1936 = _T_1934 & _T_4423; // @[lsu_bus_buffer.scala 384:123] wire [3:0] CmdPtr1Dec = {_T_1969,_T_1958,_T_1947,_T_1936}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 389:31] wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 291:181] @@ -1263,11 +1263,11 @@ module lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[Reg.scala 27:20] reg obuf_data_done; // @[Reg.scala 27:20] - wire _T_4825 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 565:54] - wire _T_4826 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 565:75] - wire _T_4827 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:153] - wire _T_4828 = _T_4825 ? _T_4826 : _T_4827; // @[lsu_bus_buffer.scala 565:39] - wire bus_cmd_ready = obuf_write ? _T_4828 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 565:23] + wire _T_4821 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 565:54] + wire _T_4822 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 565:75] + wire _T_4823 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 565:153] + wire _T_4824 = _T_4821 ? _T_4822 : _T_4823; // @[lsu_bus_buffer.scala 565:39] + wire bus_cmd_ready = obuf_write ? _T_4824 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 565:23] wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 292:48] wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 292:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1276,52 +1276,52 @@ module lsu_bus_buffer( wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 292:77] wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 292:75] reg [31:0] obuf_addr; // @[Reg.scala 27:20] - wire _T_4776 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4777 = obuf_valid & _T_4776; // @[lsu_bus_buffer.scala 563:19] - wire _T_4779 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 563:107] - wire _T_4780 = obuf_merge & _T_4779; // @[lsu_bus_buffer.scala 563:95] - wire _T_4781 = _T_3565 | _T_4780; // @[lsu_bus_buffer.scala 563:81] - wire _T_4782 = ~_T_4781; // @[lsu_bus_buffer.scala 563:61] - wire _T_4783 = _T_4777 & _T_4782; // @[lsu_bus_buffer.scala 563:59] - wire _T_4817 = _T_4751 & _T_4783; // @[Mux.scala 27:72] - wire _T_4787 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4788 = obuf_valid & _T_4787; // @[lsu_bus_buffer.scala 563:19] - wire _T_4790 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 563:107] - wire _T_4791 = obuf_merge & _T_4790; // @[lsu_bus_buffer.scala 563:95] - wire _T_4792 = _T_3756 | _T_4791; // @[lsu_bus_buffer.scala 563:81] - wire _T_4793 = ~_T_4792; // @[lsu_bus_buffer.scala 563:61] - wire _T_4794 = _T_4788 & _T_4793; // @[lsu_bus_buffer.scala 563:59] - wire _T_4818 = _T_4755 & _T_4794; // @[Mux.scala 27:72] - wire _T_4821 = _T_4817 | _T_4818; // @[Mux.scala 27:72] - wire _T_4798 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4799 = obuf_valid & _T_4798; // @[lsu_bus_buffer.scala 563:19] - wire _T_4801 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 563:107] - wire _T_4802 = obuf_merge & _T_4801; // @[lsu_bus_buffer.scala 563:95] - wire _T_4803 = _T_3947 | _T_4802; // @[lsu_bus_buffer.scala 563:81] - wire _T_4804 = ~_T_4803; // @[lsu_bus_buffer.scala 563:61] - wire _T_4805 = _T_4799 & _T_4804; // @[lsu_bus_buffer.scala 563:59] - wire _T_4819 = _T_4759 & _T_4805; // @[Mux.scala 27:72] - wire _T_4822 = _T_4821 | _T_4819; // @[Mux.scala 27:72] - wire _T_4809 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 563:37] - wire _T_4810 = obuf_valid & _T_4809; // @[lsu_bus_buffer.scala 563:19] - wire _T_4812 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 563:107] - wire _T_4813 = obuf_merge & _T_4812; // @[lsu_bus_buffer.scala 563:95] - wire _T_4814 = _T_4138 | _T_4813; // @[lsu_bus_buffer.scala 563:81] - wire _T_4815 = ~_T_4814; // @[lsu_bus_buffer.scala 563:61] - wire _T_4816 = _T_4810 & _T_4815; // @[lsu_bus_buffer.scala 563:59] - wire _T_4820 = _T_4763 & _T_4816; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4822 | _T_4820; // @[Mux.scala 27:72] + wire _T_4772 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4773 = obuf_valid & _T_4772; // @[lsu_bus_buffer.scala 563:19] + wire _T_4775 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 563:107] + wire _T_4776 = obuf_merge & _T_4775; // @[lsu_bus_buffer.scala 563:95] + wire _T_4777 = _T_3565 | _T_4776; // @[lsu_bus_buffer.scala 563:81] + wire _T_4778 = ~_T_4777; // @[lsu_bus_buffer.scala 563:61] + wire _T_4779 = _T_4773 & _T_4778; // @[lsu_bus_buffer.scala 563:59] + wire _T_4813 = _T_4747 & _T_4779; // @[Mux.scala 27:72] + wire _T_4783 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4784 = obuf_valid & _T_4783; // @[lsu_bus_buffer.scala 563:19] + wire _T_4786 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 563:107] + wire _T_4787 = obuf_merge & _T_4786; // @[lsu_bus_buffer.scala 563:95] + wire _T_4788 = _T_3756 | _T_4787; // @[lsu_bus_buffer.scala 563:81] + wire _T_4789 = ~_T_4788; // @[lsu_bus_buffer.scala 563:61] + wire _T_4790 = _T_4784 & _T_4789; // @[lsu_bus_buffer.scala 563:59] + wire _T_4814 = _T_4751 & _T_4790; // @[Mux.scala 27:72] + wire _T_4817 = _T_4813 | _T_4814; // @[Mux.scala 27:72] + wire _T_4794 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4795 = obuf_valid & _T_4794; // @[lsu_bus_buffer.scala 563:19] + wire _T_4797 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 563:107] + wire _T_4798 = obuf_merge & _T_4797; // @[lsu_bus_buffer.scala 563:95] + wire _T_4799 = _T_3947 | _T_4798; // @[lsu_bus_buffer.scala 563:81] + wire _T_4800 = ~_T_4799; // @[lsu_bus_buffer.scala 563:61] + wire _T_4801 = _T_4795 & _T_4800; // @[lsu_bus_buffer.scala 563:59] + wire _T_4815 = _T_4755 & _T_4801; // @[Mux.scala 27:72] + wire _T_4818 = _T_4817 | _T_4815; // @[Mux.scala 27:72] + wire _T_4805 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 563:37] + wire _T_4806 = obuf_valid & _T_4805; // @[lsu_bus_buffer.scala 563:19] + wire _T_4808 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 563:107] + wire _T_4809 = obuf_merge & _T_4808; // @[lsu_bus_buffer.scala 563:95] + wire _T_4810 = _T_4138 | _T_4809; // @[lsu_bus_buffer.scala 563:81] + wire _T_4811 = ~_T_4810; // @[lsu_bus_buffer.scala 563:61] + wire _T_4812 = _T_4806 & _T_4811; // @[lsu_bus_buffer.scala 563:59] + wire _T_4816 = _T_4759 & _T_4812; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4818 | _T_4816; // @[Mux.scala 27:72] wire _T_1237 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 292:94] wire _T_1238 = _T_1236 & _T_1237; // @[lsu_bus_buffer.scala 292:92] wire obuf_wr_en = _T_1238 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 292:118] wire _T_1240 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 295:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 566:40] - wire _T_4832 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 568:35] + wire _T_4828 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 568:35] wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 567:40] - wire _T_4833 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 568:70] - wire _T_4834 = _T_4832 & _T_4833; // @[lsu_bus_buffer.scala 568:52] - wire _T_4835 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 568:112] - wire bus_cmd_sent = _T_4834 | _T_4835; // @[lsu_bus_buffer.scala 568:89] + wire _T_4829 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 568:70] + wire _T_4830 = _T_4828 & _T_4829; // @[lsu_bus_buffer.scala 568:52] + wire _T_4831 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 568:112] + wire bus_cmd_sent = _T_4830 | _T_4831; // @[lsu_bus_buffer.scala 568:89] wire _T_1241 = bus_cmd_sent | _T_1240; // @[lsu_bus_buffer.scala 295:33] wire _T_1242 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 295:65] wire _T_1243 = _T_1241 & _T_1242; // @[lsu_bus_buffer.scala 295:63] @@ -1362,8 +1362,8 @@ module lsu_bus_buffer( wire [1:0] CmdPtr1 = _T_2041[1:0]; // @[lsu_bus_buffer.scala 398:11] wire _T_1302 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 309:39] wire _T_1303 = ~_T_1302; // @[lsu_bus_buffer.scala 309:26] - wire obuf_cmd_done_in = _T_1303 & _T_4832; // @[lsu_bus_buffer.scala 309:51] - wire obuf_data_done_in = _T_1303 & _T_4833; // @[lsu_bus_buffer.scala 312:52] + wire obuf_cmd_done_in = _T_1303 & _T_4828; // @[lsu_bus_buffer.scala 309:51] + wire obuf_data_done_in = _T_1303 & _T_4829; // @[lsu_bus_buffer.scala 312:52] wire _T_1309 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 313:72] wire _T_1312 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 313:98] wire _T_1313 = obuf_sz_in[0] & _T_1312; // @[lsu_bus_buffer.scala 313:96] @@ -1724,7 +1724,7 @@ module lsu_bus_buffer( wire _T_2076 = _T_2074 & _T_3535; // @[lsu_bus_buffer.scala 413:41] wire _T_2078 = _T_2076 & _T_1795; // @[lsu_bus_buffer.scala 413:71] wire _T_2080 = _T_2078 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2081 = _T_4466 | _T_2080; // @[lsu_bus_buffer.scala 412:86] + wire _T_2081 = _T_4462 | _T_2080; // @[lsu_bus_buffer.scala 412:86] wire _T_2082 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 414:17] wire _T_2083 = _T_2082 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 414:35] wire _T_2085 = _T_2083 & _T_1796; // @[lsu_bus_buffer.scala 414:52] @@ -1733,19 +1733,19 @@ module lsu_bus_buffer( wire _T_2089 = _T_2068 & _T_2088; // @[lsu_bus_buffer.scala 411:113] wire _T_2091 = _T_2089 | buf_age_0[0]; // @[lsu_bus_buffer.scala 414:97] wire _T_2105 = _T_2078 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2106 = _T_4471 | _T_2105; // @[lsu_bus_buffer.scala 412:86] + wire _T_2106 = _T_4467 | _T_2105; // @[lsu_bus_buffer.scala 412:86] wire _T_2112 = _T_2085 & _T_1806; // @[lsu_bus_buffer.scala 414:73] wire _T_2113 = _T_2106 | _T_2112; // @[lsu_bus_buffer.scala 413:114] wire _T_2114 = _T_2068 & _T_2113; // @[lsu_bus_buffer.scala 411:113] wire _T_2116 = _T_2114 | buf_age_0[1]; // @[lsu_bus_buffer.scala 414:97] wire _T_2130 = _T_2078 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2131 = _T_4476 | _T_2130; // @[lsu_bus_buffer.scala 412:86] + wire _T_2131 = _T_4472 | _T_2130; // @[lsu_bus_buffer.scala 412:86] wire _T_2137 = _T_2085 & _T_1817; // @[lsu_bus_buffer.scala 414:73] wire _T_2138 = _T_2131 | _T_2137; // @[lsu_bus_buffer.scala 413:114] wire _T_2139 = _T_2068 & _T_2138; // @[lsu_bus_buffer.scala 411:113] wire _T_2141 = _T_2139 | buf_age_0[2]; // @[lsu_bus_buffer.scala 414:97] wire _T_2155 = _T_2078 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2156 = _T_4481 | _T_2155; // @[lsu_bus_buffer.scala 412:86] + wire _T_2156 = _T_4477 | _T_2155; // @[lsu_bus_buffer.scala 412:86] wire _T_2162 = _T_2085 & _T_1828; // @[lsu_bus_buffer.scala 414:73] wire _T_2163 = _T_2156 | _T_2162; // @[lsu_bus_buffer.scala 413:114] wire _T_2164 = _T_2068 & _T_2163; // @[lsu_bus_buffer.scala 411:113] @@ -1812,26 +1812,26 @@ module lsu_bus_buffer( wire _T_2170 = _T_1803 & buf_state_en_1; // @[lsu_bus_buffer.scala 411:94] wire _T_2180 = _T_2076 & _T_1806; // @[lsu_bus_buffer.scala 413:71] wire _T_2182 = _T_2180 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2183 = _T_4466 | _T_2182; // @[lsu_bus_buffer.scala 412:86] + wire _T_2183 = _T_4462 | _T_2182; // @[lsu_bus_buffer.scala 412:86] wire _T_2187 = _T_2083 & _T_1807; // @[lsu_bus_buffer.scala 414:52] wire _T_2189 = _T_2187 & _T_1795; // @[lsu_bus_buffer.scala 414:73] wire _T_2190 = _T_2183 | _T_2189; // @[lsu_bus_buffer.scala 413:114] wire _T_2191 = _T_2170 & _T_2190; // @[lsu_bus_buffer.scala 411:113] wire _T_2193 = _T_2191 | buf_age_1[0]; // @[lsu_bus_buffer.scala 414:97] wire _T_2207 = _T_2180 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2208 = _T_4471 | _T_2207; // @[lsu_bus_buffer.scala 412:86] + wire _T_2208 = _T_4467 | _T_2207; // @[lsu_bus_buffer.scala 412:86] wire _T_2214 = _T_2187 & _T_1806; // @[lsu_bus_buffer.scala 414:73] wire _T_2215 = _T_2208 | _T_2214; // @[lsu_bus_buffer.scala 413:114] wire _T_2216 = _T_2170 & _T_2215; // @[lsu_bus_buffer.scala 411:113] wire _T_2218 = _T_2216 | buf_age_1[1]; // @[lsu_bus_buffer.scala 414:97] wire _T_2232 = _T_2180 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2233 = _T_4476 | _T_2232; // @[lsu_bus_buffer.scala 412:86] + wire _T_2233 = _T_4472 | _T_2232; // @[lsu_bus_buffer.scala 412:86] wire _T_2239 = _T_2187 & _T_1817; // @[lsu_bus_buffer.scala 414:73] wire _T_2240 = _T_2233 | _T_2239; // @[lsu_bus_buffer.scala 413:114] wire _T_2241 = _T_2170 & _T_2240; // @[lsu_bus_buffer.scala 411:113] wire _T_2243 = _T_2241 | buf_age_1[2]; // @[lsu_bus_buffer.scala 414:97] wire _T_2257 = _T_2180 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2258 = _T_4481 | _T_2257; // @[lsu_bus_buffer.scala 412:86] + wire _T_2258 = _T_4477 | _T_2257; // @[lsu_bus_buffer.scala 412:86] wire _T_2264 = _T_2187 & _T_1828; // @[lsu_bus_buffer.scala 414:73] wire _T_2265 = _T_2258 | _T_2264; // @[lsu_bus_buffer.scala 413:114] wire _T_2266 = _T_2170 & _T_2265; // @[lsu_bus_buffer.scala 411:113] @@ -1898,26 +1898,26 @@ module lsu_bus_buffer( wire _T_2272 = _T_1814 & buf_state_en_2; // @[lsu_bus_buffer.scala 411:94] wire _T_2282 = _T_2076 & _T_1817; // @[lsu_bus_buffer.scala 413:71] wire _T_2284 = _T_2282 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2285 = _T_4466 | _T_2284; // @[lsu_bus_buffer.scala 412:86] + wire _T_2285 = _T_4462 | _T_2284; // @[lsu_bus_buffer.scala 412:86] wire _T_2289 = _T_2083 & _T_1818; // @[lsu_bus_buffer.scala 414:52] wire _T_2291 = _T_2289 & _T_1795; // @[lsu_bus_buffer.scala 414:73] wire _T_2292 = _T_2285 | _T_2291; // @[lsu_bus_buffer.scala 413:114] wire _T_2293 = _T_2272 & _T_2292; // @[lsu_bus_buffer.scala 411:113] wire _T_2295 = _T_2293 | buf_age_2[0]; // @[lsu_bus_buffer.scala 414:97] wire _T_2309 = _T_2282 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2310 = _T_4471 | _T_2309; // @[lsu_bus_buffer.scala 412:86] + wire _T_2310 = _T_4467 | _T_2309; // @[lsu_bus_buffer.scala 412:86] wire _T_2316 = _T_2289 & _T_1806; // @[lsu_bus_buffer.scala 414:73] wire _T_2317 = _T_2310 | _T_2316; // @[lsu_bus_buffer.scala 413:114] wire _T_2318 = _T_2272 & _T_2317; // @[lsu_bus_buffer.scala 411:113] wire _T_2320 = _T_2318 | buf_age_2[1]; // @[lsu_bus_buffer.scala 414:97] wire _T_2334 = _T_2282 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2335 = _T_4476 | _T_2334; // @[lsu_bus_buffer.scala 412:86] + wire _T_2335 = _T_4472 | _T_2334; // @[lsu_bus_buffer.scala 412:86] wire _T_2341 = _T_2289 & _T_1817; // @[lsu_bus_buffer.scala 414:73] wire _T_2342 = _T_2335 | _T_2341; // @[lsu_bus_buffer.scala 413:114] wire _T_2343 = _T_2272 & _T_2342; // @[lsu_bus_buffer.scala 411:113] wire _T_2345 = _T_2343 | buf_age_2[2]; // @[lsu_bus_buffer.scala 414:97] wire _T_2359 = _T_2282 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2360 = _T_4481 | _T_2359; // @[lsu_bus_buffer.scala 412:86] + wire _T_2360 = _T_4477 | _T_2359; // @[lsu_bus_buffer.scala 412:86] wire _T_2366 = _T_2289 & _T_1828; // @[lsu_bus_buffer.scala 414:73] wire _T_2367 = _T_2360 | _T_2366; // @[lsu_bus_buffer.scala 413:114] wire _T_2368 = _T_2272 & _T_2367; // @[lsu_bus_buffer.scala 411:113] @@ -1984,26 +1984,26 @@ module lsu_bus_buffer( wire _T_2374 = _T_1825 & buf_state_en_3; // @[lsu_bus_buffer.scala 411:94] wire _T_2384 = _T_2076 & _T_1828; // @[lsu_bus_buffer.scala 413:71] wire _T_2386 = _T_2384 & _T_1793; // @[lsu_bus_buffer.scala 413:92] - wire _T_2387 = _T_4466 | _T_2386; // @[lsu_bus_buffer.scala 412:86] + wire _T_2387 = _T_4462 | _T_2386; // @[lsu_bus_buffer.scala 412:86] wire _T_2391 = _T_2083 & _T_1829; // @[lsu_bus_buffer.scala 414:52] wire _T_2393 = _T_2391 & _T_1795; // @[lsu_bus_buffer.scala 414:73] wire _T_2394 = _T_2387 | _T_2393; // @[lsu_bus_buffer.scala 413:114] wire _T_2395 = _T_2374 & _T_2394; // @[lsu_bus_buffer.scala 411:113] wire _T_2397 = _T_2395 | buf_age_3[0]; // @[lsu_bus_buffer.scala 414:97] wire _T_2411 = _T_2384 & _T_1804; // @[lsu_bus_buffer.scala 413:92] - wire _T_2412 = _T_4471 | _T_2411; // @[lsu_bus_buffer.scala 412:86] + wire _T_2412 = _T_4467 | _T_2411; // @[lsu_bus_buffer.scala 412:86] wire _T_2418 = _T_2391 & _T_1806; // @[lsu_bus_buffer.scala 414:73] wire _T_2419 = _T_2412 | _T_2418; // @[lsu_bus_buffer.scala 413:114] wire _T_2420 = _T_2374 & _T_2419; // @[lsu_bus_buffer.scala 411:113] wire _T_2422 = _T_2420 | buf_age_3[1]; // @[lsu_bus_buffer.scala 414:97] wire _T_2436 = _T_2384 & _T_1815; // @[lsu_bus_buffer.scala 413:92] - wire _T_2437 = _T_4476 | _T_2436; // @[lsu_bus_buffer.scala 412:86] + wire _T_2437 = _T_4472 | _T_2436; // @[lsu_bus_buffer.scala 412:86] wire _T_2443 = _T_2391 & _T_1817; // @[lsu_bus_buffer.scala 414:73] wire _T_2444 = _T_2437 | _T_2443; // @[lsu_bus_buffer.scala 413:114] wire _T_2445 = _T_2374 & _T_2444; // @[lsu_bus_buffer.scala 411:113] wire _T_2447 = _T_2445 | buf_age_3[2]; // @[lsu_bus_buffer.scala 414:97] wire _T_2461 = _T_2384 & _T_1826; // @[lsu_bus_buffer.scala 413:92] - wire _T_2462 = _T_4481 | _T_2461; // @[lsu_bus_buffer.scala 412:86] + wire _T_2462 = _T_4477 | _T_2461; // @[lsu_bus_buffer.scala 412:86] wire _T_2468 = _T_2391 & _T_1828; // @[lsu_bus_buffer.scala 414:73] wire _T_2469 = _T_2462 | _T_2468; // @[lsu_bus_buffer.scala 413:114] wire _T_2470 = _T_2374 & _T_2469; // @[lsu_bus_buffer.scala 411:113] @@ -2189,18 +2189,18 @@ module lsu_bus_buffer( wire _T_3578 = _T_3576 & _T_2594; // @[lsu_bus_buffer.scala 466:74] wire _T_3581 = _T_3571 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] wire _T_3582 = _T_3581 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] - wire _T_4841 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:64] - wire bus_rsp_read_error = bus_rsp_read & _T_4841; // @[lsu_bus_buffer.scala 574:38] + wire _T_4837 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 574:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4837; // @[lsu_bus_buffer.scala 574:38] wire _T_3585 = _T_3581 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 483:86] + wire _T_3659 = bus_rsp_read_error & _T_3638; // @[lsu_bus_buffer.scala 483:91] wire _T_3661 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 484:31] wire _T_3663 = _T_3661 & _T_3640; // @[lsu_bus_buffer.scala 484:46] - wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 483:138] - wire _T_4839 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 573:66] - wire bus_rsp_write_error = bus_rsp_write & _T_4839; // @[lsu_bus_buffer.scala 573:40] + wire _T_3664 = _T_3659 | _T_3663; // @[lsu_bus_buffer.scala 483:143] + wire _T_4835 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 573:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4835; // @[lsu_bus_buffer.scala 573:40] wire _T_3666 = bus_rsp_write_error & _T_3636; // @[lsu_bus_buffer.scala 485:33] wire _T_3667 = _T_3664 | _T_3666; // @[lsu_bus_buffer.scala 484:88] - wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 483:63] + wire _T_3668 = _T_3571 & _T_3667; // @[lsu_bus_buffer.scala 483:68] wire _GEN_56 = _T_3592 & _T_3668; // @[Conditional.scala 39:67] wire _GEN_69 = _T_3558 ? _T_3585 : _GEN_56; // @[Conditional.scala 39:67] wire _GEN_81 = _T_3554 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] @@ -2216,9 +2216,9 @@ module lsu_bus_buffer( wire [2:0] _GEN_31 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_30; // @[lsu_bus_buffer.scala 474:90] wire _T_3603 = _GEN_31 != 3'h4; // @[lsu_bus_buffer.scala 474:90] wire _T_3604 = _T_3602 & _T_3603; // @[lsu_bus_buffer.scala 474:61] - wire _T_4489 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 534:93] - wire _T_4490 = _T_4489 | _T_2711; // @[lsu_bus_buffer.scala 534:93] - wire any_done_wait_state = _T_4490 | _T_2708; // @[lsu_bus_buffer.scala 534:93] + wire _T_4485 = _T_2717 | _T_2714; // @[lsu_bus_buffer.scala 534:93] + wire _T_4486 = _T_4485 | _T_2711; // @[lsu_bus_buffer.scala 534:93] + wire any_done_wait_state = _T_4486 | _T_2708; // @[lsu_bus_buffer.scala 534:93] wire _T_3606 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 475:31] wire _T_3612 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 60:118] wire _T_3614 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 60:118] @@ -2266,13 +2266,13 @@ module lsu_bus_buffer( wire _T_3772 = _T_3762 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] wire _T_3773 = _T_3772 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] wire _T_3776 = _T_3772 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 483:86] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 483:91] wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 484:31] wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 484:46] - wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 483:138] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 483:143] wire _T_3857 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 485:33] wire _T_3858 = _T_3855 | _T_3857; // @[lsu_bus_buffer.scala 484:88] - wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 483:63] + wire _T_3859 = _T_3762 & _T_3858; // @[lsu_bus_buffer.scala 483:68] wire _GEN_132 = _T_3783 & _T_3859; // @[Conditional.scala 39:67] wire _GEN_145 = _T_3749 ? _T_3776 : _GEN_132; // @[Conditional.scala 39:67] wire _GEN_157 = _T_3745 ? 1'h0 : _GEN_145; // @[Conditional.scala 39:67] @@ -2334,13 +2334,13 @@ module lsu_bus_buffer( wire _T_3963 = _T_3953 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] wire _T_3964 = _T_3963 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] wire _T_3967 = _T_3963 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 483:86] + wire _T_4041 = bus_rsp_read_error & _T_4020; // @[lsu_bus_buffer.scala 483:91] wire _T_4043 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 484:31] wire _T_4045 = _T_4043 & _T_4022; // @[lsu_bus_buffer.scala 484:46] - wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 483:138] + wire _T_4046 = _T_4041 | _T_4045; // @[lsu_bus_buffer.scala 483:143] wire _T_4048 = bus_rsp_write_error & _T_4018; // @[lsu_bus_buffer.scala 485:33] wire _T_4049 = _T_4046 | _T_4048; // @[lsu_bus_buffer.scala 484:88] - wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 483:63] + wire _T_4050 = _T_3953 & _T_4049; // @[lsu_bus_buffer.scala 483:68] wire _GEN_208 = _T_3974 & _T_4050; // @[Conditional.scala 39:67] wire _GEN_221 = _T_3940 ? _T_3967 : _GEN_208; // @[Conditional.scala 39:67] wire _GEN_233 = _T_3936 ? 1'h0 : _GEN_221; // @[Conditional.scala 39:67] @@ -2402,13 +2402,13 @@ module lsu_bus_buffer( wire _T_4154 = _T_4144 & obuf_nosend; // @[lsu_bus_buffer.scala 468:67] wire _T_4155 = _T_4154 & bus_rsp_read; // @[lsu_bus_buffer.scala 468:81] wire _T_4158 = _T_4154 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 469:82] - wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 483:86] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[lsu_bus_buffer.scala 483:91] wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 484:31] wire _T_4236 = _T_4234 & _T_4213; // @[lsu_bus_buffer.scala 484:46] - wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 483:138] + wire _T_4237 = _T_4232 | _T_4236; // @[lsu_bus_buffer.scala 483:143] wire _T_4239 = bus_rsp_write_error & _T_4209; // @[lsu_bus_buffer.scala 485:33] wire _T_4240 = _T_4237 | _T_4239; // @[lsu_bus_buffer.scala 484:88] - wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 483:63] + wire _T_4241 = _T_4144 & _T_4240; // @[lsu_bus_buffer.scala 483:68] wire _GEN_284 = _T_4165 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_297 = _T_4131 ? _T_4158 : _GEN_284; // @[Conditional.scala 39:67] wire _GEN_309 = _T_4127 ? 1'h0 : _GEN_297; // @[Conditional.scala 39:67] @@ -2469,215 +2469,211 @@ module lsu_bus_buffer( reg _T_4337; // @[Reg.scala 27:20] reg _T_4340; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4340,_T_4337,_T_4334,_T_4331}; // @[Cat.scala 29:58] - reg _T_4406; // @[lsu_bus_buffer.scala 529:80] - reg _T_4401; // @[lsu_bus_buffer.scala 529:80] - reg _T_4396; // @[lsu_bus_buffer.scala 529:80] - reg _T_4391; // @[lsu_bus_buffer.scala 529:80] - wire [3:0] buf_error = {_T_4406,_T_4401,_T_4396,_T_4391}; // @[Cat.scala 29:58] + reg _T_4402; // @[lsu_bus_buffer.scala 529:80] + reg _T_4398; // @[lsu_bus_buffer.scala 529:80] + reg _T_4394; // @[lsu_bus_buffer.scala 529:80] + reg _T_4390; // @[lsu_bus_buffer.scala 529:80] + wire [3:0] buf_error = {_T_4402,_T_4398,_T_4394,_T_4390}; // @[Cat.scala 29:58] wire _T_4388 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4389 = ~buf_rst_0; // @[lsu_bus_buffer.scala 529:126] - wire _T_4393 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4394 = ~buf_rst_1; // @[lsu_bus_buffer.scala 529:126] - wire _T_4398 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4399 = ~buf_rst_2; // @[lsu_bus_buffer.scala 529:126] - wire _T_4403 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 529:84] - wire _T_4404 = ~buf_rst_3; // @[lsu_bus_buffer.scala 529:126] - wire [1:0] _T_4410 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4411 = io_ldst_dual_m ? _T_4410 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 530:28] - wire [1:0] _T_4412 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4413 = io_ldst_dual_r ? _T_4412 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 530:94] - wire [2:0] _T_4414 = _T_4411 + _T_4413; // @[lsu_bus_buffer.scala 530:88] + wire _T_4392 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 529:84] + wire _T_4396 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 529:84] + wire _T_4400 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 529:84] + wire [1:0] _T_4406 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4407 = io_ldst_dual_m ? _T_4406 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 530:28] + wire [1:0] _T_4408 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4409 = io_ldst_dual_r ? _T_4408 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 530:94] + wire [2:0] _T_4410 = _T_4407 + _T_4409; // @[lsu_bus_buffer.scala 530:88] wire [2:0] _GEN_406 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 530:154] - wire [3:0] _T_4415 = _T_4414 + _GEN_406; // @[lsu_bus_buffer.scala 530:154] - wire [1:0] _T_4420 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 530:217] + wire [3:0] _T_4411 = _T_4410 + _GEN_406; // @[lsu_bus_buffer.scala 530:154] + wire [1:0] _T_4416 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 530:217] wire [1:0] _GEN_407 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 530:217] - wire [2:0] _T_4421 = _T_4420 + _GEN_407; // @[lsu_bus_buffer.scala 530:217] + wire [2:0] _T_4417 = _T_4416 + _GEN_407; // @[lsu_bus_buffer.scala 530:217] wire [2:0] _GEN_408 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 530:217] - wire [3:0] _T_4422 = _T_4421 + _GEN_408; // @[lsu_bus_buffer.scala 530:217] - wire [3:0] buf_numvld_any = _T_4415 + _T_4422; // @[lsu_bus_buffer.scala 530:169] - wire _T_4493 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 536:52] - wire _T_4494 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 536:92] - wire _T_4495 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 536:121] - wire _T_4497 = |buf_state_0; // @[lsu_bus_buffer.scala 537:52] - wire _T_4498 = |buf_state_1; // @[lsu_bus_buffer.scala 537:52] - wire _T_4499 = |buf_state_2; // @[lsu_bus_buffer.scala 537:52] - wire _T_4500 = |buf_state_3; // @[lsu_bus_buffer.scala 537:52] - wire _T_4501 = _T_4497 | _T_4498; // @[lsu_bus_buffer.scala 537:65] - wire _T_4502 = _T_4501 | _T_4499; // @[lsu_bus_buffer.scala 537:65] - wire _T_4503 = _T_4502 | _T_4500; // @[lsu_bus_buffer.scala 537:65] - wire _T_4504 = ~_T_4503; // @[lsu_bus_buffer.scala 537:34] - wire _T_4506 = _T_4504 & _T_852; // @[lsu_bus_buffer.scala 537:70] - wire _T_4509 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 539:64] - wire _T_4510 = _T_4509 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 539:85] - wire _T_4511 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 539:112] - wire _T_4512 = _T_4510 & _T_4511; // @[lsu_bus_buffer.scala 539:110] - wire _T_4513 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 539:129] - wire _T_4515 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 542:74] + wire [3:0] _T_4418 = _T_4417 + _GEN_408; // @[lsu_bus_buffer.scala 530:217] + wire [3:0] buf_numvld_any = _T_4411 + _T_4418; // @[lsu_bus_buffer.scala 530:169] + wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 536:52] + wire _T_4490 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 536:92] + wire _T_4491 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 536:121] + wire _T_4493 = |buf_state_0; // @[lsu_bus_buffer.scala 537:52] + wire _T_4494 = |buf_state_1; // @[lsu_bus_buffer.scala 537:52] + wire _T_4495 = |buf_state_2; // @[lsu_bus_buffer.scala 537:52] + wire _T_4496 = |buf_state_3; // @[lsu_bus_buffer.scala 537:52] + wire _T_4497 = _T_4493 | _T_4494; // @[lsu_bus_buffer.scala 537:65] + wire _T_4498 = _T_4497 | _T_4495; // @[lsu_bus_buffer.scala 537:65] + wire _T_4499 = _T_4498 | _T_4496; // @[lsu_bus_buffer.scala 537:65] + wire _T_4500 = ~_T_4499; // @[lsu_bus_buffer.scala 537:34] + wire _T_4502 = _T_4500 & _T_852; // @[lsu_bus_buffer.scala 537:70] + wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 539:64] + wire _T_4506 = _T_4505 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 539:85] + wire _T_4507 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 539:112] + wire _T_4508 = _T_4506 & _T_4507; // @[lsu_bus_buffer.scala 539:110] + wire _T_4509 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 539:129] + wire _T_4511 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 542:74] reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 627:66] - wire _T_4529 = _T_2770 & _T_3645; // @[Mux.scala 27:72] - wire _T_4530 = _T_2792 & _T_3836; // @[Mux.scala 27:72] - wire _T_4531 = _T_2814 & _T_4027; // @[Mux.scala 27:72] - wire _T_4532 = _T_2836 & _T_4218; // @[Mux.scala 27:72] - wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] - wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] - wire _T_4540 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 545:121] - wire _T_4545 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 545:121] - wire _T_4550 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 545:121] - wire _T_4555 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 545:121] - wire _T_4556 = _T_2770 & _T_4540; // @[Mux.scala 27:72] - wire _T_4557 = _T_2792 & _T_4545; // @[Mux.scala 27:72] - wire _T_4558 = _T_2814 & _T_4550; // @[Mux.scala 27:72] - wire _T_4559 = _T_2836 & _T_4555; // @[Mux.scala 27:72] - wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] - wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] - wire _T_4568 = ~buf_dual_0; // @[lsu_bus_buffer.scala 546:122] - wire _T_4569 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 546:137] - wire _T_4570 = _T_4568 | _T_4569; // @[lsu_bus_buffer.scala 546:135] - wire _T_4571 = _T_4529 & _T_4570; // @[lsu_bus_buffer.scala 546:119] - wire _T_4576 = ~buf_dual_1; // @[lsu_bus_buffer.scala 546:122] - wire _T_4577 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 546:137] - wire _T_4578 = _T_4576 | _T_4577; // @[lsu_bus_buffer.scala 546:135] - wire _T_4579 = _T_4530 & _T_4578; // @[lsu_bus_buffer.scala 546:119] - wire _T_4584 = ~buf_dual_2; // @[lsu_bus_buffer.scala 546:122] - wire _T_4585 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 546:137] - wire _T_4586 = _T_4584 | _T_4585; // @[lsu_bus_buffer.scala 546:135] - wire _T_4587 = _T_4531 & _T_4586; // @[lsu_bus_buffer.scala 546:119] - wire _T_4592 = ~buf_dual_3; // @[lsu_bus_buffer.scala 546:122] - wire _T_4593 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 546:137] - wire _T_4594 = _T_4592 | _T_4593; // @[lsu_bus_buffer.scala 546:135] - wire _T_4595 = _T_4532 & _T_4594; // @[lsu_bus_buffer.scala 546:119] - wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_409 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] - wire [1:0] _T_4601 = _GEN_409 | _T_4598; // @[Mux.scala 27:72] - wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] - wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] - wire _T_4648 = _T_4529 & _T_3643; // @[lsu_bus_buffer.scala 548:105] - wire _T_4654 = _T_4530 & _T_3834; // @[lsu_bus_buffer.scala 548:105] - wire _T_4660 = _T_4531 & _T_4025; // @[lsu_bus_buffer.scala 548:105] - wire _T_4666 = _T_4532 & _T_4216; // @[lsu_bus_buffer.scala 548:105] - wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] - wire _T_4674 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] - wire _T_4675 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] - wire _T_4676 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] - wire _T_4677 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] - wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] - wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] - wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[lsu_bus_buffer.scala 549:96] - wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] - wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] - wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] - wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] - wire [63:0] _T_4712 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire _T_4525 = _T_2770 & _T_3645; // @[Mux.scala 27:72] + wire _T_4526 = _T_2792 & _T_3836; // @[Mux.scala 27:72] + wire _T_4527 = _T_2814 & _T_4027; // @[Mux.scala 27:72] + wire _T_4528 = _T_2836 & _T_4218; // @[Mux.scala 27:72] + wire _T_4529 = _T_4525 | _T_4526; // @[Mux.scala 27:72] + wire _T_4530 = _T_4529 | _T_4527; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4530 | _T_4528; // @[Mux.scala 27:72] + wire _T_4536 = buf_error[0] & _T_3645; // @[lsu_bus_buffer.scala 545:121] + wire _T_4541 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 545:121] + wire _T_4546 = buf_error[2] & _T_4027; // @[lsu_bus_buffer.scala 545:121] + wire _T_4551 = buf_error[3] & _T_4218; // @[lsu_bus_buffer.scala 545:121] + wire _T_4552 = _T_2770 & _T_4536; // @[Mux.scala 27:72] + wire _T_4553 = _T_2792 & _T_4541; // @[Mux.scala 27:72] + wire _T_4554 = _T_2814 & _T_4546; // @[Mux.scala 27:72] + wire _T_4555 = _T_2836 & _T_4551; // @[Mux.scala 27:72] + wire _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] + wire _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] + wire _T_4564 = ~buf_dual_0; // @[lsu_bus_buffer.scala 546:122] + wire _T_4565 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 546:137] + wire _T_4566 = _T_4564 | _T_4565; // @[lsu_bus_buffer.scala 546:135] + wire _T_4567 = _T_4525 & _T_4566; // @[lsu_bus_buffer.scala 546:119] + wire _T_4572 = ~buf_dual_1; // @[lsu_bus_buffer.scala 546:122] + wire _T_4573 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 546:137] + wire _T_4574 = _T_4572 | _T_4573; // @[lsu_bus_buffer.scala 546:135] + wire _T_4575 = _T_4526 & _T_4574; // @[lsu_bus_buffer.scala 546:119] + wire _T_4580 = ~buf_dual_2; // @[lsu_bus_buffer.scala 546:122] + wire _T_4581 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 546:137] + wire _T_4582 = _T_4580 | _T_4581; // @[lsu_bus_buffer.scala 546:135] + wire _T_4583 = _T_4527 & _T_4582; // @[lsu_bus_buffer.scala 546:119] + wire _T_4588 = ~buf_dual_3; // @[lsu_bus_buffer.scala 546:122] + wire _T_4589 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 546:137] + wire _T_4590 = _T_4588 | _T_4589; // @[lsu_bus_buffer.scala 546:135] + wire _T_4591 = _T_4528 & _T_4590; // @[lsu_bus_buffer.scala 546:119] + wire [1:0] _T_4594 = _T_4583 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4595 = _T_4591 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4575}; // @[Mux.scala 27:72] + wire [1:0] _T_4597 = _GEN_409 | _T_4594; // @[Mux.scala 27:72] + wire [31:0] _T_4632 = _T_4567 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4633 = _T_4575 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4634 = _T_4583 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4635 = _T_4591 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4636 = _T_4632 | _T_4633; // @[Mux.scala 27:72] + wire [31:0] _T_4637 = _T_4636 | _T_4634; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4637 | _T_4635; // @[Mux.scala 27:72] + wire _T_4644 = _T_4525 & _T_3643; // @[lsu_bus_buffer.scala 548:105] + wire _T_4650 = _T_4526 & _T_3834; // @[lsu_bus_buffer.scala 548:105] + wire _T_4656 = _T_4527 & _T_4025; // @[lsu_bus_buffer.scala 548:105] + wire _T_4662 = _T_4528 & _T_4216; // @[lsu_bus_buffer.scala 548:105] + wire [31:0] _T_4663 = _T_4644 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4664 = _T_4650 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4665 = _T_4656 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4666 = _T_4662 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4667 = _T_4663 | _T_4664; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4667 | _T_4665; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4668 | _T_4666; // @[Mux.scala 27:72] + wire _T_4670 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 61:123] + wire _T_4671 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 61:123] + wire _T_4672 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 61:123] + wire _T_4673 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 61:123] + wire [31:0] _T_4674 = _T_4670 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4675 = _T_4671 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4676 = _T_4672 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4673 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4674 | _T_4675; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4678 | _T_4676; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4679 | _T_4677; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4680[1:0]; // @[lsu_bus_buffer.scala 549:96] + wire [1:0] _T_4686 = _T_4670 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4687 = _T_4671 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4688 = _T_4672 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4689 = _T_4673 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4690 = _T_4686 | _T_4687; // @[Mux.scala 27:72] + wire [1:0] _T_4691 = _T_4690 | _T_4688; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire _T_4701 = _T_4670 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4702 = _T_4671 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4703 = _T_4672 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4704 = _T_4673 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4705 = _T_4701 | _T_4702; // @[Mux.scala 27:72] + wire _T_4706 = _T_4705 | _T_4703; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4706 | _T_4704; // @[Mux.scala 27:72] + wire [63:0] _T_4708 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] wire [3:0] _GEN_410 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 553:121] - wire [5:0] _T_4713 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 553:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4712 >> _T_4713; // @[lsu_bus_buffer.scala 553:92] - wire _T_4714 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 555:82] - wire _T_4716 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 556:94] - wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 556:76] - wire [31:0] _T_4719 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4720 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 557:45] - wire _T_4721 = lsu_nonblock_unsign & _T_4720; // @[lsu_bus_buffer.scala 557:26] - wire [31:0] _T_4723 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4724 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 558:6] - wire _T_4726 = _T_4724 & _T_4716; // @[lsu_bus_buffer.scala 558:27] - wire [23:0] _T_4729 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4731 = {_T_4729,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4734 = _T_4724 & _T_4720; // @[lsu_bus_buffer.scala 559:27] - wire [15:0] _T_4737 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4739 = {_T_4737,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4740 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 560:21] - wire [31:0] _T_4741 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4742 = _T_4721 ? _T_4723 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4743 = _T_4726 ? _T_4731 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4744 = _T_4734 ? _T_4739 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4745 = _T_4740 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4746 = _T_4741 | _T_4742; // @[Mux.scala 27:72] - wire [31:0] _T_4747 = _T_4746 | _T_4743; // @[Mux.scala 27:72] - wire [31:0] _T_4748 = _T_4747 | _T_4744; // @[Mux.scala 27:72] - wire [63:0] _GEN_411 = {{32'd0}, _T_4748}; // @[Mux.scala 27:72] - wire [63:0] _T_4749 = _GEN_411 | _T_4745; // @[Mux.scala 27:72] - wire _T_4843 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 578:37] - wire _T_4844 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 578:52] - wire _T_4845 = _T_4843 & _T_4844; // @[lsu_bus_buffer.scala 578:50] - wire [31:0] _T_4849 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4851 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4856 = ~obuf_data_done; // @[lsu_bus_buffer.scala 590:51] - wire _T_4857 = _T_4843 & _T_4856; // @[lsu_bus_buffer.scala 590:49] - wire [7:0] _T_4861 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4864 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 595:37] - wire _T_4866 = _T_4864 & _T_1347; // @[lsu_bus_buffer.scala 595:51] - wire _T_4878 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4880 = _T_4878 & buf_write[0]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4883 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4885 = _T_4883 & buf_write[1]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4888 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4890 = _T_4888 & buf_write[2]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4893 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 608:126] - wire _T_4895 = _T_4893 & buf_write[3]; // @[lsu_bus_buffer.scala 608:141] - wire _T_4896 = _T_2770 & _T_4880; // @[Mux.scala 27:72] - wire _T_4897 = _T_2792 & _T_4885; // @[Mux.scala 27:72] - wire _T_4898 = _T_2814 & _T_4890; // @[Mux.scala 27:72] - wire _T_4899 = _T_2836 & _T_4895; // @[Mux.scala 27:72] - wire _T_4900 = _T_4896 | _T_4897; // @[Mux.scala 27:72] - wire _T_4901 = _T_4900 | _T_4898; // @[Mux.scala 27:72] - wire _T_4911 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4913 = _T_4911 & buf_write[1]; // @[lsu_bus_buffer.scala 609:108] - wire _T_4916 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4918 = _T_4916 & buf_write[2]; // @[lsu_bus_buffer.scala 609:108] - wire _T_4921 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 609:93] - wire _T_4923 = _T_4921 & buf_write[3]; // @[lsu_bus_buffer.scala 609:108] - wire [1:0] _T_4926 = _T_4918 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4927 = _T_4923 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_412 = {{1'd0}, _T_4913}; // @[Mux.scala 27:72] - wire [1:0] _T_4929 = _GEN_412 | _T_4926; // @[Mux.scala 27:72] - wire [1:0] lsu_imprecise_error_store_tag = _T_4929 | _T_4927; // @[Mux.scala 27:72] - wire _T_4931 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:97] + wire [5:0] _T_4709 = _GEN_410 * 4'h8; // @[lsu_bus_buffer.scala 553:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4708 >> _T_4709; // @[lsu_bus_buffer.scala 553:92] + wire _T_4710 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 555:82] + wire _T_4712 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 556:94] + wire _T_4713 = lsu_nonblock_unsign & _T_4712; // @[lsu_bus_buffer.scala 556:76] + wire [31:0] _T_4715 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4716 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 557:45] + wire _T_4717 = lsu_nonblock_unsign & _T_4716; // @[lsu_bus_buffer.scala 557:26] + wire [31:0] _T_4719 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4720 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 558:6] + wire _T_4722 = _T_4720 & _T_4712; // @[lsu_bus_buffer.scala 558:27] + wire [23:0] _T_4725 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4727 = {_T_4725,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4730 = _T_4720 & _T_4716; // @[lsu_bus_buffer.scala 559:27] + wire [15:0] _T_4733 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4735 = {_T_4733,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4736 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 560:21] + wire [31:0] _T_4737 = _T_4713 ? _T_4715 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4738 = _T_4717 ? _T_4719 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4739 = _T_4722 ? _T_4727 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4740 = _T_4730 ? _T_4735 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4741 = _T_4736 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4742 = _T_4737 | _T_4738; // @[Mux.scala 27:72] + wire [31:0] _T_4743 = _T_4742 | _T_4739; // @[Mux.scala 27:72] + wire [31:0] _T_4744 = _T_4743 | _T_4740; // @[Mux.scala 27:72] + wire [63:0] _GEN_411 = {{32'd0}, _T_4744}; // @[Mux.scala 27:72] + wire [63:0] _T_4745 = _GEN_411 | _T_4741; // @[Mux.scala 27:72] + wire _T_4839 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 578:37] + wire _T_4840 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 578:52] + wire _T_4841 = _T_4839 & _T_4840; // @[lsu_bus_buffer.scala 578:50] + wire [31:0] _T_4845 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4847 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4852 = ~obuf_data_done; // @[lsu_bus_buffer.scala 590:51] + wire _T_4853 = _T_4839 & _T_4852; // @[lsu_bus_buffer.scala 590:49] + wire [7:0] _T_4857 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4860 = obuf_valid & _T_1341; // @[lsu_bus_buffer.scala 595:37] + wire _T_4862 = _T_4860 & _T_1347; // @[lsu_bus_buffer.scala 595:51] + wire _T_4874 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4876 = _T_4874 & buf_write[0]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4879 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4881 = _T_4879 & buf_write[1]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4884 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4886 = _T_4884 & buf_write[2]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4889 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 608:126] + wire _T_4891 = _T_4889 & buf_write[3]; // @[lsu_bus_buffer.scala 608:141] + wire _T_4892 = _T_2770 & _T_4876; // @[Mux.scala 27:72] + wire _T_4893 = _T_2792 & _T_4881; // @[Mux.scala 27:72] + wire _T_4894 = _T_2814 & _T_4886; // @[Mux.scala 27:72] + wire _T_4895 = _T_2836 & _T_4891; // @[Mux.scala 27:72] + wire _T_4896 = _T_4892 | _T_4893; // @[Mux.scala 27:72] + wire _T_4897 = _T_4896 | _T_4894; // @[Mux.scala 27:72] + wire _T_4907 = _T_2792 & buf_error[1]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4909 = _T_4907 & buf_write[1]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4912 = _T_2814 & buf_error[2]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4914 = _T_4912 & buf_write[2]; // @[lsu_bus_buffer.scala 609:108] + wire _T_4917 = _T_2836 & buf_error[3]; // @[lsu_bus_buffer.scala 609:93] + wire _T_4919 = _T_4917 & buf_write[3]; // @[lsu_bus_buffer.scala 609:108] + wire [1:0] _T_4922 = _T_4914 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4923 = _T_4919 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_412 = {{1'd0}, _T_4909}; // @[Mux.scala 27:72] + wire [1:0] _T_4925 = _GEN_412 | _T_4922; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4925 | _T_4923; // @[Mux.scala 27:72] + wire _T_4927 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:97] wire [31:0] _GEN_369 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] wire [31:0] _GEN_370 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_369; // @[lsu_bus_buffer.scala 612:53] wire [31:0] _GEN_371 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_370; // @[lsu_bus_buffer.scala 612:53] wire [31:0] _GEN_373 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 612:53] wire [31:0] _GEN_374 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_373; // @[lsu_bus_buffer.scala 612:53] wire [31:0] _GEN_375 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_374; // @[lsu_bus_buffer.scala 612:53] - wire _T_4936 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 618:82] - wire _T_4939 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 619:60] - wire _T_4942 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 622:61] - wire _T_4943 = io_lsu_axi_aw_valid & _T_4942; // @[lsu_bus_buffer.scala 622:59] - wire _T_4944 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 622:107] - wire _T_4945 = io_lsu_axi_w_valid & _T_4944; // @[lsu_bus_buffer.scala 622:105] - wire _T_4946 = _T_4943 | _T_4945; // @[lsu_bus_buffer.scala 622:83] - wire _T_4947 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 622:153] - wire _T_4948 = io_lsu_axi_ar_valid & _T_4947; // @[lsu_bus_buffer.scala 622:151] - wire _T_4952 = ~io_flush_r; // @[lsu_bus_buffer.scala 626:75] - wire _T_4953 = io_lsu_busreq_m & _T_4952; // @[lsu_bus_buffer.scala 626:73] - reg _T_4956; // @[lsu_bus_buffer.scala 626:56] + wire _T_4932 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 618:82] + wire _T_4935 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 619:60] + wire _T_4938 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 622:61] + wire _T_4939 = io_lsu_axi_aw_valid & _T_4938; // @[lsu_bus_buffer.scala 622:59] + wire _T_4940 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 622:107] + wire _T_4941 = io_lsu_axi_w_valid & _T_4940; // @[lsu_bus_buffer.scala 622:105] + wire _T_4942 = _T_4939 | _T_4941; // @[lsu_bus_buffer.scala 622:83] + wire _T_4943 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 622:153] + wire _T_4944 = io_lsu_axi_ar_valid & _T_4943; // @[lsu_bus_buffer.scala 622:151] + wire _T_4948 = ~io_flush_r; // @[lsu_bus_buffer.scala 626:75] + wire _T_4949 = io_lsu_busreq_m & _T_4948; // @[lsu_bus_buffer.scala 626:73] + reg _T_4952; // @[lsu_bus_buffer.scala 626:56] rvclkhdr rvclkhdr ( // @[lib.scala 390:23] .io_clk(rvclkhdr_io_clk), .io_en(rvclkhdr_io_en) @@ -2726,53 +2722,53 @@ module lsu_bus_buffer( .io_clk(rvclkhdr_11_io_clk), .io_en(rvclkhdr_11_io_en) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4936 | _T_4835; // @[lsu_bus_buffer.scala 618:35] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4939 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 619:41] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4932 | _T_4831; // @[lsu_bus_buffer.scala 618:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4935 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 619:41] assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 620:36] - assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4946 | _T_4948; // @[lsu_bus_buffer.scala 622:35] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4931; // @[lsu_bus_buffer.scala 611:47] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4901 | _T_4899; // @[lsu_bus_buffer.scala 608:48] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4942 | _T_4944; // @[lsu_bus_buffer.scala 622:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4927; // @[lsu_bus_buffer.scala 611:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4897 | _T_4895; // @[lsu_bus_buffer.scala 608:48] assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_371 : _GEN_375; // @[lsu_bus_buffer.scala 612:47] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4512 & _T_4513; // @[lsu_bus_buffer.scala 539:45] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[lsu_bus_buffer.scala 539:45] assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1802 ? 2'h0 : _T_1838; // @[lsu_bus_buffer.scala 540:43] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4515; // @[lsu_bus_buffer.scala 542:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[lsu_bus_buffer.scala 542:43] assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 543:47] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4714; // @[lsu_bus_buffer.scala 555:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[lsu_bus_buffer.scala 545:48] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[lsu_bus_buffer.scala 546:46] - assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4749[31:0]; // @[lsu_bus_buffer.scala 556:42] - assign io_lsu_axi_aw_valid = _T_4845 & _T_1237; // @[lsu_bus_buffer.scala 578:23] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4710; // @[lsu_bus_buffer.scala 555:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4557 | _T_4555; // @[lsu_bus_buffer.scala 545:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4597 | _T_4595; // @[lsu_bus_buffer.scala 546:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4745[31:0]; // @[lsu_bus_buffer.scala 556:42] + assign io_lsu_axi_aw_valid = _T_4841 & _T_1237; // @[lsu_bus_buffer.scala 578:23] assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 579:25] - assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 580:27] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4845; // @[lsu_bus_buffer.scala 580:27] assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 584:29] assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 585:26] - assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 581:27] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4847 : 3'h3; // @[lsu_bus_buffer.scala 581:27] assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 586:28] assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 588:27] assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 583:28] assign io_lsu_axi_aw_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 582:27] assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 587:26] - assign io_lsu_axi_w_valid = _T_4857 & _T_1237; // @[lsu_bus_buffer.scala 590:22] + assign io_lsu_axi_w_valid = _T_4853 & _T_1237; // @[lsu_bus_buffer.scala 590:22] assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 592:26] - assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4861; // @[lsu_bus_buffer.scala 591:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4857; // @[lsu_bus_buffer.scala 591:26] assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 593:26] assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 606:22] - assign io_lsu_axi_ar_valid = _T_4866 & _T_1237; // @[lsu_bus_buffer.scala 595:23] + assign io_lsu_axi_ar_valid = _T_4862 & _T_1237; // @[lsu_bus_buffer.scala 595:23] assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1781}; // @[lsu_bus_buffer.scala 596:25] - assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4849; // @[lsu_bus_buffer.scala 597:27] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4845; // @[lsu_bus_buffer.scala 597:27] assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 601:29] assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 602:26] - assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4851 : 3'h3; // @[lsu_bus_buffer.scala 598:27] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4847 : 3'h3; // @[lsu_bus_buffer.scala 598:27] assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 603:28] assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 605:27] assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 600:28] assign io_lsu_axi_ar_bits_prot = 3'h1; // @[lsu_bus_buffer.scala 599:27] assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 604:26] assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 607:22] - assign io_lsu_busreq_r = _T_4956; // @[lsu_bus_buffer.scala 626:19] + assign io_lsu_busreq_r = _T_4952; // @[lsu_bus_buffer.scala 626:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 535:30] - assign io_lsu_bus_buffer_full_any = _T_4493 ? _T_4494 : _T_4495; // @[lsu_bus_buffer.scala 536:30] - assign io_lsu_bus_buffer_empty_any = _T_4506 & _T_1231; // @[lsu_bus_buffer.scala 537:31] + assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[lsu_bus_buffer.scala 536:30] + assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1231; // @[lsu_bus_buffer.scala 537:31] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 141:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 142:25] assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 168:24] @@ -3039,17 +3035,17 @@ initial begin _RAND_100 = {1{`RANDOM}}; _T_4340 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4406 = _RAND_101[0:0]; + _T_4402 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4401 = _RAND_102[0:0]; + _T_4398 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4396 = _RAND_103[0:0]; + _T_4394 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4391 = _RAND_104[0:0]; + _T_4390 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4956 = _RAND_106[0:0]; + _T_4952 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -3355,22 +3351,22 @@ initial begin _T_4340 = 1'h0; end if (reset) begin - _T_4406 = 1'h0; + _T_4402 = 1'h0; end if (reset) begin - _T_4401 = 1'h0; + _T_4398 = 1'h0; end if (reset) begin - _T_4396 = 1'h0; + _T_4394 = 1'h0; end if (reset) begin - _T_4391 = 1'h0; + _T_4390 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4956 = 1'h0; + _T_4952 = 1'h0; end `endif // RANDOMIZE end // initial @@ -4583,30 +4579,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4406 <= 1'h0; + _T_4402 <= 1'h0; end else begin - _T_4406 <= _T_4403 & _T_4404; + _T_4402 <= _T_4400 & buf_rst_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4401 <= 1'h0; + _T_4398 <= 1'h0; end else begin - _T_4401 <= _T_4398 & _T_4399; + _T_4398 <= _T_4396 & buf_rst_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4396 <= 1'h0; + _T_4394 <= 1'h0; end else begin - _T_4396 <= _T_4393 & _T_4394; + _T_4394 <= _T_4392 & buf_rst_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4391 <= 1'h0; + _T_4390 <= 1'h0; end else begin - _T_4391 <= _T_4388 & _T_4389; + _T_4390 <= _T_4388 & buf_rst_0; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4618,9 +4614,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4956 <= 1'h0; + _T_4952 <= 1'h0; end else begin - _T_4956 <= _T_4953 & _T_4513; + _T_4952 <= _T_4949 & _T_4509; end end endmodule diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 7b98a44e..982d3895 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -480,7 +480,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_state_bus_en(i) := buf_resp_state_bus_en(i) buf_state_en(i) := (buf_state_bus_en(i) & io.lsu_bus_clk_en) | io.dec_tlu_force_halt buf_data_en(i) := buf_state_bus_en(i) & bus_rsp_read & io.lsu_bus_clk_en - buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & ((bus_rsp_read_error & (bus_rsp_read_tag === (i.asUInt(LSU_BUS_TAG.W)))) | + buf_error_en(i) := buf_state_bus_en(i) & io.lsu_bus_clk_en & ((bus_rsp_read_error & (bus_rsp_read_tag === (i.asUInt(LSU_BUS_TAG.W)))) | (bus_rsp_read_error & buf_ldfwd(i) & (bus_rsp_read_tag === buf_ldfwdtag(i))) | (bus_rsp_write_error & (bus_rsp_write_tag === i.asUInt(LSU_BUS_TAG.W)))) buf_data_in(i) := Mux((buf_state_en(i) & !buf_error_en(i)), Mux(buf_addr(i)(2), bus_rsp_rdata(63, 32), bus_rsp_rdata(31, 0)), bus_rsp_rdata(31, 0)) @@ -526,7 +526,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { buf_addr := (0 until DEPTH).map(i=>rvdffe(buf_addr_in(i), buf_wr_en(i).asBool(), clock, io.scan_mode)) buf_byteen := (0 until DEPTH).map(i=>withClock(io.lsu_bus_buf_c1_clk){RegEnable(buf_byteen_in(i), 0.U, buf_wr_en(i).asBool())}) buf_data := (0 until DEPTH).map(i=>rvdffe(buf_data_in(i), buf_data_en(i), clock, io.scan_mode)) - buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & !buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) + buf_error := (0 until DEPTH).map(i=>(withClock(io.lsu_bus_buf_c1_clk){RegNext(Mux(buf_error_en(i), true.B, buf_error(i)) & buf_rst(i), false.B)}).asUInt()).reverse.reduce(Cat(_,_)) val buf_numvld_any = (Mux(io.ldst_dual_m, Cat(io.lsu_busreq_m, 0.U),io.lsu_busreq_m) +& Mux(io.ldst_dual_r, Cat(io.lsu_busreq_r, 0.U),io.lsu_busreq_r) +& ibuf_valid) + buf_state.map(i=>(i=/=idle_C).asUInt).reduce(_+&_) buf_numvld_wrcmd_any := (0 until DEPTH).map(i=>(buf_write(i) & (buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) buf_numvld_cmd_any := (0 until DEPTH).map(i=>((buf_state(i)===cmd_C) & !buf_cmd_state_bus_en(i)).asUInt).reverse.reduce(_ +& _) diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index 462593abbd951d6af7249045c610f04755460092..d4cf1b61d9b309315d2ad719ff04c3b2642c8a12 100644 GIT binary patch delta 167 zcmZ47to*Y{xuJ!zg=q`(&id(}SXrc|FSyFYGW~izv-WgBTOikj12GE_vjQ<25VLR3 JTgzc80sslaHXQ%} delta 178 zcmey_q`bIUxuJ!zg=q`(&id&eJy_(XU$1A@X3UzN*vPET_;|X5F0=IX1y`9^rh7Fo zpPgR3fLUsKK|3?cbkj!Wm5lb&C+0D$Fh3R$neLs;EVW&si8)l0(}018fr){E;m`EC z)y$0o+?zQ5?dCciDa>_7kV#OWZu-Mx%<9v5)-cC&|Kav$GXSatsV!T>oXa?8x}piQ aRQta*%s|Wn#H>Kf2E^>!|E=Mu76AaWtUtW~