From cb13a0ef8fe61116c3fce8243407f62f68655056 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 11:50:38 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 28 + el2_lsu_bus_buffer.fir | 9234 +++++++++-------- el2_lsu_bus_buffer.v | 3447 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 5 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../lsu/el2_lsu_bus_buffer$$anon$1.class | Bin 15755 -> 16003 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 506189 -> 509375 bytes 8 files changed, 6377 insertions(+), 6337 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 27609fe0..2f19056b 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -1,4 +1,23 @@ [ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_wdata_in", + "sources":[ + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_dword_merge_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_addr_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_end_addr_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_store", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_load", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_no_word_merge_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_store_data_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_dec_tlu_wb_coalescing_disable", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_word", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_by", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_pkt_r_half" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ld_fwddata_buf_lo", @@ -71,6 +90,15 @@ "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_error" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_WrPtr1_m", + "sources":[ + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_imprecise_error_addr_any", diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 5d7e6eb0..ed462bc7 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,180 +291,180 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt, WrPtr1_m : UInt, wdata_in : UInt} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 126:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 127:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 128:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 129:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 131:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 132:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 134:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 134:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 134:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 134:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 134:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 134:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 134:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 134:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 134:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 134:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 134:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 134:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 134:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 134:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 134:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 134:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 135:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 135:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 135:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 135:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 135:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 135:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 135:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 135:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 135:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 135:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 135:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 135:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 135:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 135:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 135:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 135:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 136:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 133:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 134:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 136:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 136:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 136:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 136:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 136:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 136:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 136:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 136:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 136:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 136:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 136:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 136:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 136:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 136:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 136:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 136:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 137:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 137:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 137:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 137:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 137:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 137:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 137:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 137:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 137:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 137:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 137:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 137:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 138:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 138:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 142:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 154:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 156:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 158:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 142:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 144:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 154:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] + wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 156:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 158:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 160:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 163:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 165:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 166:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 168:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 171:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 173:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 173:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 175:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 176:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 178:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 178:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 180:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -473,763 +473,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 184:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 186:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 188:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 190:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 194:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 194:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 194:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 194:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 194:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 194:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 194:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 194:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 194:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 194:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 194:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 194:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 196:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 196:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 196:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 196:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 196:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 196:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 196:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 196:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 196:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 196:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 196:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 196:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 194:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 195:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 195:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 195:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 195:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 195:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 195:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 195:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 195:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 195:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 195:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 195:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 195:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 196:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 197:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 197:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 197:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 197:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 197:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 197:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 197:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 197:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 195:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 197:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 197:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 197:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 197:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 197:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 197:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 197:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 197:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 197:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 199:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 199:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 199:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 199:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 199:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 199:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 199:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 199:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 197:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 197:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 197:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 197:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 197:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 197:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 197:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 197:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 199:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 199:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 199:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 199:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 199:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 199:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 199:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 199:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 197:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 197:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 197:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 197:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 197:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 197:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 197:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 197:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 199:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 199:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 199:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 199:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 199:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 199:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 199:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 199:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 197:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 197:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 197:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 197:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 197:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 197:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 197:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 197:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 199:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 199:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 199:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 199:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 199:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 199:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 199:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 199:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 198:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 198:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 198:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 198:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 198:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 198:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 198:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 198:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 200:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 200:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 200:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 200:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 200:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 200:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 200:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 200:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 198:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 198:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 198:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 198:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 198:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 198:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 198:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 198:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 200:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 200:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 200:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 200:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 200:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 200:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 200:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 200:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 198:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 198:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 198:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 198:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 198:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 198:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 198:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 198:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 200:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 200:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 200:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 200:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 200:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 200:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 200:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 200:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 198:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 198:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 198:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 198:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 198:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 198:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 198:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 198:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 200:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 200:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 200:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 200:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 200:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 200:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 200:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 200:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 200:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 202:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 202:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 202:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 202:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 202:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 202:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 202:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 202:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 202:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 202:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 202:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 202:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 202:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 204:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 204:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 204:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 204:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 204:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 204:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 204:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 204:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 204:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 204:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 204:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 204:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 202:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 202:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 202:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 202:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 202:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 202:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 202:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 202:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 202:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 202:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 202:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 202:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 204:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 204:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 204:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 204:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 204:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 204:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 204:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 204:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 204:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 204:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 204:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 204:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 202:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 202:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 202:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 202:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 202:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 202:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 202:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 202:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 202:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 202:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 202:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 202:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 204:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 204:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 204:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 204:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 204:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 204:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 204:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 204:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 204:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 204:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 204:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 204:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 202:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 202:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 202:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 202:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 202:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 202:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 202:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 202:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 202:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 202:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 202:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 202:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 204:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 204:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 204:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 204:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 204:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 204:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 204:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 204:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 204:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 204:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 204:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 204:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 202:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 202:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 202:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 202:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 203:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 203:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 203:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 203:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 203:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 203:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 203:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 203:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 203:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 203:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 203:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 203:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 204:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 204:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 204:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 204:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 205:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 205:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 205:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 205:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 205:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 205:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 205:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 205:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 205:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 205:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 205:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 205:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 203:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 203:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 203:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 203:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 203:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 203:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 203:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 203:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 203:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 203:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 203:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 203:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 205:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 205:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 205:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 205:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 205:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 205:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 205:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 205:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 205:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 205:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 205:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 205:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 203:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 203:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 203:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 203:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 203:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 203:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 203:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 203:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 203:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 203:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 203:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 203:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 205:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 205:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 205:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 205:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 205:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 205:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 205:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 205:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 205:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 205:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 205:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 205:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 203:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 203:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 203:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 203:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 203:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 203:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 203:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 203:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 203:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 203:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 203:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 203:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 205:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 205:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 205:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 205:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 205:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 205:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 205:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 205:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 205:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 205:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 205:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 205:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 203:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 203:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 203:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 205:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 208:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 208:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 208:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 208:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 208:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 208:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 209:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 209:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 209:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 209:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 209:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 209:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 210:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 210:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 210:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 210:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 210:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 210:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 211:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 211:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 211:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 211:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 211:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 211:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 213:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 213:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 213:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 213:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 213:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 214:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 214:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 214:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 214:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 214:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 213:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 213:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 213:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 213:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 213:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 214:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 214:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 214:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 214:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 214:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 213:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 213:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 213:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 213:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 213:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 214:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 214:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 214:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 214:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 214:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 213:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 213:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 213:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 213:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 213:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 214:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 214:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 214:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 214:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 214:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 216:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 215:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 215:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 215:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 215:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 215:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 216:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 216:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 216:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 216:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 215:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 215:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 215:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 215:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 215:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 216:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 216:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 216:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 216:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 215:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 215:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 215:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 215:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 215:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 216:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 216:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 216:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 216:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 215:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 215:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 215:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 215:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 215:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 216:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 216:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 216:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 216:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 218:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 220:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 222:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 220:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 220:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 222:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 222:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 220:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 220:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 222:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 222:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 220:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 220:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 222:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 222:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 220:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 220:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 220:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 220:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 221:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 222:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 222:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 222:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 222:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 221:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 221:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 223:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 221:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 221:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 223:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 221:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 221:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 223:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 221:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 221:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 221:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 221:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 223:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 223:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 223:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 223:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 222:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 224:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 222:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 224:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 222:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 224:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 222:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 222:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 222:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 222:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 224:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 224:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 224:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 224:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 223:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 225:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 223:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 225:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 223:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 225:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 223:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 223:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 223:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 223:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 225:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 225:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 225:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 225:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 220:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 222:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 227:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 225:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 227:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 227:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 225:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 227:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 227:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 225:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 227:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 227:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 225:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 225:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 225:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 225:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 227:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 227:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 227:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 227:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 226:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 228:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 226:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 228:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 226:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 228:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 226:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 226:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 226:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 226:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 228:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 228:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 228:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 228:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 229:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 227:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 229:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 229:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 227:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 229:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 229:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 227:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 229:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 229:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 227:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 227:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 227:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 227:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 229:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 229:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 229:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 229:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 230:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 228:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 230:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 230:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 228:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 230:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 230:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 228:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 230:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 230:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 228:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 228:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 228:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 228:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 230:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 230:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 230:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 230:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 225:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 230:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 227:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 232:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1238,23 +1238,23 @@ circuit el2_lsu_bus_buffer : wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] node _T_747 = cat(UInt<4>("h00"), ldst_byteen_r) @[Cat.scala 29:58] - node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:61] - node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 234:45] - node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 235:32] - node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 236:32] + node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 236:61] + node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 236:45] + node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 237:32] + node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 238:32] node _T_749 = cat(UInt<32>("h00"), io.store_data_r) @[Cat.scala 29:58] - node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:73] - node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 237:58] - node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 237:52] - node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 238:35] - node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 239:35] - node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:36] - node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:57] - node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 240:40] - node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:67] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:74] - node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 242:40] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:26] + node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:73] + node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 239:58] + node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 239:52] + node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 240:35] + node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 241:35] + node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 242:36] + node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 242:57] + node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 242:40] + node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:67] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 243:74] + node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 244:40] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:26] node _T_758 = mux(io.lsu_pkt_r.word, _T_755, UInt<1>("h00")) @[Mux.scala 27:72] node _T_759 = mux(io.lsu_pkt_r.half, _T_757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_760 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1262,26 +1262,26 @@ circuit el2_lsu_bus_buffer : node _T_762 = or(_T_761, _T_760) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_762 @[Mux.scala 27:72] - node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 244:55] - node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 244:34] - node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:79] - node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 244:77] - node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 245:36] - node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:56] - node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 245:54] + node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 246:55] + node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 246:34] + node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 246:79] + node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 246:77] + node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 247:36] + node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:56] + node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 247:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:36] - node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 247:34] - node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 247:49] - node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 248:44] - node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 248:42] - node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 248:61] - node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 248:107] - node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 248:132] - node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 248:115] - node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 248:95] - node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 248:74] + node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 249:36] + node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 249:34] + node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 249:49] + node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:44] + node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 250:42] + node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 250:61] + node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 250:107] + node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 250:132] + node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 250:115] + node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 250:95] + node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 250:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1290,212 +1290,212 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 253:62] - node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 253:48] - node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 253:98] - node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:82] - node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 253:80] - node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 254:5] - node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 254:16] - node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 254:35] - node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:55] - node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 254:53] - node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 254:67] - node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 253:32] - ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 253:18] + node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 255:62] + node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 255:48] + node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 255:98] + node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 255:82] + node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 255:80] + node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 256:5] + node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 256:16] + node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 256:35] + node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 256:55] + node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 256:53] + node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 256:67] + node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 255:32] + ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 255:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 258:15] - node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 259:39] - node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 259:69] - node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 259:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 260:15] + node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 261:39] + node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 261:69] + node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 261:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 262:25] - node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:42] - node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 263:70] - node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 263:95] - node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 263:77] - node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 264:41] - node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 264:65] - node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 264:8] - node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 263:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 264:25] + node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 265:42] + node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 265:70] + node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 265:95] + node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 265:77] + node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 266:41] + node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 266:65] + node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 266:8] + node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 265:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] - node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 268:25] - node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 268:45] - node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:76] - node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 268:8] - node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:108] - node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 267:46] - node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] - node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 268:25] - node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 268:45] - node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:76] - node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 268:8] - node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:108] - node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 267:46] - node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] - node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 268:25] - node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 268:45] - node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:76] - node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 268:8] - node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:108] - node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 267:46] - node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] - node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 268:25] - node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 268:45] - node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:76] - node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 268:8] - node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:108] - node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 267:46] + node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] + node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:25] + node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 270:45] + node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:76] + node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 270:8] + node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 270:108] + node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 269:46] + node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] + node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:25] + node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 270:45] + node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:76] + node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 270:8] + node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 270:108] + node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 269:46] + node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] + node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:25] + node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 270:45] + node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:76] + node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 270:8] + node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 270:108] + node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 269:46] + node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 269:61] + node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:25] + node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 270:45] + node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:76] + node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 270:8] + node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 270:108] + node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 269:46] node _T_826 = cat(_T_825, _T_818) @[Cat.scala 29:58] node _T_827 = cat(_T_826, _T_811) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_827, _T_804) @[Cat.scala 29:58] - node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 269:59] - node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 269:79] - node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 269:93] - node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 269:93] - node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 269:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 269:26] - node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 271:36] - node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 271:54] - node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 271:75] - node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 271:88] - node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 271:117] - node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 271:137] - node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 271:124] - node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 271:101] - node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:147] - node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 271:145] - node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:170] - node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 271:168] - ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 271:17] - node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:20] - ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 272:17] - node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] - node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 273:63] - node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 273:92] - node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 273:114] - node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 273:96] - node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 273:130] - node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 273:48] - node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] - node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 273:63] - node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 273:92] - node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 273:114] - node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 273:96] - node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 273:130] - node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 273:48] - node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] - node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 273:63] - node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 273:92] - node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 273:114] - node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 273:96] - node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 273:130] - node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 273:48] - node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] - node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 273:63] - node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 273:92] - node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 273:114] - node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 273:96] - node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 273:130] - node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 273:48] + node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 271:59] + node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 271:79] + node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 271:93] + node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 271:93] + node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 271:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 271:26] + node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 273:36] + node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 273:54] + node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 273:75] + node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 273:88] + node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 273:117] + node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 273:137] + node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 273:124] + node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 273:101] + node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:147] + node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 273:145] + node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:170] + node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 273:168] + ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 273:17] + node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:20] + ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 274:17] + node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] + node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 275:63] + node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 275:92] + node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 275:114] + node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 275:96] + node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 275:130] + node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 275:48] + node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] + node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 275:63] + node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 275:92] + node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 275:114] + node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 275:96] + node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 275:130] + node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 275:48] + node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] + node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 275:63] + node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 275:92] + node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 275:114] + node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 275:96] + node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 275:130] + node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 275:48] + node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 275:65] + node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 275:63] + node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 275:92] + node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 275:114] + node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 275:96] + node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 275:130] + node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 275:48] node _T_874 = cat(_T_873, _T_866) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_859) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_875, _T_852) @[Cat.scala 29:58] - node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] - node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 274:60] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 274:98] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 274:118] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 274:143] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 274:81] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 274:169] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 274:45] - node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] - node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 274:60] - node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 274:98] - node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 274:118] - node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 274:143] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 274:81] - node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 274:169] - node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 274:45] - node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] - node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 274:60] - node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 274:98] - node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 274:118] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 274:143] - node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 274:81] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 274:169] - node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 274:45] - node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] - node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 274:60] - node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 274:98] - node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 274:118] - node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 274:143] - node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 274:81] - node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 274:169] - node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 274:45] + node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] + node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 276:60] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 276:98] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 276:118] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 276:143] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 276:81] + node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 276:169] + node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 276:45] + node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] + node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 276:60] + node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 276:98] + node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 276:118] + node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 276:143] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 276:81] + node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 276:169] + node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 276:45] + node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] + node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 276:60] + node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 276:98] + node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 276:118] + node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 276:143] + node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 276:81] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 276:169] + node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 276:45] + node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:62] + node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 276:60] + node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 276:98] + node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 276:118] + node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 276:143] + node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 276:81] + node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 276:169] + node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 276:45] node _T_908 = cat(_T_907, _T_899) @[Cat.scala 29:58] node _T_909 = cat(_T_908, _T_891) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_909, _T_883) @[Cat.scala 29:58] - node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 276:28] - node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:63] - node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 276:61] - reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 276:24] - _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 276:24] - ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 276:14] - node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:120] - node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 277:120] - node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 277:89] + node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 278:28] + node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 278:63] + node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 278:61] + reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 278:24] + _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 278:24] + ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 278:14] + node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:120] + node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 279:120] + node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 279:89] reg _T_917 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_916 : @[Reg.scala 28:19] _T_917 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 277:12] - node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:131] - node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 278:131] - node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 278:100] + ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 279:12] + node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:131] + node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 280:131] + node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 280:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_920 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:127] - node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 279:127] - node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 279:96] + node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 281:127] + node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 281:127] + node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 281:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:128] - node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 280:128] - node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 280:97] + node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 282:128] + node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 282:128] + node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 282:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_926 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 281:135] - node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 281:135] - node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 281:104] + node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 283:135] + node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 283:135] + node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 283:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 282:135] - node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 282:135] - node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 282:104] + node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 284:135] + node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 284:135] + node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 284:104] reg _T_933 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_932 : @[Reg.scala 28:19] _T_933 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 282:19] - node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 283:134] - node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 283:134] - node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 283:103] + ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 284:19] + node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 285:134] + node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 285:134] + node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 285:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_936 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1504,7 +1504,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_937 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 284:14] + ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 286:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1517,12 +1517,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_938 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_938 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 286:13] + ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 288:13] reg _T_939 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_939 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 287:15] + ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 289:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1531,41 +1531,41 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_940 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_940 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 288:13] - reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 289:59] - _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 289:59] - ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 289:14] + ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 290:13] + reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 291:59] + _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 291:59] + ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 291:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 293:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 295:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 296:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") - io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 296:14] + io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 298:14] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:43] - node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:72] - node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 300:51] - node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 300:97] - node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 300:80] - node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:5] - node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 300:114] - node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:114] - node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 301:114] - node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 301:114] - node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:43] + node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:72] + node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 302:51] + node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 302:97] + node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 302:80] + node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 303:5] + node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 302:114] + node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 303:114] + node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 303:114] + node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 303:114] + node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 303:114] node _T_953 = mux(_T_949, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_954 = mux(_T_950, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_955 = mux(_T_951, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1575,16 +1575,16 @@ circuit el2_lsu_bus_buffer : node _T_959 = or(_T_958, _T_956) @[Mux.scala 27:72] wire _T_960 : UInt<1> @[Mux.scala 27:72] _T_960 <= _T_959 @[Mux.scala 27:72] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:31] - node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 301:29] - node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:88] - node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 302:111] - node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:88] - node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 302:111] - node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 302:88] - node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 302:111] - node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 302:88] - node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 302:111] + node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 303:31] + node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 303:29] + node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:88] + node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 304:111] + node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:88] + node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 304:111] + node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 304:88] + node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 304:111] + node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 304:88] + node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 304:111] node _T_971 = mux(_T_963, _T_964, UInt<1>("h00")) @[Mux.scala 27:72] node _T_972 = mux(_T_965, _T_966, UInt<1>("h00")) @[Mux.scala 27:72] node _T_973 = mux(_T_967, _T_968, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1594,32 +1594,32 @@ circuit el2_lsu_bus_buffer : node _T_977 = or(_T_976, _T_974) @[Mux.scala 27:72] wire _T_978 : UInt<1> @[Mux.scala 27:72] _T_978 <= _T_977 @[Mux.scala 27:72] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:5] - node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 301:140] - node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:119] - node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 302:117] - node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 303:75] - node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 303:95] - node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 303:79] - node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 303:121] - node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 303:121] - node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 303:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 303:29] - node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:41] - node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 304:39] - node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:60] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 304:58] - node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:93] - node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 304:72] - node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 304:117] - node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:208] - node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] - node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:208] - node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] - node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 304:208] - node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] - node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 304:208] - node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:5] + node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 303:140] + node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:119] + node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 304:117] + node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 305:75] + node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 305:95] + node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 305:79] + node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 305:121] + node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 305:121] + node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 305:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 305:29] + node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:41] + node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 306:39] + node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:60] + node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 306:58] + node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 306:93] + node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 306:72] + node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 306:117] + node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:208] + node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] + node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 306:208] + node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] + node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 306:208] + node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] + node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 306:208] + node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 306:228] node _T_1003 = mux(_T_995, _T_996, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1004 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1005 = mux(_T_999, _T_1000, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1629,35 +1629,35 @@ circuit el2_lsu_bus_buffer : node _T_1009 = or(_T_1008, _T_1006) @[Mux.scala 27:72] wire _T_1010 : UInt<30> @[Mux.scala 27:72] _T_1010 <= _T_1009 @[Mux.scala 27:72] - node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 304:123] - node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 304:101] - obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 304:20] + node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 306:123] + node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 306:101] + obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 306:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:53] - node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 306:31] - node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:64] - node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 306:84] - node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 306:61] + node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 308:53] + node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 308:31] + node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 308:64] + node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 308:84] + node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 308:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 309:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 315:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 316:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1670,14 +1670,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 321:32] - node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 321:74] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:52] - node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 321:50] - node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 323:32] + node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 323:74] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:52] + node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 323:50] + node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1025 = mux(_T_1021, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1026 = mux(_T_1022, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1027 = mux(_T_1023, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1687,19 +1687,19 @@ circuit el2_lsu_bus_buffer : node _T_1031 = or(_T_1030, _T_1028) @[Mux.scala 27:72] wire _T_1032 : UInt<3> @[Mux.scala 27:72] _T_1032 <= _T_1031 @[Mux.scala 27:72] - node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 322:36] - node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 322:47] + node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 324:36] + node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 324:47] node _T_1035 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1036 = cat(_T_1035, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1037 = cat(_T_1036, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1046 = mux(_T_1038, _T_1039, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1040, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1048 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1709,16 +1709,16 @@ circuit el2_lsu_bus_buffer : node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] wire _T_1053 : UInt<1> @[Mux.scala 27:72] _T_1053 <= _T_1052 @[Mux.scala 27:72] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:23] - node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 323:21] - node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:23] + node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 325:21] + node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1728,20 +1728,20 @@ circuit el2_lsu_bus_buffer : node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] wire _T_1071 : UInt<1> @[Mux.scala 27:72] _T_1071 <= _T_1070 @[Mux.scala 27:72] - node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 323:141] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:105] - node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 323:103] + node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 325:141] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:105] + node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 325:103] node _T_1075 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1076 = cat(_T_1075, buf_dual[1]) @[Cat.scala 29:58] node _T_1077 = cat(_T_1076, buf_dual[0]) @[Cat.scala 29:58] - node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1086 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1087 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1088 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1754,14 +1754,14 @@ circuit el2_lsu_bus_buffer : node _T_1094 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1095 = cat(_T_1094, buf_samedw[1]) @[Cat.scala 29:58] node _T_1096 = cat(_T_1095, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1105 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1106 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1107 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1771,15 +1771,15 @@ circuit el2_lsu_bus_buffer : node _T_1111 = or(_T_1110, _T_1108) @[Mux.scala 27:72] wire _T_1112 : UInt<1> @[Mux.scala 27:72] _T_1112 <= _T_1111 @[Mux.scala 27:72] - node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 324:77] - node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 326:77] + node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1122 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1123 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1124 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1789,21 +1789,21 @@ circuit el2_lsu_bus_buffer : node _T_1128 = or(_T_1127, _T_1125) @[Mux.scala 27:72] wire _T_1129 : UInt<1> @[Mux.scala 27:72] _T_1129 <= _T_1128 @[Mux.scala 27:72] - node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:150] - node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 324:148] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:8] - node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 324:181] + node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:150] + node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 326:148] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 326:8] + node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 326:181] node _T_1134 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1135 = cat(_T_1134, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1136 = cat(_T_1135, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1145 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1146 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1147 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1813,38 +1813,38 @@ circuit el2_lsu_bus_buffer : node _T_1151 = or(_T_1150, _T_1148) @[Mux.scala 27:72] wire _T_1152 : UInt<1> @[Mux.scala 27:72] _T_1152 <= _T_1151 @[Mux.scala 27:72] - node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 324:197] - node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 324:269] - node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 323:164] - node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 321:98] - node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:48] - node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 325:46] - node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 325:60] - node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 325:29] - node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:77] - node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 325:75] - node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:93] - node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 325:91] - node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:118] - node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 325:116] - node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 325:142] - obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 321:14] + node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 326:197] + node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 326:269] + node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 325:164] + node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 323:98] + node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:48] + node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 327:46] + node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 327:60] + node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 327:29] + node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:77] + node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 327:75] + node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:93] + node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 327:91] + node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:118] + node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 327:116] + node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 327:142] + obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 323:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 327:47] - node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 327:33] - node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:65] - node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 327:63] - node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 327:77] - node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 327:98] - node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 329:47] + node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 329:33] + node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 329:65] + node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 329:63] + node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 329:77] + node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 329:98] + node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1854,15 +1854,15 @@ circuit el2_lsu_bus_buffer : node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] wire _T_1188 : UInt<1> @[Mux.scala 27:72] _T_1188 <= _T_1187 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 328:26] - node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 330:26] + node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1197 = mux(_T_1189, _T_1190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1191, _T_1192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1199 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1872,11 +1872,11 @@ circuit el2_lsu_bus_buffer : node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] wire _T_1204 : UInt<1> @[Mux.scala 27:72] _T_1204 <= _T_1203 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 329:31] - node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 331:31] + node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1209 = mux(_T_1205, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1206, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1207, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1886,17 +1886,17 @@ circuit el2_lsu_bus_buffer : node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] wire _T_1216 : UInt<32> @[Mux.scala 27:72] _T_1216 <= _T_1215 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 330:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 331:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 332:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 333:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 334:10] node _T_1217 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1222 = mux(_T_1218, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1223 = mux(_T_1219, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1224 = mux(_T_1220, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1906,44 +1906,44 @@ circuit el2_lsu_bus_buffer : node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] wire _T_1229 : UInt<2> @[Mux.scala 27:72] _T_1229 <= _T_1228 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 333:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 335:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 336:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 338:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 338:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 339:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 340:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 341:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 342:39] - node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:26] - node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 342:68] - node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 342:51] + node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 344:39] + node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:26] + node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 344:68] + node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 344:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 345:40] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:27] - node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 345:70] - node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 345:52] - node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 346:67] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:72] - node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 346:92] - node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 346:111] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:98] - node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 346:96] - node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 346:79] - node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 346:129] - node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 346:147] - node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 346:153] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:134] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 346:132] - node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 346:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 346:28] + node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 347:40] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 347:27] + node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 347:70] + node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 347:52] + node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 348:67] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:72] + node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 348:92] + node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 348:111] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:98] + node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 348:96] + node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 348:79] + node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 348:129] + node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 348:147] + node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 348:153] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 348:134] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 348:132] + node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 348:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 348:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -1956,57 +1956,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:44] - node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 354:42] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:29] - node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 354:61] - node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 354:116] - node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 354:96] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:81] - node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 354:79] - node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:22] - node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 355:20] - node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:37] - node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 355:35] - node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 354:138] + node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:44] + node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 356:42] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:29] + node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 356:61] + node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 356:116] + node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 356:96] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:81] + node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 356:79] + node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:22] + node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 357:20] + node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:37] + node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 357:35] + node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 356:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:46] - node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 357:44] - node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 357:30] + node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 359:46] + node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 359:44] + node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 359:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 360:34] - node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 360:52] - node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 360:40] - node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 360:60] - node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:80] - node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 360:78] - node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:99] - node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 360:97] - node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:113] - node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 360:111] - node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:130] - node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 360:128] - node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:20] - node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 361:18] - node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 361:90] - node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 361:70] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:55] - node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 361:53] - node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 361:34] - node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 360:165] - obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 360:18] - node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 362:60] + node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 362:34] + node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 362:52] + node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 362:40] + node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 362:60] + node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:80] + node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 362:78] + node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:99] + node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 362:97] + node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:113] + node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 362:111] + node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 362:130] + node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 362:128] + node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:20] + node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 363:18] + node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 363:90] + node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 363:70] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:55] + node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 363:53] + node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 363:34] + node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 362:165] + obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 362:18] + node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:60] node _T_1284 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1285 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 362:46] - node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 364:46] + node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1291 = mux(_T_1287, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1292 = mux(_T_1288, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1293 = mux(_T_1289, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2016,12 +2016,12 @@ circuit el2_lsu_bus_buffer : node _T_1297 = or(_T_1296, _T_1294) @[Mux.scala 27:72] wire _T_1298 : UInt<32> @[Mux.scala 27:72] _T_1298 <= _T_1297 @[Mux.scala 27:72] - node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 363:36] - node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 363:46] - node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] + node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] + node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1305 = mux(_T_1301, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1306 = mux(_T_1302, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1307 = mux(_T_1303, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2032,10 +2032,10 @@ circuit el2_lsu_bus_buffer : wire _T_1312 : UInt<4> @[Mux.scala 27:72] _T_1312 <= _T_1311 @[Mux.scala 27:72] node _T_1313 = cat(_T_1312, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1318 = mux(_T_1314, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1319 = mux(_T_1315, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1320 = mux(_T_1316, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2046,16 +2046,16 @@ circuit el2_lsu_bus_buffer : wire _T_1325 : UInt<4> @[Mux.scala 27:72] _T_1325 <= _T_1324 @[Mux.scala 27:72] node _T_1326 = cat(UInt<4>("h00"), _T_1325) @[Cat.scala 29:58] - node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 363:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 362:28] - node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:60] + node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 365:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 364:28] + node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 366:60] node _T_1329 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1330 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 364:46] - node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 366:46] + node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1336 = mux(_T_1332, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1337 = mux(_T_1333, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1338 = mux(_T_1334, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2065,12 +2065,12 @@ circuit el2_lsu_bus_buffer : node _T_1342 = or(_T_1341, _T_1339) @[Mux.scala 27:72] wire _T_1343 : UInt<32> @[Mux.scala 27:72] _T_1343 <= _T_1342 @[Mux.scala 27:72] - node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] - node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] - node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 367:36] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 367:46] + node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1350 = mux(_T_1346, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1351 = mux(_T_1347, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1352 = mux(_T_1348, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2081,10 +2081,10 @@ circuit el2_lsu_bus_buffer : wire _T_1357 : UInt<4> @[Mux.scala 27:72] _T_1357 <= _T_1356 @[Mux.scala 27:72] node _T_1358 = cat(_T_1357, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1363 = mux(_T_1359, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1364 = mux(_T_1360, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1365 = mux(_T_1361, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2095,16 +2095,16 @@ circuit el2_lsu_bus_buffer : wire _T_1370 : UInt<4> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] node _T_1371 = cat(UInt<4>("h00"), _T_1370) @[Cat.scala 29:58] - node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 365:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 364:28] - node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 367:58] + node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 367:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 366:28] + node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 369:58] node _T_1374 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1375 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 369:44] + node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1381 = mux(_T_1377, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1382 = mux(_T_1378, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1383 = mux(_T_1379, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2114,12 +2114,12 @@ circuit el2_lsu_bus_buffer : node _T_1387 = or(_T_1386, _T_1384) @[Mux.scala 27:72] wire _T_1388 : UInt<32> @[Mux.scala 27:72] _T_1388 <= _T_1387 @[Mux.scala 27:72] - node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 368:36] - node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 368:46] - node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 370:36] + node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 370:46] + node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1395 = mux(_T_1391, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1396 = mux(_T_1392, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1397 = mux(_T_1393, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2130,10 +2130,10 @@ circuit el2_lsu_bus_buffer : wire _T_1402 : UInt<32> @[Mux.scala 27:72] _T_1402 <= _T_1401 @[Mux.scala 27:72] node _T_1403 = cat(_T_1402, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1408 = mux(_T_1404, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1409 = mux(_T_1405, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1410 = mux(_T_1406, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2144,16 +2144,16 @@ circuit el2_lsu_bus_buffer : wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] node _T_1416 = cat(UInt<32>("h00"), _T_1415) @[Cat.scala 29:58] - node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 368:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 367:26] - node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 369:58] + node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 370:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 369:26] + node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 371:58] node _T_1419 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1420 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 369:44] - node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 371:44] + node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1426 = mux(_T_1422, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1427 = mux(_T_1423, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1428 = mux(_T_1424, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2163,12 +2163,12 @@ circuit el2_lsu_bus_buffer : node _T_1432 = or(_T_1431, _T_1429) @[Mux.scala 27:72] wire _T_1433 : UInt<32> @[Mux.scala 27:72] _T_1433 <= _T_1432 @[Mux.scala 27:72] - node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 370:36] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 370:46] - node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 372:36] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 372:46] + node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1440 = mux(_T_1436, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1441 = mux(_T_1437, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1442 = mux(_T_1438, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2179,10 +2179,10 @@ circuit el2_lsu_bus_buffer : wire _T_1447 : UInt<32> @[Mux.scala 27:72] _T_1447 <= _T_1446 @[Mux.scala 27:72] node _T_1448 = cat(_T_1447, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1453 = mux(_T_1449, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1454 = mux(_T_1450, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1455 = mux(_T_1451, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2193,40 +2193,40 @@ circuit el2_lsu_bus_buffer : wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] node _T_1461 = cat(UInt<32>("h00"), _T_1460) @[Cat.scala 29:58] - node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 370:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 369:26] - node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 371:63] - node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 371:59] - node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 372:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 371:26] + node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 373:63] + node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 373:59] + node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 373:63] node _T_1495 = cat(_T_1494, _T_1490) @[Cat.scala 29:58] node _T_1496 = cat(_T_1495, _T_1486) @[Cat.scala 29:58] node _T_1497 = cat(_T_1496, _T_1482) @[Cat.scala 29:58] @@ -2234,46 +2234,46 @@ circuit el2_lsu_bus_buffer : node _T_1499 = cat(_T_1498, _T_1474) @[Cat.scala 29:58] node _T_1500 = cat(_T_1499, _T_1470) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1500, _T_1466) @[Cat.scala 29:58] - node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1504 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1509 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1514 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1519 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1524 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1529 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1534 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 372:76] - node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 372:59] - node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 372:94] - node _T_1539 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 372:123] - node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1504 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1509 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1514 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1519 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1524 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1529 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1534 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 374:44] + node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 374:76] + node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 374:59] + node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 374:94] + node _T_1539 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 374:123] + node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 374:44] node _T_1541 = cat(_T_1540, _T_1535) @[Cat.scala 29:58] node _T_1542 = cat(_T_1541, _T_1530) @[Cat.scala 29:58] node _T_1543 = cat(_T_1542, _T_1525) @[Cat.scala 29:58] @@ -2281,18 +2281,19 @@ circuit el2_lsu_bus_buffer : node _T_1545 = cat(_T_1544, _T_1515) @[Cat.scala 29:58] node _T_1546 = cat(_T_1545, _T_1510) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1546, _T_1505) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 373:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] - node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 375:30] - node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 375:43] - node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 375:59] - node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 375:15] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 376:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 377:14] + node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 378:30] + node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 378:43] + node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 378:59] + node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1554 = mux(_T_1550, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1555 = mux(_T_1551, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1556 = mux(_T_1552, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2302,12 +2303,12 @@ circuit el2_lsu_bus_buffer : node _T_1560 = or(_T_1559, _T_1557) @[Mux.scala 27:72] wire _T_1561 : UInt<3> @[Mux.scala 27:72] _T_1561 <= _T_1560 @[Mux.scala 27:72] - node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 375:107] - node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 375:75] - node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 378:107] + node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 378:75] + node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1568 = mux(_T_1564, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1569 = mux(_T_1565, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1570 = mux(_T_1566, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2317,19 +2318,19 @@ circuit el2_lsu_bus_buffer : node _T_1574 = or(_T_1573, _T_1571) @[Mux.scala 27:72] wire _T_1575 : UInt<3> @[Mux.scala 27:72] _T_1575 <= _T_1574 @[Mux.scala 27:72] - node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 375:150] - node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 375:118] + node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 378:150] + node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 378:118] node _T_1578 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1579 = cat(_T_1578, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1580 = cat(_T_1579, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1589 = mux(_T_1581, _T_1582, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1590 = mux(_T_1583, _T_1584, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1591 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2339,16 +2340,16 @@ circuit el2_lsu_bus_buffer : node _T_1595 = or(_T_1594, _T_1592) @[Mux.scala 27:72] wire _T_1596 : UInt<1> @[Mux.scala 27:72] _T_1596 <= _T_1595 @[Mux.scala 27:72] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:3] - node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 375:161] - node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:3] + node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 378:161] + node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1607 = mux(_T_1599, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1608 = mux(_T_1601, _T_1602, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1609 = mux(_T_1603, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2358,16 +2359,16 @@ circuit el2_lsu_bus_buffer : node _T_1613 = or(_T_1612, _T_1610) @[Mux.scala 27:72] wire _T_1614 : UInt<1> @[Mux.scala 27:72] _T_1614 <= _T_1613 @[Mux.scala 27:72] - node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:85] - node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 376:83] - node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:85] + node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 379:83] + node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1625 = mux(_T_1617, _T_1618, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1626 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1627 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2377,14 +2378,14 @@ circuit el2_lsu_bus_buffer : node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] wire _T_1632 : UInt<1> @[Mux.scala 27:72] _T_1632 <= _T_1631 @[Mux.scala 27:72] - node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1641 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1635, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2394,11 +2395,11 @@ circuit el2_lsu_bus_buffer : node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] wire _T_1648 : UInt<1> @[Mux.scala 27:72] _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 377:36] - node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 380:36] + node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1654 = mux(_T_1650, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1655 = mux(_T_1651, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1656 = mux(_T_1652, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2408,11 +2409,11 @@ circuit el2_lsu_bus_buffer : node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] wire _T_1661 : UInt<32> @[Mux.scala 27:72] _T_1661 <= _T_1660 @[Mux.scala 27:72] - node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 378:33] - node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 381:33] + node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1667 = mux(_T_1663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1668 = mux(_T_1664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1669 = mux(_T_1665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2422,21 +2423,21 @@ circuit el2_lsu_bus_buffer : node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] wire _T_1674 : UInt<32> @[Mux.scala 27:72] _T_1674 <= _T_1673 @[Mux.scala 27:72] - node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 378:69] - node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 378:39] - node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 377:67] - node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:79] - node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 378:77] - node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:105] - node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 378:103] - node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 381:69] + node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 381:39] + node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 380:67] + node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:79] + node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 381:77] + node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 381:105] + node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 381:103] + node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1690 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1691 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1692 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2446,18 +2447,18 @@ circuit el2_lsu_bus_buffer : node _T_1696 = or(_T_1695, _T_1693) @[Mux.scala 27:72] wire _T_1697 : UInt<1> @[Mux.scala 27:72] _T_1697 <= _T_1696 @[Mux.scala 27:72] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:6] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:6] node _T_1699 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1700 = cat(_T_1699, buf_dual[1]) @[Cat.scala 29:58] node _T_1701 = cat(_T_1700, buf_dual[0]) @[Cat.scala 29:58] - node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1710 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1711 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1712 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2467,18 +2468,18 @@ circuit el2_lsu_bus_buffer : node _T_1716 = or(_T_1715, _T_1713) @[Mux.scala 27:72] wire _T_1717 : UInt<1> @[Mux.scala 27:72] _T_1717 <= _T_1716 @[Mux.scala 27:72] - node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 379:36] + node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 382:36] node _T_1719 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1720 = cat(_T_1719, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1721 = cat(_T_1720, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1730 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1731 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1732 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2488,19 +2489,19 @@ circuit el2_lsu_bus_buffer : node _T_1736 = or(_T_1735, _T_1733) @[Mux.scala 27:72] wire _T_1737 : UInt<1> @[Mux.scala 27:72] _T_1737 <= _T_1736 @[Mux.scala 27:72] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:107] - node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 379:105] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 382:107] + node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 382:105] node _T_1740 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1741 = cat(_T_1740, buf_samedw[1]) @[Cat.scala 29:58] node _T_1742 = cat(_T_1741, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1751 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1752 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1753 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2510,43 +2511,43 @@ circuit el2_lsu_bus_buffer : node _T_1757 = or(_T_1756, _T_1754) @[Mux.scala 27:72] wire _T_1758 : UInt<1> @[Mux.scala 27:72] _T_1758 <= _T_1757 @[Mux.scala 27:72] - node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 379:177] - node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 378:126] - node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 376:120] - node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 380:19] - node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 380:35] - node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 379:251] - obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 375:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 382:55] - node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 383:58] - node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 383:93] - node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 383:91] - reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 383:54] - _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 383:54] - obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 383:14] + node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 382:177] + node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 381:126] + node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 379:120] + node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 383:19] + node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 383:35] + node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 382:251] + obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 378:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 385:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 385:55] + node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 386:58] + node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 386:93] + node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 386:91] + reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 386:54] + _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 386:54] + obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 386:14] reg _T_1769 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1769 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 384:15] - reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 385:54] - _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 385:54] - obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 385:17] - reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 386:55] - _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 386:55] - obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 386:18] - reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 387:56] - _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 387:56] - obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 387:19] - reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 388:55] - _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 388:55] - obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 388:18] + obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 387:15] + reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 388:54] + _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 388:54] + obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 388:17] + reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 389:55] + _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 389:55] + obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 389:18] + reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 390:56] + _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 390:56] + obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 390:19] + reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 391:55] + _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 391:55] + obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 391:18] reg _T_1774 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1774 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 389:13] + obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 392:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2559,12 +2560,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 392:14] + obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 395:14] reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1776 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 393:19] + obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 396:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2577,7 +2578,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1777 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1777 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 395:13] + obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 398:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2590,227 +2591,228 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 398:54] - _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 398:54] - obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 398:17] + reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 401:54] + _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 401:54] + obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 401:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:97] - node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 400:86] - node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:33] - node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 401:22] - node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 400:106] - node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:72] - node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 401:60] - node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 401:42] - node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] - node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 400:70] - node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] - node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 400:97] - node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 400:86] - node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 401:33] - node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 401:22] - node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 400:106] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 401:72] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 401:60] - node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 401:42] - node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] - node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 400:70] - node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] - node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 400:97] - node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 400:86] - node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 401:33] - node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 401:22] - node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 400:106] - node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 401:72] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 401:60] - node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 401:42] - node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] - node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 400:70] - node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] - node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 400:97] - node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 400:86] - node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 401:33] - node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 401:22] - node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 400:106] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 401:72] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 401:60] - node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 401:42] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] - node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:72] + node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 404:60] + node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:72] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 404:60] + node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:72] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 404:60] + node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:72] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 404:60] + node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 403:70] node _T_1823 = mux(_T_1822, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1824 = mux(_T_1811, UInt<2>("h02"), _T_1823) @[Mux.scala 98:16] node _T_1825 = mux(_T_1800, UInt<1>("h01"), _T_1824) @[Mux.scala 98:16] node _T_1826 = mux(_T_1789, UInt<1>("h00"), _T_1825) @[Mux.scala 98:16] - WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 402:12] - node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:73] - node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 404:61] - node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:112] - node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 404:101] - node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 404:83] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:73] - node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 404:61] - node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:112] - node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 404:101] - node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 404:83] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:73] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 404:61] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:112] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 404:101] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 404:83] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 403:70] - node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] - node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 403:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 403:86] - node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:33] - node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 404:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 403:106] - node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:73] - node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 404:61] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 404:42] - node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:112] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 404:101] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 404:83] - node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] - node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 403:70] + WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 405:12] + node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:97] + node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 406:86] + node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 407:33] + node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 407:22] + node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 406:106] + node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 407:73] + node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 407:61] + node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 407:42] + node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 407:112] + node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 407:101] + node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 407:83] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] + node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 406:70] + node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 406:97] + node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 406:86] + node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 407:33] + node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 407:22] + node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 406:106] + node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 407:73] + node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 407:61] + node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 407:42] + node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 407:112] + node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 407:101] + node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 407:83] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] + node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 406:70] + node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 406:97] + node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 406:86] + node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 407:33] + node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 407:22] + node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 406:106] + node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 407:73] + node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 407:61] + node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 407:42] + node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 407:112] + node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 407:101] + node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 407:83] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] + node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 406:70] + node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 406:59] + node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 406:97] + node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 406:86] + node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 407:33] + node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 407:22] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 406:106] + node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 407:73] + node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 407:61] + node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 407:42] + node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 407:112] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 407:101] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 407:83] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:72] + node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 406:70] node _T_1883 = mux(_T_1882, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 407:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 410:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 410:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 410:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 410:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 410:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 410:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 410:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 410:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 410:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 410:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 410:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 410:88] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 409:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 410:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 411:11] + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 413:58] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 413:63] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 413:88] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 413:58] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 413:63] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 413:88] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 413:58] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 413:63] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 413:88] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 413:58] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:45] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 413:78] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 413:63] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 413:90] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 413:88] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 411:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 411:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 411:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 411:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 411:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 411:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 411:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 411:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 411:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 411:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 411:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 411:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 411:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 411:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 411:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 411:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 411:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 411:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 411:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 411:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 411:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 411:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 411:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] + node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 414:59] + node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 414:76] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] + node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 414:94] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] + node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 414:81] + node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 414:98] + node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] + node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 414:123] + node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] + node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 414:59] + node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 414:76] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] + node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 414:94] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] + node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 414:81] + node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 414:98] + node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] + node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 414:123] + node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] + node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 414:59] + node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 414:76] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] + node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 414:94] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] + node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 414:81] + node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 414:98] + node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] + node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 414:123] + node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 414:62] + node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 414:59] + node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 414:76] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:45] + node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 414:94] + node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:83] + node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 414:81] + node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 414:113] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 414:98] + node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:125] + node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 414:123] node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 412:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 414:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 414:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 414:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 414:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 414:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 414:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 414:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 414:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 415:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 416:19] + node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 417:65] + node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] + node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] + node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 417:70] + node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 417:65] + node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] + node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] + node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 417:70] + node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 417:65] + node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] + node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] + node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 417:70] + node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 417:65] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:44] + node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 417:85] + node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 417:70] node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 415:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 415:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 416:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 416:17] + node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 418:31] + found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 418:17] + node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 419:31] + found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 419:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> @@ -2819,1665 +2821,1665 @@ circuit el2_lsu_bus_buffer : RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] - node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] - node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 418:42] - node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] - node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 418:48] - node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] - node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 418:54] - node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] - node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] - node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 418:67] - node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] - node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 418:73] - node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] - node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 418:79] - node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] - node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] - node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 418:92] - node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] - node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 418:98] - node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] - node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 418:104] + node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 421:39] + node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 421:45] + node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 421:51] + node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 421:48] + node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 421:57] + node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 421:54] + node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 421:64] + node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 421:70] + node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 421:67] + node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 421:76] + node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 421:73] + node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 421:82] + node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 421:79] + node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 421:89] + node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 421:95] + node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 421:92] + node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 421:101] + node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 421:98] + node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 421:107] + node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 421:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 423:11] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 426:11] node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] - node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] - node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 418:42] - node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] - node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 418:48] - node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] - node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 418:54] - node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] - node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] - node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 418:67] - node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] - node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 418:73] - node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 418:79] - node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] - node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] - node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 418:92] - node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] - node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 418:98] - node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] - node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 418:104] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 421:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 421:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 421:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 421:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 421:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 421:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 421:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 421:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 421:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 421:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 421:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 421:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 421:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 421:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 421:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 421:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 421:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 421:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 421:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 421:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 421:104] node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] - CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 425:11] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 428:11] node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] - node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] - node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 418:42] - node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 418:48] - node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] - node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 418:54] - node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] - node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 418:67] - node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 418:73] - node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 418:79] - node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] - node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 418:92] - node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 418:98] - node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] - node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 418:104] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 421:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 421:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 421:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 421:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 421:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 421:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 421:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 421:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 421:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 421:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 421:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 421:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 421:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 421:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 421:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 421:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 421:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 421:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 421:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 421:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 421:104] node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] - RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 426:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 427:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 435:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] - node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 441:97] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 429:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 430:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 432:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 434:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 435:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 436:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 437:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 438:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 444:97] node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] - node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 444:97] node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] - node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 444:97] node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] - node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 441:97] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] - node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] - node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] - node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 439:57] - node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 439:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 440:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 440:71] - node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 440:92] - node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 439:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] - node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 441:52] - node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 441:73] - node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 440:114] - node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 438:113] - node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] - node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 444:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 441:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 441:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 442:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 442:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 442:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 442:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 443:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 443:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 443:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 443:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 443:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 442:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 444:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 444:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 441:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 444:97] node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 442:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] - node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 444:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 445:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 446:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 447:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 447:78] node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 447:78] node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] - node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 444:78] - node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] - node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] - node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 447:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 447:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 447:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 447:78] node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] - buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 444:13] - buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 444:13] - buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 444:13] - buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 444:13] - node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 445:74] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 447:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 447:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 447:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 447:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 448:74] node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] - node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 448:74] node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] - node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 448:74] node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] - node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 445:74] - node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] - node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] - node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 445:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 448:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 448:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 448:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 448:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 448:74] node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 445:21] - buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 445:21] - buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 445:21] - buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 445:21] - node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 446:89] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 448:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 448:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 448:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 448:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 449:89] node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] - node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 449:89] node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] - node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 449:89] node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] - node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] - node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 449:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 449:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 449:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 449:89] node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 446:21] - buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 446:21] - buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 446:21] - buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 446:21] - node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 448:114] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 449:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 449:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 449:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 449:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 451:114] node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] - node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 451:114] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 448:114] - node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 451:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 451:114] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 449:34] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 450:43] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 450:73] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 450:92] - node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 449:61] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 451:54] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 451:73] - node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 450:112] - node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 448:114] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 449:34] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 450:43] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 450:73] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 449:61] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 451:54] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 451:73] - node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 450:112] - node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 448:114] - node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 449:34] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 450:43] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 450:73] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 449:61] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 451:54] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 451:73] - node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 450:112] - node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 448:114] - node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 449:34] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 450:43] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 450:73] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 450:92] - node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 449:61] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] - node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 451:54] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 451:73] - node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 450:112] - node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 452:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 453:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 453:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 453:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 452:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 454:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 454:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 453:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 451:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 452:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 453:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 453:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 453:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 452:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 454:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 454:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 451:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 452:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 453:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 453:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 453:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 452:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 454:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 454:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 451:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 451:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 452:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 452:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 452:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 453:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 453:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 453:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 453:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 453:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 452:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 454:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 454:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 454:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 451:114] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 448:20] - buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 448:20] - buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 448:20] - buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 448:20] - node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 452:90] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 451:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 451:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 451:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 451:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 455:90] node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] - node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 455:90] node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 455:90] node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] - node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 452:90] - node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] - node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] - node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 455:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 455:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 455:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 455:90] node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 452:19] - buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 452:19] - buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 452:19] - buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 452:19] - node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 453:84] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 455:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 455:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 455:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 455:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 456:84] node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] - node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 456:84] node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] - node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 456:84] node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] - node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 453:84] - node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] - node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] - node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 453:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 456:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 456:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 456:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 456:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 456:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 456:84] node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 453:16] - buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 453:16] - buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 453:16] - buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 453:16] - node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:77] - node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 458:65] - node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:77] - node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 458:65] - node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:77] - node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 458:65] - node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:77] - node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 458:65] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 456:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 456:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 456:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 456:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 461:65] node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 458:23] - node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:66] - node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:134] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 459:123] - node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] - node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] - node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 459:96] - node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 459:48] - node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:66] - node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] - node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] - node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:134] - node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 459:123] - node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] - node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] - node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 459:96] - node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 459:48] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:66] - node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:134] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 459:123] - node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] - node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] - node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 459:96] - node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 459:48] - node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:66] - node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] - node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] - node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:134] - node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 459:123] - node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] - node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] - node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 459:96] - node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 459:48] - buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 459:19] - buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 459:19] - buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 459:19] - buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 459:19] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:64] - node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] - node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:121] - node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 460:110] - node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] - node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 460:46] - node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:64] - node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] - node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:121] - node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 460:110] - node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] - node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 460:46] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:64] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:121] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 460:110] - node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] - node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 460:46] - node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:64] - node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] - node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:121] - node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 460:110] - node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] - node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 460:46] - buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 460:17] - buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 460:17] - buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 460:17] - buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 460:17] - node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] - node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] - node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] - node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:65] - node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 461:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 462:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 462:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 462:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 462:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 462:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 462:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 462:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 462:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 462:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 462:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 462:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 462:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 462:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 462:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 462:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 462:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 462:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 462:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 463:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 463:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 463:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 463:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 463:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 463:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 463:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 463:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 463:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 463:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 463:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 463:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 463:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 463:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 463:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 463:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 463:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:47] node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] - buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 461:17] - node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 464:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 465:49] node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] - buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 462:19] - node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] - node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] - node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:68] - node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] - node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 465:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 466:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 466:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 466:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 466:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 466:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 466:50] node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 463:20] - node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:120] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 464:109] - node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 464:49] - node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:67] - node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] - node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:120] - node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 464:109] - node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 464:49] - node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:67] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:120] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 464:109] - node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 464:49] - node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:67] - node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] - node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:120] - node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 464:109] - node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 464:49] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 466:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 467:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 467:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 467:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 467:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 467:49] node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 464:19] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] - node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:127] - node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 465:116] - node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] - node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] - node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:127] - node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 465:116] - node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] - node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] - node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:127] - node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 465:116] - node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] - node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 465:49] - node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 465:67] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] - node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:127] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 465:116] - node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] - node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 465:49] - buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 465:20] - buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 465:20] - buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 465:20] - buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 465:20] - node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 466:71] - node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] - node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 466:71] - node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] - node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 466:71] - node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] - node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 466:71] - node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 467:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 468:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 468:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 468:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 468:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 468:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 468:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 468:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 468:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 468:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 468:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 468:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 468:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 468:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 468:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 469:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 469:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 469:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 469:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 469:53] node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 466:23] - node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] - node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 467:67] - node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 469:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 470:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 470:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 470:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 470:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 470:49] node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] - buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 467:19] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 468:62] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 470:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 471:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 468:44] - node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 468:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 471:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 471:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 468:44] - node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 468:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 471:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 471:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 468:44] - node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 468:62] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 471:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 471:62] node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 468:44] - buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 468:15] - buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 468:15] - buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 468:15] - buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 468:15] - node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 469:66] - node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] - node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 469:66] - node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 469:66] - node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] - node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 469:66] - node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 471:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 471:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 471:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 471:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 471:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 472:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 472:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 472:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 472:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 472:48] node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] - buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 469:18] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 472:18] node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3437 : @[Conditional.scala 40:58] - node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] - node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] - node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] - node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 475:112] - node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] - node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] - node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 475:161] - node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 475:132] - node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 475:63] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 475:201] - node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 475:183] - buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 475:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 476:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 477:24] - node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] - node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] - node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 478:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 478:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 478:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 478:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 478:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 478:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 478:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 479:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 480:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 481:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 481:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3460 : @[Conditional.scala 39:67] - node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] - node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 481:25] - node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] - buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3464 : @[Conditional.scala 39:67] - node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 485:104] - node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] - node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 485:31] - buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:48] - node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:104] - node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 486:91] - node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] - node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] - buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 486:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 487:29] - node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] - buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 488:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] - node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:56] - node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 490:44] - node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] - node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 490:74] - buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] - buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 491:28] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] - buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] - buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] - node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] - node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] - node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 494:73] - node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 494:24] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 488:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 489:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 489:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 489:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 489:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 491:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 493:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 493:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 494:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3498 : @[Conditional.scala 39:67] - node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] - node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 497:55] - node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] - node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] - node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 498:28] - node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 498:57] - node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 498:45] - node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 498:61] - node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:27] - node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] - node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] - node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 499:68] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 499:97] - node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 499:85] - node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 500:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 500:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 500:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 501:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 501:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 501:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 501:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 502:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 502:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 502:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4487,273 +4489,273 @@ circuit el2_lsu_bus_buffer : node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] wire _T_3534 : UInt<1> @[Mux.scala 27:72] _T_3534 <= _T_3533 @[Mux.scala 27:72] - node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] - node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 499:138] - node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] - node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 499:53] - node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] - node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 498:14] - node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 500:52] - node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:46] - node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:23] - node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 502:47] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 502:27] - node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 501:77] - node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 503:26] - node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 503:54] - node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 503:44] - node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 503:58] - node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 503:94] - node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 503:74] - node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 502:71] - node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 501:25] - node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 500:105] - buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 500:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 504:29] - node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] - node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] - buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 506:24] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:111] - node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 508:42] - node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 508:31] - node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 508:46] - node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 507:143] - node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] - node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 509:74] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 509:53] - node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 508:88] - node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 507:68] - buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] - node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 510:48] - node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] - node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] - node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] - node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 510:72] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] - node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 510:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 510:24] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 502:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 502:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 502:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 501:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 503:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 503:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 505:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 505:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 505:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 506:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 506:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 506:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 506:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 506:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 506:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 505:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 504:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 503:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 503:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 509:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 510:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 510:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 511:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 511:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 511:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 510:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 512:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 512:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 511:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 510:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 513:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 513:86] - node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 513:101] - node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] - node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 513:90] - node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] - node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 514:66] - node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 515:21] - node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] - node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 515:58] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 515:38] - node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 514:95] - node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 514:45] - buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 514:29] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 516:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 516:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 516:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 517:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 518:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 518:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 518:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 517:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 517:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 517:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3603 : @[Conditional.scala 39:67] - node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] - node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 520:37] - node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] - node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 520:80] - node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 520:65] - node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] - buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 520:25] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 523:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 523:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 523:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 523:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3611 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 527:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 530:25] skip @[Conditional.scala 39:67] - node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3612 : @[Reg.scala 28:19] _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 530:18] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] - _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 531:60] - buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 531:17] - reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] - _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 532:63] - buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 532:20] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 533:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 534:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 534:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 535:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 535:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 533:20] - node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:74] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 536:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 534:17] - node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:78] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 537:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 535:19] - node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:80] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 538:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 539:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 536:20] - node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:78] - node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 539:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 540:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3628 : @[Reg.scala 28:19] _T_3629 <= _T_3627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 537:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 540:19] node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3630 : @[Conditional.scala 40:58] - node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] - node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] - node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] - node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 475:112] - node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] - node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] - node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 475:161] - node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 475:132] - node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 475:63] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 475:201] - node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 475:183] - buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 475:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 476:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 477:24] - node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] - node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] - node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 478:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 478:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 478:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 478:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 478:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 478:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 478:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 479:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 480:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 481:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 481:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3653 : @[Conditional.scala 39:67] - node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] - node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 481:25] - node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] - buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3657 : @[Conditional.scala 39:67] - node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 485:104] - node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] - node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 485:31] - buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:48] - node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:104] - node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 486:91] - node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] - node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] - buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 486:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 487:29] - node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] - buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 488:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] - node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:56] - node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 490:44] - node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] - node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 490:74] - buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] - buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 491:28] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] - buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] - buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] - node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] - node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] - node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 494:73] - node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 494:24] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 488:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 489:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 489:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 489:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 489:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 491:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 493:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 493:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 494:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] - node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 497:55] - node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] - node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] - node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 498:28] - node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 498:57] - node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 498:45] - node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 498:61] - node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:27] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] - node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] - node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 499:68] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 499:97] - node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 499:85] - node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 500:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 500:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 500:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 501:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 501:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 501:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 501:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 502:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 502:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 502:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4763,273 +4765,273 @@ circuit el2_lsu_bus_buffer : node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] wire _T_3727 : UInt<1> @[Mux.scala 27:72] _T_3727 <= _T_3726 @[Mux.scala 27:72] - node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] - node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 499:138] - node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] - node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 499:53] - node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] - node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 498:14] - node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 500:52] - node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:46] - node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:23] - node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 502:47] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 502:27] - node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 501:77] - node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 503:26] - node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 503:54] - node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 503:44] - node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 503:58] - node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 503:94] - node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 503:74] - node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 502:71] - node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 501:25] - node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 500:105] - buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 500:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 504:29] - node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] - node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] - buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 506:24] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:111] - node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 508:42] - node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 508:31] - node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 508:46] - node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 507:143] - node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] - node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 509:74] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 509:53] - node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 508:88] - node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 507:68] - buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] - node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 510:48] - node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] - node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] - node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] - node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 510:72] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] - node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 510:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 510:24] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 502:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 502:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 502:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 501:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 503:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 503:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 505:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 505:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 505:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 506:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 506:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 506:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 506:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 506:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 506:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 505:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 504:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 503:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 503:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 509:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 510:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 510:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 511:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 511:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 511:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 510:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 512:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 512:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 511:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 510:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 513:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 513:86] - node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 513:101] - node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] - node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 513:90] - node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] - node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 514:66] - node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 515:21] - node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] - node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 515:58] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 515:38] - node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 514:95] - node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 514:45] - buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 514:29] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 516:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 516:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 516:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 517:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 518:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 518:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 518:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 517:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 517:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 517:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3796 : @[Conditional.scala 39:67] - node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] - node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 520:37] - node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] - node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 520:80] - node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 520:65] - node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] - buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 520:25] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 523:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 523:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 523:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 523:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3804 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 527:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 530:25] skip @[Conditional.scala 39:67] - node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3805 : @[Reg.scala 28:19] _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 530:18] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] - _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 531:60] - buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 531:17] - reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] - _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 532:63] - buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 532:20] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 533:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 534:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 534:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 535:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 535:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 533:20] - node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:74] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 536:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 534:17] - node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:78] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 537:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 535:19] - node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:80] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 538:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 539:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 536:20] - node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:78] - node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 539:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 540:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3821 : @[Reg.scala 28:19] _T_3822 <= _T_3820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 537:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 540:19] node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3823 : @[Conditional.scala 40:58] - node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] - node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 474:25] - node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] - node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] - node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 475:95] - node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 475:112] - node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] - node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] - node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 475:161] - node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 475:132] - node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 475:63] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 475:201] - node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 475:183] - buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 475:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 476:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 477:24] - node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] - node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 478:47] - node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] - node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] - node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] - node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 478:24] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 478:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 478:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 478:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 478:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 478:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 478:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 478:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 478:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 479:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 480:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 481:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 481:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3846 : @[Conditional.scala 39:67] - node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] - node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 481:25] - node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] - buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 484:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3850 : @[Conditional.scala 39:67] - node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] - node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 485:104] - node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] - node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 485:31] - buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:48] - node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:104] - node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 486:91] - node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] - node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] - buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 486:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 487:29] - node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] - buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 488:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] - node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:56] - node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 490:44] - node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] - node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 490:74] - buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] - buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 491:28] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] - buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 492:24] - node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] - buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] - node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] - node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] - node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 494:73] - node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 494:24] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 488:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 488:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 489:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 489:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 489:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 489:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 490:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 491:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 493:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 493:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 494:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] - node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 497:55] - node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] - node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] - node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 498:28] - node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 498:57] - node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] - node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 498:45] - node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 498:61] - node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:27] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] - node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] - node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 499:68] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 499:97] - node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 499:85] - node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 500:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 500:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 500:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 501:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 501:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 501:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 501:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 502:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 502:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 502:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5039,273 +5041,273 @@ circuit el2_lsu_bus_buffer : node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] wire _T_3920 : UInt<1> @[Mux.scala 27:72] _T_3920 <= _T_3919 @[Mux.scala 27:72] - node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 499:101] - node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] - node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 499:138] - node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] - node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 499:53] - node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] - node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 498:14] - node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 497:25] - node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 500:52] - node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:46] - node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:23] - node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 502:47] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 502:27] - node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 501:77] - node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 503:26] - node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 503:54] - node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 503:44] - node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 503:58] - node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 503:94] - node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 503:74] - node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 502:71] - node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 501:25] - node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 500:105] - buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 500:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 504:29] - node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 505:25] - node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] - node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] - buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 506:24] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:111] - node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 508:42] - node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 508:31] - node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 508:46] - node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 507:143] - node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] - node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:74] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 509:53] - node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 508:88] - node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 507:68] - buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 507:25] - node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] - node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 510:48] - node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] - node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] - node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] - node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 510:72] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] - node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 510:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 510:24] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 502:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 502:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 502:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 501:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 503:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 505:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 505:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 505:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 504:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 506:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 506:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 506:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 506:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 506:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 506:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 505:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 504:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 503:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 503:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 508:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 509:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 510:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 510:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 511:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 511:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 511:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 511:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 510:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 512:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 512:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 511:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 510:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 513:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 513:86] - node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 513:101] - node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] - node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 513:90] - node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] - node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 513:25] - node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 514:66] - node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 515:21] - node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] - node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 515:58] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 515:38] - node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 514:95] - node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 514:45] - buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 514:29] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 516:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 516:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 516:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 516:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 517:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 518:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 518:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 518:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 517:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 517:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 517:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3989 : @[Conditional.scala 39:67] - node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] - node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 519:25] - node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 520:37] - node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] - node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 520:80] - node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 520:65] - node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] - buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 520:25] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 522:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 523:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 523:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 523:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 523:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3997 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 527:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 530:25] skip @[Conditional.scala 39:67] - node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3998 : @[Reg.scala 28:19] _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 530:18] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] - _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 531:60] - buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 531:17] - reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] - _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 532:63] - buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 532:20] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 533:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 534:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 534:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 535:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 535:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 533:20] - node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:74] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 534:17] - node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:78] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 537:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 535:19] - node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:80] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 538:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 539:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 536:20] - node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:78] - node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 539:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 540:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4014 : @[Reg.scala 28:19] _T_4015 <= _T_4013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 537:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 540:19] node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4016 : @[Conditional.scala 40:58] - node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] - node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] - buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 474:25] - node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] - node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] - node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] - node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 475:95] - node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 475:112] - node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] - node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] - node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 475:161] - node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 475:132] - node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 475:63] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 475:201] - node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 475:183] - buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 475:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 476:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 477:24] - node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] - node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 478:47] - node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] - node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] - node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] - node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 478:30] - buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 478:24] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 477:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 477:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 477:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 478:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 478:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 478:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 478:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 478:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 478:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 478:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 478:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 478:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 478:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 478:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 479:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 480:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 481:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 481:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 481:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 481:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 481:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 481:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4039 : @[Conditional.scala 39:67] - node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] - node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] - buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 481:25] - node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] - buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 482:25] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 484:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 484:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 484:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 485:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 485:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4043 : @[Conditional.scala 39:67] - node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] - node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] - node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 485:104] - node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] - node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 485:31] - buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 485:25] - node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:48] - node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:104] - node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 486:91] - node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 486:77] - node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] - node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] - buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 486:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 487:29] - node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] - node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] - buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 488:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] - node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:56] - node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] - node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 490:44] - node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] - node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 490:74] - buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 490:25] - node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] - buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 491:28] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] - node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] - buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 492:24] - node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] - node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] - node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] - buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 493:25] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] - node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] - node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] - node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] - node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 494:73] - node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 494:30] - buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 494:24] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 488:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 488:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 488:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 488:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 488:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 488:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 489:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 489:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 489:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 489:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 489:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 489:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 489:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 490:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 491:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 491:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 492:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 493:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 493:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 493:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 494:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 494:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 495:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 495:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 495:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 495:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 496:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 496:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 496:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 496:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 497:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 497:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 497:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 497:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 497:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 497:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 497:67] - node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] - node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] - node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 497:71] - node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 497:55] - node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] - node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] - node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 498:28] - node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 498:57] - node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] - node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 498:45] - node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 498:61] - node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:27] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] - node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] - node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 499:68] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 499:97] - node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 499:85] - node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 500:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 500:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 500:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 500:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 500:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 501:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 501:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 501:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 501:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 501:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 501:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 502:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 502:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 502:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 502:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5315,172 +5317,172 @@ circuit el2_lsu_bus_buffer : node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] wire _T_4113 : UInt<1> @[Mux.scala 27:72] _T_4113 <= _T_4112 @[Mux.scala 27:72] - node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 499:101] - node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] - node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 499:138] - node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] - node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 499:53] - node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] - node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 498:14] - node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 497:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 497:25] - node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 500:73] - node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 500:52] - node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:46] - node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:23] - node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 502:47] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 502:27] - node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 501:77] - node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 503:26] - node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 503:54] - node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 503:44] - node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 503:42] - node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 503:58] - node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 503:94] - node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 503:74] - node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 502:71] - node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 501:25] - node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 500:105] - buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 500:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 504:29] - node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] - node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] - buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 505:25] - node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] - node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] - buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 506:24] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] - node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:111] - node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 507:91] - node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 508:42] - node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 508:31] - node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 508:66] - node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 508:46] - node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 507:143] - node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] - node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 509:74] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 509:53] - node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 508:88] - node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 507:68] - buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 507:25] - node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] - node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 510:48] - node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] - node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] - node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] - node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 510:72] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] - node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 510:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 510:24] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 502:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 502:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 502:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 502:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 502:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 502:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 501:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 500:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 500:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 503:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 505:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 505:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 505:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 504:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 506:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 506:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 506:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 506:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 506:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 506:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 506:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 505:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 504:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 503:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 503:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 507:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 508:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 509:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 509:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 510:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 510:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 511:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 511:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 511:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 511:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 510:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 512:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 512:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 512:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 511:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 510:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 513:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 513:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 513:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 513:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 513:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 513:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] - node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 513:86] - node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 513:101] - node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] - node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 513:90] - node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] - node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] - node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 513:31] - buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 513:25] - node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 514:66] - node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 515:21] - node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] - node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 515:58] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 515:38] - node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 514:95] - node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 514:45] - buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 514:29] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] - node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] - buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 516:25] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 516:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 516:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 516:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 516:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 516:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 516:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 516:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 516:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 516:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 517:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 518:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 518:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 518:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 518:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 517:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 517:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 517:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 519:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 519:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 519:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4182 : @[Conditional.scala 39:67] - node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] - node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] - buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 519:25] - node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 520:37] - node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] - node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 520:80] - node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 520:65] - node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] - buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 520:25] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 522:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 522:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 522:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 523:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 523:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 523:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 523:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 523:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4190 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 527:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 527:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 528:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 529:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 530:25] skip @[Conditional.scala 39:67] - node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:108] reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 530:18] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] - _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 531:60] - buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 531:17] - reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] - _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 532:63] - buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 532:20] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 533:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 534:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 534:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 535:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 535:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 535:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:109] reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 533:20] - node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:74] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 534:17] - node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:78] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 537:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 535:19] - node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:80] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 538:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 539:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 536:20] - node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:78] - node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 539:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 540:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:111] reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 537:19] - node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 540:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 543:133] reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4215 : @[Reg.scala 28:19] _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5488,51 +5490,51 @@ circuit el2_lsu_bus_buffer : node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] - buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 540:15] - node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 543:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4224 : @[Reg.scala 28:19] _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 544:134] reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 541:18] - buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 541:18] - buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 541:18] - buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 541:18] - node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 542:107] - node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 544:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 544:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 544:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 544:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 545:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 542:107] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 545:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 542:107] - node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 545:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 542:107] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 545:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 545:140] reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= _T_4237 @[Reg.scala 28:23] @@ -5540,27 +5542,27 @@ circuit el2_lsu_bus_buffer : node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] - buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 542:20] - node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 543:99] - node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 545:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 546:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 543:99] - node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 546:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 543:99] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 546:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 543:99] - node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 546:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 546:132] reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= _T_4252 @[Reg.scala 28:23] @@ -5568,27 +5570,27 @@ circuit el2_lsu_bus_buffer : node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] - buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 543:16] - node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 544:97] - node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 546:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 547:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 544:97] - node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 547:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 544:97] - node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 547:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 544:97] - node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 547:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 547:130] reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4268 : @[Reg.scala 28:19] _T_4269 <= _T_4267 @[Reg.scala 28:23] @@ -5596,32 +5598,32 @@ circuit el2_lsu_bus_buffer : node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] - buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 544:15] - node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 547:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4275 : @[Reg.scala 28:19] _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 548:119] reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4279 : @[Reg.scala 28:19] _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 545:12] - buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 545:12] - buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 545:12] - buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 545:12] - node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 548:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 548:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 548:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 548:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5630,7 +5632,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5639,7 +5641,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5648,7 +5650,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 549:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5657,34 +5659,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 546:14] - buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 546:14] - buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 546:14] - buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 546:14] - node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 549:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 549:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 549:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 549:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 550:127] reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 547:16] - buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 547:16] - buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 547:16] - buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 550:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 550:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 550:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 550:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5717,175 +5719,175 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 548:14] - buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 548:14] - buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 548:14] - buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 548:14] - node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 549:121] - node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 549:86] - node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] - node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 549:126] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] - _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 549:82] - node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 549:121] - node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 549:86] - node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 549:126] - reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] - _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 549:82] - node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 549:121] - node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 549:86] - node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 549:126] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] - _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 549:82] - node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 549:121] - node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 549:86] - node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 549:126] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] - _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 549:82] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 551:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 551:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 551:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 551:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 552:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 552:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 552:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 552:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 552:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 552:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 552:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 552:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 552:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 552:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 552:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 552:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 552:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 552:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 552:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 552:82] node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] - buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 549:15] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 552:15] node _T_4324 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4325 = cat(_T_4324, buf_data_en[1]) @[Cat.scala 29:58] node _T_4326 = cat(_T_4325, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 550:14] + io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 553:14] node _T_4327 = cat(buf_data_in[3], buf_data_in[2]) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, buf_data_in[1]) @[Cat.scala 29:58] node _T_4329 = cat(_T_4328, buf_data_in[0]) @[Cat.scala 29:58] - io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 551:11] - node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] - node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] - node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] - node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] - node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 552:96] - node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 552:96] - node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 552:96] - node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:60] - node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 553:64] - node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:60] - node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 553:64] - node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:60] - node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 553:64] - node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:60] - node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] - node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 553:64] - node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 553:142] - node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 553:142] - node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 553:142] - buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 553:24] - node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] - node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 554:73] - node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] - node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 554:73] - node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] - node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] - node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 554:73] - node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] - node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] - node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 554:73] - node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 554:126] - node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 554:126] - node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 554:126] - buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 554:22] - node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] - node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] - node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 555:100] - node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] - node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] - node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] - node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 555:100] - node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] - node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] - node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] - node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 555:100] - node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] - node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] - node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] - node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 555:100] - node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 555:154] - node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 555:154] - node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 555:154] - buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 555:23] - node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] - node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] - node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] - node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] - node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 556:93] - node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 556:93] - node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 556:93] - any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 556:23] - node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 557:53] - io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 557:30] - node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 558:52] - node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 558:92] - node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 558:119] - node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 558:36] - io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 558:30] - node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 559:52] - node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 559:52] - node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 559:52] - node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 559:52] - node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 559:65] - node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 559:65] - node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 559:65] - node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:34] - node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:72] - node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 559:70] - node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:86] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 559:84] - io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 559:31] - node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 561:51] - node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 561:72] - node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:94] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 561:92] - node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:111] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 561:109] - io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 561:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 562:30] + io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 554:11] + node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] + node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] + node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] + node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 555:60] + node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 555:96] + node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 555:96] + node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 555:96] + node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 556:60] + node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] + node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 556:64] + node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 556:89] + node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 556:60] + node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] + node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 556:64] + node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] + node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 556:89] + node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 556:60] + node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] + node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 556:64] + node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] + node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 556:89] + node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 556:60] + node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 556:79] + node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 556:64] + node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:91] + node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 556:89] + node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 556:142] + node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 556:142] + node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 556:142] + buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 556:24] + node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] + node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 557:73] + node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] + node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 557:73] + node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] + node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 557:73] + node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 557:63] + node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 557:75] + node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 557:73] + node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 557:126] + node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 557:126] + node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 557:126] + buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 557:22] + node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] + node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 558:100] + node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 558:74] + node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] + node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 558:100] + node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 558:74] + node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] + node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 558:100] + node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 558:74] + node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 558:63] + node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 558:90] + node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 558:102] + node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 558:100] + node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 558:74] + node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 558:154] + node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 558:154] + node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 558:154] + buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 558:23] + node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] + node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] + node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] + node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 559:61] + node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 559:93] + node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 559:93] + node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 559:93] + any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 559:23] + node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 560:53] + io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 560:30] + node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 561:52] + node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 561:119] + node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 561:36] + io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 561:30] + node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 562:52] + node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 562:52] + node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 562:52] + node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 562:52] + node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 562:65] + node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 562:65] + node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 562:65] + node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:34] + node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:72] + node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 562:70] + node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:86] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 562:84] + io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 562:31] + node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 564:51] + node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 564:72] + node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:94] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 564:92] + node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:111] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 564:109] + io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 564:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 565:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:61] - node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 564:59] - io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 564:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 565:34] - node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 566:116] - node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 566:127] - node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 566:116] - node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 566:127] - node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 566:116] - node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 566:127] - node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 566:116] - node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:61] + node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 567:59] + io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 567:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 568:34] + node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 569:127] + node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 569:116] + node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 569:127] + node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 569:116] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 569:127] + node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 569:116] + node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] + node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:80] + node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 569:127] + node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 569:116] + node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:95] node _T_4445 = mux(_T_4429, _T_4432, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4446 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4447 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5895,26 +5897,26 @@ circuit el2_lsu_bus_buffer : node _T_4451 = or(_T_4450, _T_4448) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4451 @[Mux.scala 27:72] - node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 567:104] - node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 567:120] - node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] - node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 567:108] - node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 567:104] - node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 567:120] - node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] - node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 567:108] - node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 567:104] - node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 567:120] - node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] - node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 567:108] - node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] - node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 567:104] - node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 567:120] - node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] - node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] + node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 570:104] + node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] + node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 570:108] + node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] + node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 570:104] + node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] + node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 570:108] + node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] + node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 570:104] + node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] + node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 570:108] + node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:80] + node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 570:104] + node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:110] + node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 570:108] node _T_4472 = mux(_T_4452, _T_4456, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4473 = mux(_T_4457, _T_4461, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4474 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5924,39 +5926,39 @@ circuit el2_lsu_bus_buffer : node _T_4478 = or(_T_4477, _T_4475) @[Mux.scala 27:72] wire _T_4479 : UInt<1> @[Mux.scala 27:72] _T_4479 <= _T_4478 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 567:35] - node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] - node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 568:102] - node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 568:90] - node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] - node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] - node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 568:122] - node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 568:106] - node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] - node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 568:102] - node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 568:90] - node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] - node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] - node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 568:122] - node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 568:106] - node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] - node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 568:102] - node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 568:90] - node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] - node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] - node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 568:122] - node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 568:106] - node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] - node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 568:102] - node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 568:90] - node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] - node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] - node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 568:122] - node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 568:106] + io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 570:35] + node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 571:102] + node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] + node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 571:90] + node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] + node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 571:122] + node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 571:106] + node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 571:102] + node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] + node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 571:90] + node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] + node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 571:122] + node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 571:106] + node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 571:102] + node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] + node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 571:90] + node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] + node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 571:122] + node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 571:106] + node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 571:79] + node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 571:102] + node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:92] + node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 571:90] + node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:109] + node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:124] + node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 571:122] + node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 571:106] node _T_4512 = mux(_T_4487, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4513 = mux(_T_4495, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4514 = mux(_T_4503, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5966,39 +5968,39 @@ circuit el2_lsu_bus_buffer : node _T_4518 = or(_T_4517, _T_4515) @[Mux.scala 27:72] wire _T_4519 : UInt<2> @[Mux.scala 27:72] _T_4519 <= _T_4518 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 568:33] - node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 569:101] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] - node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 569:89] - node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] - node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] - node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 569:105] - node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 569:101] - node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] - node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 569:89] - node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] - node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] - node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 569:105] - node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 569:101] - node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] - node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 569:89] - node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] - node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] - node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 569:105] - node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 569:101] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] - node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 569:89] - node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] - node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] - node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 569:121] - node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 569:105] + io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 571:33] + node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] + node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 572:101] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] + node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] + node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 572:121] + node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 572:105] + node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] + node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 572:101] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] + node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] + node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 572:121] + node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 572:105] + node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] + node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 572:101] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] + node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] + node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 572:121] + node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 572:105] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 572:78] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 572:101] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] + node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 572:89] + node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:108] + node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:123] + node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 572:121] + node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 572:105] node _T_4552 = mux(_T_4527, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4553 = mux(_T_4535, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4554 = mux(_T_4543, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6008,30 +6010,30 @@ circuit el2_lsu_bus_buffer : node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4558 @[Mux.scala 27:72] - node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] - node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 570:101] - node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] - node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 570:105] - node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] - node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 570:101] - node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] - node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 570:105] - node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] - node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 570:101] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 570:105] - node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] - node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 570:101] - node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] - node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 570:89] - node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 570:120] - node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] + node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 573:101] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 573:120] + node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 573:105] + node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] + node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 573:101] + node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 573:120] + node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 573:105] + node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] + node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 573:101] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 573:120] + node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 573:105] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 573:78] + node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 573:101] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 573:120] + node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 573:105] node _T_4583 = mux(_T_4564, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4584 = mux(_T_4570, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4585 = mux(_T_4576, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6041,10 +6043,10 @@ circuit el2_lsu_bus_buffer : node _T_4589 = or(_T_4588, _T_4586) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_hi <= _T_4589 @[Mux.scala 27:72] - node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4594 = mux(_T_4590, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4595 = mux(_T_4591, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4596 = mux(_T_4592, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6054,11 +6056,11 @@ circuit el2_lsu_bus_buffer : node _T_4600 = or(_T_4599, _T_4597) @[Mux.scala 27:72] wire _T_4601 : UInt<32> @[Mux.scala 27:72] _T_4601 <= _T_4600 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 571:83] - node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 574:83] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4606 = mux(_T_4602, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4607 = mux(_T_4603, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4608 = mux(_T_4604, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6068,14 +6070,14 @@ circuit el2_lsu_bus_buffer : node _T_4612 = or(_T_4611, _T_4609) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] lsu_nonblock_sz <= _T_4612 @[Mux.scala 27:72] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_4621 = mux(_T_4613, _T_4614, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4622 = mux(_T_4615, _T_4616, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4623 = mux(_T_4617, _T_4618, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6088,14 +6090,14 @@ circuit el2_lsu_bus_buffer : node _T_4628 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_4629 = cat(_T_4628, buf_dual[1]) @[Cat.scala 29:58] node _T_4630 = cat(_T_4629, buf_dual[0]) @[Cat.scala 29:58] - node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] - node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] - node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_4639 = mux(_T_4631, _T_4632, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4640 = mux(_T_4633, _T_4634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4641 = mux(_T_4635, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6106,38 +6108,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4645 @[Mux.scala 27:72] node _T_4646 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 575:121] - node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 575:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 576:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 577:14] - node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:69] - node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 578:67] - io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 578:35] - node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:81] - node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 579:63] - node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 579:131] + node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 578:121] + node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 578:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 579:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 580:14] + node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:69] + node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 581:67] + io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 581:35] + node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:81] + node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 582:131] node _T_4653 = cat(UInt<24>("h00"), _T_4652) @[Cat.scala 29:58] - node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:45] - node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 580:26] - node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 580:95] + node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 583:45] + node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 583:26] + node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 583:95] node _T_4657 = cat(UInt<16>("h00"), _T_4656) @[Cat.scala 29:58] - node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:6] - node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:45] - node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 581:27] - node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 581:93] + node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:6] + node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:45] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 584:27] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 584:93] node _T_4662 = bits(_T_4661, 0, 0) @[Bitwise.scala 72:15] node _T_4663 = mux(_T_4662, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 581:123] + node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 584:123] node _T_4665 = cat(_T_4663, _T_4664) @[Cat.scala 29:58] - node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:6] - node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 582:45] - node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 582:27] - node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 582:93] + node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:6] + node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 585:45] + node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 585:27] + node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 585:93] node _T_4670 = bits(_T_4669, 0, 0) @[Bitwise.scala 72:15] node _T_4671 = mux(_T_4670, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 582:124] + node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 585:124] node _T_4673 = cat(_T_4671, _T_4672) @[Cat.scala 29:58] - node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 583:21] + node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:21] node _T_4675 = mux(_T_4651, _T_4653, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4676 = mux(_T_4655, _T_4657, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4677 = mux(_T_4660, _T_4665, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6149,75 +6151,75 @@ circuit el2_lsu_bus_buffer : node _T_4683 = or(_T_4682, _T_4679) @[Mux.scala 27:72] wire _T_4684 : UInt<64> @[Mux.scala 27:72] _T_4684 <= _T_4683 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 579:29] - node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] - node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] - node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] - node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] - node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 584:89] - node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] - node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 584:141] - node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 584:141] - node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 584:141] - bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 584:23] - node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] - node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] - node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] - node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] - node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 586:56] - node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 586:38] - node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:126] - node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 586:114] - node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 586:78] - node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] - node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] - node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] - node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] - node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 586:56] - node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 586:38] - node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 586:126] - node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 586:114] - node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 586:78] - node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] - node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] - node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] - node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] - node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 586:56] - node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 586:38] - node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:126] - node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 586:114] - node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 586:78] - node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] - node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] - node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] - node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] - node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 586:56] - node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 586:38] - node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:126] - node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 586:114] - node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 586:100] - node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 586:78] + io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 582:29] + node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] + node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 587:73] + node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] + node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] + node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 587:73] + node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] + node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] + node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 587:73] + node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] + node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 587:62] + node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 587:89] + node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 587:73] + node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 587:93] + node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 587:141] + node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 587:141] + node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 587:141] + bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 587:23] + node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] + node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] + node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] + node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] + node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 589:56] + node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 589:38] + node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:126] + node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 589:114] + node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 589:100] + node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] + node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] + node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] + node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] + node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 589:56] + node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 589:38] + node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 589:126] + node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 589:114] + node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 589:100] + node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] + node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] + node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] + node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] + node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 589:56] + node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 589:38] + node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 589:126] + node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 589:114] + node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 589:100] + node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 588:71] + node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 589:25] + node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 589:50] + node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 589:70] + node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 589:56] + node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 589:38] + node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 589:126] + node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 589:114] + node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 589:100] + node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 589:78] node _T_4752 = mux(_T_4704, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4753 = mux(_T_4716, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4754 = mux(_T_4728, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6227,117 +6229,117 @@ circuit el2_lsu_bus_buffer : node _T_4758 = or(_T_4757, _T_4755) @[Mux.scala 27:72] wire _T_4759 : UInt<1> @[Mux.scala 27:72] _T_4759 <= _T_4758 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 585:26] - node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 588:54] - node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 588:75] - node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 588:150] - node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 588:39] - node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 588:23] - bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 588:17] - node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 589:39] - bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 589:17] - node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 590:39] - bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 590:18] - node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 591:35] - node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 591:70] - node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 591:52] - node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 591:111] - node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 591:89] - bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 591:16] - node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 592:37] - bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 592:16] - node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 593:38] - bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 593:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 594:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 595:21] - node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:60] - node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 596:40] - bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 596:23] - node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:58] - node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 597:38] - bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 597:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 598:17] - node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 601:36] - node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:51] - node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 601:49] - node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:68] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 601:66] - io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 601:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] - node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] + bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 588:26] + node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 591:54] + node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 591:75] + node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 591:150] + node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 591:39] + node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 591:23] + bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 591:17] + node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 592:39] + bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 592:17] + node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 593:39] + bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 593:18] + node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 594:35] + node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 594:70] + node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 594:52] + node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 594:111] + node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 594:89] + bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 594:16] + node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 595:37] + bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 595:16] + node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 596:38] + bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 596:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 597:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 598:21] + node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:60] + node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 599:40] + bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 599:23] + node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:58] + node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 600:38] + bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 600:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 601:17] + node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 604:36] + node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:51] + node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 604:49] + node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:68] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 604:66] + io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 604:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 605:19] + node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:69] node _T_4784 = cat(_T_4783, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 603:27] - io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 603:21] + node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 606:27] + io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 606:21] node _T_4786 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] - io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 604:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] - node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] - io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 606:22] - node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] - io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 607:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] - node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 613:35] - node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:50] - node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 613:48] - node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:68] - node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 613:66] - io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 613:21] + node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:27] + io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 607:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:21] + node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 609:28] + io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 609:22] + node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 610:35] + io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 610:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 612:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 613:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 614:21] + node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 616:35] + node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:50] + node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 616:48] + node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:68] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 616:66] + io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 616:21] node _T_4795 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4796 = mux(_T_4795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 614:35] - io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 614:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 615:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 616:20] - node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:38] - node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 618:36] - node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:52] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 618:50] - node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:67] - node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 618:65] - io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 618:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 619:19] - node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 620:69] + node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 617:35] + io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 617:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 618:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 619:20] + node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:38] + node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 621:36] + node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:52] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 621:50] + node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:67] + node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 621:65] + io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 621:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 622:19] + node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 623:69] node _T_4805 = cat(_T_4804, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 620:27] - io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 620:21] + node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 623:27] + io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 623:21] node _T_4807 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 621:27] - io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 621:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] - node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 623:28] - io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 623:22] - node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 624:35] - io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 624:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 626:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 629:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 630:21] - node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] - node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 631:125] - node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 631:114] - node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 631:140] - node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 631:129] - node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] - node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 631:125] - node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 631:114] - node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 631:140] - node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 631:129] - node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] - node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 631:125] - node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 631:114] - node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 631:140] - node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 631:129] - node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] - node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 631:125] - node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 631:114] - node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 631:140] - node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 624:27] + io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 624:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:21] + node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 626:28] + io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 626:22] + node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 627:35] + io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 627:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 629:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 632:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:21] + node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] + node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 634:125] + node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 634:114] + node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 634:140] + node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 634:129] + node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] + node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 634:125] + node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 634:114] + node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 634:140] + node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 634:129] + node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] + node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 634:125] + node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 634:114] + node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 634:140] + node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 634:129] + node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 634:81] + node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 634:125] + node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 634:114] + node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 634:140] + node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 634:129] node _T_4831 = mux(_T_4811, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4832 = mux(_T_4816, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4833 = mux(_T_4821, _T_4825, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6347,36 +6349,36 @@ circuit el2_lsu_bus_buffer : node _T_4837 = or(_T_4836, _T_4834) @[Mux.scala 27:72] wire _T_4838 : UInt<1> @[Mux.scala 27:72] _T_4838 <= _T_4837 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 631:36] - node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 632:87] - node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 632:109] - node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 632:98] - node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 632:124] - node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 632:113] - node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 632:87] - node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 632:109] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:98] - node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 632:124] - node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 632:113] + io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 634:36] + node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 635:87] + node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 635:109] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 635:98] + node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 635:124] + node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 635:113] + node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 635:87] + node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 635:109] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 635:98] + node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 635:124] + node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 635:113] node _T_4849 = mux(_T_4843, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4850 = mux(_T_4848, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4851 = or(_T_4849, _T_4850) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4851 @[Mux.scala 27:72] - node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:72] - node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 634:70] - io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 634:35] - node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:72] + node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 637:70] + io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 637:35] + node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4856 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4857 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4858 = or(_T_4856, _T_4857) @[Mux.scala 27:72] wire _T_4859 : UInt<32> @[Mux.scala 27:72] _T_4859 <= _T_4858 @[Mux.scala 27:72] - node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] - node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4864 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4865 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4866 = mux(_T_4862, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6386,44 +6388,44 @@ circuit el2_lsu_bus_buffer : node _T_4870 = or(_T_4869, _T_4867) @[Mux.scala 27:72] wire _T_4871 : UInt<32> @[Mux.scala 27:72] _T_4871 <= _T_4870 @[Mux.scala 27:72] - node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 635:41] - io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 635:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 638:23] - node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 641:46] - node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 641:89] - node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 641:68] - node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 641:132] - node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 641:110] - io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 641:23] - node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 642:48] - node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 642:65] - io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 642:29] - node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 643:59] - io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 643:24] - node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:48] - node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 645:46] - node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:92] - node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 645:90] - node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 645:69] - node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:136] - node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 645:134] - node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 645:112] - io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 645:23] - reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 647:49] - _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 647:49] - WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 647:12] - reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 648:49] - _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 648:49] - WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 648:12] - node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:75] - node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 649:73] - node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:89] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 649:87] - reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 649:56] - _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 649:56] - io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 649:19] - reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 650:66] - _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 650:66] - lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 650:29] + node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 638:41] + io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 638:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 641:23] + node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 644:46] + node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 644:89] + node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 644:68] + node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 644:132] + node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 644:110] + io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 644:23] + node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 645:48] + node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 645:65] + io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 645:29] + node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 646:59] + io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 646:24] + node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:48] + node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 648:46] + node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:92] + node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 648:90] + node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 648:69] + node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:136] + node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 648:134] + node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 648:112] + io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 648:23] + reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 650:49] + _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 650:49] + WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 650:12] + reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 651:49] + _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 651:49] + WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 651:12] + node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 652:75] + node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 652:73] + node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 652:89] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 652:87] + reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 652:56] + _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 652:56] + io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 652:19] + reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 653:66] + _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 653:66] + lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 653:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index dd30eefd..206bb168 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -147,7 +147,9 @@ module el2_lsu_bus_buffer( output [3:0] io_data_en, output [1:0] io_Cmdptr0, output [1:0] io_Cmdptr1, - output [1:0] io_WrPtr1_r + output [1:0] io_WrPtr1_r, + output [1:0] io_WrPtr1_m, + output [63:0] io_wdata_in ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -306,565 +308,565 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 131:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 132:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 133:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 134:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 134:98] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 134:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 136:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 134:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 134:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 136:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 134:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 134:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 136:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 134:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 134:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 135:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 135:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 135:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 135:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 135:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 135:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 135:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 135:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 136:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 550:16] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 531:60] - wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 534:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 389:13] - wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 486:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 392:13] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 489:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 486:104] - wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 486:104] - wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 486:91] - wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 486:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 383:54] - wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 382:55] - wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 489:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 489:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 489:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 489:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 386:54] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 385:55] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 444:104] - wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 447:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 486:48] - wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 486:104] - wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 486:91] - wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] - wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 489:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 489:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 489:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 444:104] - wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 447:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 486:48] - wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 486:104] - wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 486:91] - wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] - wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 489:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 489:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 489:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 444:104] - wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 447:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 447:94] wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 486:48] - wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 486:104] - wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 486:91] - wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] - wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 489:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 489:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 489:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 489:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 489:148] wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 444:104] - wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 447:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] - wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 448:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 202:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 204:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 208:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 210:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 208:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 276:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 208:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 208:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 210:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 278:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 210:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 210:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 213:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 213:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 202:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 531:60] - wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 215:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 215:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 534:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] - wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 448:106] wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 531:60] - wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 534:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] - wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 448:106] wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 531:60] - wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] - wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 534:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 447:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 447:78] wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] - wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 445:91] - wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 448:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 448:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 448:106] wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 194:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 194:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 196:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 202:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 204:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 194:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 194:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 196:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 196:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 202:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 204:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 194:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 194:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 197:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 196:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 196:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 199:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 202:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 202:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 202:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 202:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 204:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 204:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 204:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 204:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 194:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 196:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 196:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 209:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 209:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 209:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 209:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 214:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 214:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 203:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 211:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 211:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 211:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 211:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 216:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 216:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 195:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 195:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 203:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 195:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 195:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 203:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 195:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 195:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 198:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 203:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 203:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 203:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 203:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 195:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 197:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 220:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 220:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 220:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 220:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 220:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 220:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 220:123] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 222:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 221:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 221:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 221:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 223:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 222:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 222:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 222:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 224:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 223:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 223:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 223:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 225:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 225:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 225:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 227:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 227:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 227:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 226:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 226:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 228:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 228:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 228:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 227:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 227:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 229:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 229:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 229:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 228:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 228:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 230:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 230:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 230:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] @@ -872,224 +874,224 @@ module el2_lsu_bus_buffer( wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] wire [7:0] _T_747 = {4'h0,ldst_byteen_r}; // @[Cat.scala 29:58] - wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 234:45] - wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 234:45] - wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 235:32] - wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 236:32] + wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 236:45] + wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 236:45] + wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 237:32] + wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 238:32] wire [63:0] _T_749 = {32'h0,io_store_data_r}; // @[Cat.scala 29:58] - wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 237:58] - wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 237:58] - wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 237:52] - wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 237:52] - wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 238:35] - wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 239:35] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 240:40] - wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 241:74] - wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 242:26] + wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 239:58] + wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 239:58] + wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 239:52] + wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 239:52] + wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 240:35] + wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 241:35] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 242:40] + wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 243:74] + wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 244:26] wire _T_758 = io_lsu_pkt_r_word & _T_755; // @[Mux.scala 27:72] wire _T_759 = io_lsu_pkt_r_half & _T_757; // @[Mux.scala 27:72] wire _T_761 = _T_758 | _T_759; // @[Mux.scala 27:72] wire is_aligned_r = _T_761 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 244:55] - wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 244:34] - wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 244:79] - wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 244:77] - wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 245:36] - wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 245:56] - wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 245:54] - wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 247:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 289:59] - wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 253:62] - wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 253:48] - wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 271:54] - wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 271:75] - wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 271:88] - wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 271:124] - wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 271:101] - wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 271:147] - wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 271:145] - wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 271:170] - wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 271:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 272:20] - wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 253:98] - wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 253:82] - wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 253:80] - wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 254:5] - wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 248:44] - wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 248:42] - wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 248:61] - wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 248:115] - wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 248:95] - wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 248:74] - wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 254:16] + wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 246:55] + wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 246:34] + wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 246:79] + wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 246:77] + wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 247:36] + wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 247:56] + wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 247:54] + wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 249:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 291:59] + wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 255:62] + wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 255:48] + wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 273:54] + wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:75] + wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 273:88] + wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 273:124] + wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 273:101] + wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 273:147] + wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 273:145] + wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 273:170] + wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 273:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 274:20] + wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 255:98] + wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 255:82] + wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 255:80] + wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 256:5] + wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 250:44] + wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 250:42] + wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 250:61] + wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 250:115] + wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 250:95] + wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 250:74] + wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 256:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 254:35] - wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 254:55] - wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 254:53] - wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 254:67] - wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 253:32] - wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 247:34] - wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 247:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 648:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 647:49] + wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 256:35] + wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 256:55] + wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 256:53] + wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 256:67] + wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 255:32] + wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 249:34] + wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 249:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 651:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 650:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 263:77] + wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 265:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 268:8] - wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 267:46] - wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 268:8] - wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 267:46] - wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 268:8] - wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 267:46] - wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 268:8] - wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 270:8] + wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 269:46] + wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 270:8] + wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 269:46] + wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 270:8] + wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 269:46] + wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 270:8] + wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 269:46] wire [23:0] _T_827 = {_T_825,_T_818,_T_811}; // @[Cat.scala 29:58] - wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 269:59] - wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 269:93] - wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 273:65] - wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 273:63] - wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 273:96] - wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 273:48] - wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 273:96] - wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 273:48] - wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 273:96] - wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 273:48] - wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 273:96] - wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 271:59] + wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 271:93] + wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 275:65] + wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 275:63] + wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 275:96] + wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 275:48] + wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 275:96] + wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 275:48] + wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 275:96] + wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 275:48] + wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 275:96] + wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 275:48] wire [3:0] ibuf_byteen_out = {_T_873,_T_866,_T_859,_T_852}; // @[Cat.scala 29:58] - wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 274:45] - wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 274:45] - wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 274:45] - wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 276:45] + wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 276:45] + wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 276:45] + wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 276:45] wire [31:0] ibuf_data_out = {_T_907,_T_899,_T_891,_T_883}; // @[Cat.scala 29:58] - wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 276:28] - wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 276:63] - wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 277:89] + wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 278:28] + wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 278:63] + wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 279:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 553:64] - wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 553:91] - wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 553:89] - wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 553:64] - wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 553:91] - wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 553:89] - wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 553:142] - wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 553:64] - wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 553:91] - wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 553:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 553:142] - wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 553:142] - wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 553:64] - wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 553:91] - wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 553:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 553:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 553:142] - wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 300:43] - wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 554:73] - wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 554:73] - wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 554:126] - wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 554:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 554:126] - wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 554:126] - wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 554:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 554:126] - wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 554:126] - wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 300:72] - wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 300:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 398:54] - wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 300:97] - wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 300:80] - wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 300:114] + wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 556:64] + wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 556:91] + wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 556:89] + wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 556:64] + wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 556:91] + wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 556:89] + wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 556:142] + wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 556:64] + wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 556:91] + wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 556:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 556:142] + wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 556:142] + wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 556:64] + wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 556:91] + wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 556:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 556:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 556:142] + wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 302:43] + wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 557:73] + wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 557:73] + wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 557:126] + wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 557:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 557:126] + wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 557:126] + wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 557:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 557:126] + wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 557:126] + wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 302:72] + wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 302:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 401:54] + wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 302:97] + wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 302:80] + wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 302:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 301:31] - wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 301:29] + wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 303:31] + wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 303:29] reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] - wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 302:5] - wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 301:140] - wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 304:58] - wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 304:72] - wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 304:123] - wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 304:101] - wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 302:119] - wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 302:117] - wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 303:75] - wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 303:95] - wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 303:79] - wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 303:121] - wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] - wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 555:74] - wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] - wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 555:74] - wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 555:154] - wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] - wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 555:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 555:154] - wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 555:154] - wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] - wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 555:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 555:154] - wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 555:154] - wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 306:53] - wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 306:31] - wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 306:64] - wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 306:84] - wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 306:61] - wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 321:32] - wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] - wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 584:73] - wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] - wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] - wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 584:73] - wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] - wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 584:141] - wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] - wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 584:73] - wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] - wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 584:141] - wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] - wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 584:73] - wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] - wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 584:141] - wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 321:74] - wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 321:52] - wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 321:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 410:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 410:45] - wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 410:63] - wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 410:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 410:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 410:45] - wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 410:63] - wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 410:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 410:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 410:45] - wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 410:63] - wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 410:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 410:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 410:45] - wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 410:63] - wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 304:5] + wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 303:140] + wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 306:58] + wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 306:72] + wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 306:123] + wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 306:101] + wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 304:119] + wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 304:117] + wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 305:75] + wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 305:95] + wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 305:79] + wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 305:121] + wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] + wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 558:74] + wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] + wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 558:74] + wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 558:154] + wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] + wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 558:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 558:154] + wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 558:154] + wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 558:63] + wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 558:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 558:154] + wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 558:154] + wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 308:53] + wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 308:31] + wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 308:64] + wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 308:84] + wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 308:61] + wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 323:32] + wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] + wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 587:73] + wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] + wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] + wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 587:73] + wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] + wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 587:141] + wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] + wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 587:73] + wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] + wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 587:141] + wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 587:62] + wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 587:73] + wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 587:93] + wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 587:141] + wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 323:74] + wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 323:52] + wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 323:50] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 413:58] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 413:45] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 413:63] + wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 413:88] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 413:58] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 413:45] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 413:63] + wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 413:88] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 413:58] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 413:45] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 413:63] + wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 413:88] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 413:58] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 413:45] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 413:63] + wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 413:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 415:31] - wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 322:47] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 418:31] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 324:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 323:23] - wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 323:21] - wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 323:141] - wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 323:105] - wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 323:103] + wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 325:23] + wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 325:21] + wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 325:141] + wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 325:105] + wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 325:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1100,418 +1102,421 @@ module el2_lsu_bus_buffer( reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] wire [3:0] _T_1096 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 324:77] - wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 324:150] - wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 324:148] - wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 324:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 411:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 411:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 411:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 411:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 411:81] - wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 411:98] - wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 411:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 411:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 411:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 411:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 411:81] - wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 411:98] - wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 411:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 411:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 411:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 411:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 411:81] - wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 411:98] - wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 411:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 411:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 411:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 411:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 411:81] - wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 411:98] - wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 411:123] + wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 326:77] + wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 326:150] + wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 326:148] + wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 326:8] + wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 414:62] + wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] + wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 414:76] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 414:45] + wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 414:83] + wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 414:81] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 414:98] + wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 414:123] + wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] + wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 414:76] + wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 414:45] + wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 414:83] + wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 414:81] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 414:98] + wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 414:123] + wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] + wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 414:76] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 414:45] + wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 414:83] + wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 414:81] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 414:98] + wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 414:123] + wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 414:59] + wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 414:76] + wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 414:45] + wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 414:83] + wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 414:81] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 414:98] + wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 414:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 416:31] - wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 324:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 419:31] + wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 326:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 324:197] - wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 324:269] - wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 323:164] - wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 321:98] + wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 326:197] + wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 326:269] + wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 325:164] + wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 323:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 385:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 386:55] - wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 588:54] - wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 588:75] - wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 588:39] - wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 588:23] - wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 325:48] - wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 325:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 388:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 389:55] + wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 591:54] + wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 591:75] + wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 591:39] + wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 591:23] + wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 327:48] + wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 327:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 325:60] - wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 325:29] - wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 325:77] - wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 325:75] + wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 327:60] + wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 327:29] + wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 327:77] + wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 327:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] - wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 586:38] - wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 586:126] - wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 586:114] - wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 586:100] - wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 586:80] - wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 586:78] + wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] + wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 589:38] + wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 589:126] + wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 589:114] + wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 589:100] + wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 589:80] + wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 589:78] wire _T_4752 = _T_4685 & _T_4715; // @[Mux.scala 27:72] - wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] - wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 586:38] - wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 586:126] - wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 586:114] - wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 586:100] - wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 586:80] - wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 586:78] + wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] + wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 589:38] + wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 589:126] + wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 589:114] + wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 589:100] + wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 589:80] + wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 589:78] wire _T_4753 = _T_4689 & _T_4727; // @[Mux.scala 27:72] wire _T_4756 = _T_4752 | _T_4753; // @[Mux.scala 27:72] - wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] - wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 586:38] - wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 586:126] - wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 586:114] - wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 586:100] - wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 586:80] - wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 586:78] + wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] + wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 589:38] + wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 589:126] + wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 589:114] + wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 589:100] + wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 589:80] + wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 589:78] wire _T_4754 = _T_4693 & _T_4739; // @[Mux.scala 27:72] wire _T_4757 = _T_4756 | _T_4754; // @[Mux.scala 27:72] - wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] - wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 586:38] - wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 586:126] - wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 586:114] - wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 586:100] - wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 586:80] - wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 586:78] + wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 589:56] + wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 589:38] + wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 589:126] + wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 589:114] + wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 589:100] + wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 589:80] + wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 589:78] wire _T_4755 = _T_4697 & _T_4751; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4757 | _T_4755; // @[Mux.scala 27:72] - wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 325:118] - wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 325:116] - wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 325:142] - wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 327:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 589:39] - wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 591:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 590:39] - wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 591:70] - wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 591:52] - wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 591:111] - wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 591:89] - wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 327:33] - wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 327:65] - wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 327:63] - wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 327:77] - wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 327:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 328:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 330:25] + wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 327:118] + wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 327:116] + wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 327:142] + wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 329:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 592:39] + wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 594:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 593:39] + wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 594:70] + wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 594:52] + wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 594:111] + wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 594:89] + wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 329:33] + wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 329:65] + wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 329:63] + wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 329:77] + wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 329:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 330:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 332:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 333:23] - wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 342:39] - wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 342:26] - wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 346:72] - wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 346:98] - wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 346:96] - wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 346:79] - wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 346:153] - wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 346:134] - wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 346:132] - wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 346:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 346:28] - wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 360:40] - wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 360:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 335:23] + wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 344:39] + wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 344:26] + wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 348:72] + wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 348:98] + wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 348:96] + wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 348:79] + wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 348:153] + wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 348:134] + wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 348:132] + wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 348:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 348:28] + wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 362:40] + wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 362:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 360:80] - wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 360:78] - wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 360:99] - wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 360:97] - wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 360:113] - wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 360:111] - wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 360:130] - wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 360:128] - wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 361:20] - wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 361:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 387:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 592:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 388:55] - wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 361:90] - wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 361:70] - wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 361:55] - wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 361:53] - wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 361:34] - wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 360:165] - wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 354:44] - wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 354:42] - wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 354:29] - wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 354:61] - wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 354:79] - wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 355:20] - wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 355:37] - wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 355:35] - wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 357:44] + wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 362:80] + wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 362:78] + wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 362:99] + wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 362:97] + wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 362:113] + wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 362:111] + wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 362:130] + wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 362:128] + wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 363:20] + wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 363:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 390:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 595:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 391:55] + wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 363:90] + wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 363:70] + wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 363:55] + wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 363:53] + wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 363:34] + wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 362:165] + wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 356:44] + wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 356:42] + wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 356:29] + wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 356:61] + wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 356:79] + wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 357:20] + wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 357:37] + wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 357:35] + wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 359:44] wire [7:0] _T_1284 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1285 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 362:46] + wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 364:46] wire [7:0] _T_1313 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1326 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 363:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 362:28] + wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 365:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] wire [7:0] _T_1329 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1330 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 364:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] + wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 366:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 366:28] wire [63:0] _T_1374 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1375 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1376 = io_lsu_addr_r[2] ? _T_1374 : _T_1375; // @[el2_lsu_bus_buffer.scala 367:44] + wire [63:0] _T_1376 = io_lsu_addr_r[2] ? _T_1374 : _T_1375; // @[el2_lsu_bus_buffer.scala 369:44] wire [63:0] _T_1403 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1416 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 368:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1376 : _T_1417; // @[el2_lsu_bus_buffer.scala 367:26] + wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 370:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1376 : _T_1417; // @[el2_lsu_bus_buffer.scala 369:26] wire [63:0] _T_1419 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1420 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 369:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 369:26] + wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 371:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 371:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 380:19] - wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 380:35] - wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 371:63] - wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 383:19] + wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 383:35] + wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 373:63] + wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 373:63] wire [7:0] obuf_byteen_in = {_T_1494,_T_1490,_T_1486,_T_1482,_T_1478,_T_1474,_T_1470,_T_1466}; // @[Cat.scala 29:58] - wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 372:44] - wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 374:44] + wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 374:44] wire [55:0] _T_1546 = {_T_1540,_T_1535,_T_1530,_T_1525,_T_1520,_T_1515,_T_1510}; // @[Cat.scala 29:58] - wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 383:58] - wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 383:93] + wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 386:58] + wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 386:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 400:97] - wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 400:86] - wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 401:33] - wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 401:22] - wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 400:106] - wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 401:72] - wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 401:60] - wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 401:42] - wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 400:72] - wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 400:70] - wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] - wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 400:97] - wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 400:86] - wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 401:33] - wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 401:22] - wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 400:106] - wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 401:72] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 401:60] - wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 401:42] - wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 400:72] - wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 400:70] - wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] - wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 400:97] - wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 400:86] - wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 401:33] - wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 401:22] - wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 400:106] - wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 401:72] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 401:60] - wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 401:42] - wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 400:72] - wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 400:70] - wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] - wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 400:97] - wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 400:86] - wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 401:33] - wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 401:22] - wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 400:106] - wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 401:72] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 401:60] - wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 401:42] - wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 400:72] - wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] + wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 403:97] + wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 403:86] + wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 404:72] + wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 404:60] + wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] + wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 403:97] + wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 403:86] + wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 404:72] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 404:60] + wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] + wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 403:97] + wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 403:86] + wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 404:72] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 404:60] + wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 403:59] + wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 403:97] + wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 403:86] + wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 404:72] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 404:60] + wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 403:70] wire [1:0] _T_1823 = _T_1822 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1824 = _T_1811 ? 2'h2 : _T_1823; // @[Mux.scala 98:16] wire [1:0] _T_1825 = _T_1800 ? 2'h1 : _T_1824; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1789 ? 2'h0 : _T_1825; // @[Mux.scala 98:16] - wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 404:83] - wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 403:70] - wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 404:83] - wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 403:70] - wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 404:83] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 403:70] - wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 404:33] - wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 404:22] - wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 403:106] - wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 404:42] - wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 404:83] - wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 403:72] - wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 403:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 532:63] - wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] - wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] - wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] - wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] - wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 407:33] + wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 407:22] + wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 406:106] + wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 407:42] + wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 407:83] + wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 406:72] + wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 406:70] + wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 407:33] + wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 407:22] + wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 406:106] + wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 407:42] + wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 407:83] + wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 406:72] + wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 406:70] + wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 407:33] + wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 407:22] + wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 406:106] + wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 407:42] + wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 407:83] + wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 406:72] + wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 406:70] + wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 407:33] + wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 407:22] + wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 406:106] + wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 407:42] + wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 407:83] + wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 406:72] + wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 406:70] + wire [1:0] _T_1883 = _T_1882 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1884 = _T_1868 ? 2'h2 : _T_1883; // @[Mux.scala 98:16] + wire [1:0] _T_1885 = _T_1854 ? 2'h1 : _T_1884; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 535:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 449:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 414:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 414:44] - wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 414:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 532:63] - wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 417:65] + wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 417:44] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 417:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 535:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 414:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 414:44] - wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 414:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 532:63] - wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 417:65] + wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 417:44] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 417:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 535:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 414:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 414:44] - wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 414:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 532:63] - wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] - wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 417:65] + wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 417:44] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 417:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 535:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 449:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 449:89] wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 414:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 414:44] - wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 414:70] + wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 417:65] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 417:44] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 417:70] wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 418:42] - wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 418:48] - wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:54] - wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 418:67] - wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 418:73] - wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:79] - wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 418:92] - wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 418:98] - wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:104] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 421:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 421:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 421:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 421:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 421:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 421:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 421:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 421:104] wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] - wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 475:77] - wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 475:97] - wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 475:95] - wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 475:112] - wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 475:144] - wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] - wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 475:161] - wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 475:132] - wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 475:63] - wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] - wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 475:201] - wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 475:183] - wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:46] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 478:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 478:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 478:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 478:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 478:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 478:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 478:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 478:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 478:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 485:46] wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 593:38] - wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 500:73] - wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 500:52] - wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 501:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 596:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 503:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 503:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 504:46] reg _T_4216; // @[Reg.scala 27:20] reg _T_4214; // @[Reg.scala 27:20] reg _T_4212; // @[Reg.scala 27:20] reg _T_4210; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 502:27] - wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 501:77] - wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 503:26] - wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 503:42] - wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 503:58] + wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 505:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 506:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 506:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 506:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 503:74] - wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 502:71] - wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 501:25] - wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 500:105] + wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 506:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 505:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 504:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 503:105] wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 515:21] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 518:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 515:58] - wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 515:38] - wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 514:95] - wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 514:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 518:58] + wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 518:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 517:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 517:45] wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 426:10] - wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 520:37] - wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] - wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 520:80] - wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 520:65] - wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 429:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 523:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 523:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 523:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] @@ -1519,93 +1524,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 438:94] - wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 440:23] - wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 440:41] - wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 440:71] - wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 441:17] - wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 441:35] - wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 441:52] - wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 441:94] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 443:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 443:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 443:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 444:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 444:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 444:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 444:97] wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] - wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 475:112] - wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] - wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 475:161] - wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 475:132] - wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 475:63] - wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] - wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 475:201] - wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 475:183] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 478:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 478:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 478:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 478:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 478:183] wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 500:73] - wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 500:52] - wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 501:46] - wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 502:27] - wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 501:77] - wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 503:26] - wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 503:44] - wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 503:42] - wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 503:58] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 503:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 503:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 504:46] + wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 505:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 506:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 506:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 506:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 506:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 503:74] - wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 502:71] - wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 501:25] - wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 500:105] + wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 506:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 505:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 504:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 503:105] wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 515:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 515:58] - wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 515:38] - wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 514:95] - wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 514:45] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 518:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 518:58] + wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 518:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 517:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 517:45] wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 520:37] - wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] - wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 520:80] - wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 520:65] - wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 523:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 523:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 523:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] @@ -1613,89 +1618,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 438:94] - wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 440:71] - wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 441:52] - wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 441:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 443:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 444:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 444:97] wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] - wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 475:112] - wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] - wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 475:161] - wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 475:132] - wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 475:63] - wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] - wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 475:201] - wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 475:183] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 478:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 478:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 478:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 478:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 478:183] wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 500:73] - wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 500:52] - wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 501:46] - wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 502:27] - wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 501:77] - wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 503:26] - wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 503:44] - wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 503:42] - wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 503:58] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 503:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 503:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 504:46] + wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 505:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 506:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 506:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 506:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 506:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 503:74] - wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 502:71] - wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 501:25] - wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 500:105] + wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 506:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 505:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 504:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 503:105] wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 515:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 515:58] - wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 515:38] - wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 514:95] - wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 514:45] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 518:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 518:58] + wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 518:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 517:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 517:45] wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 520:37] - wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] - wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 520:80] - wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 520:65] - wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 523:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 523:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 523:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] @@ -1703,89 +1708,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 438:94] - wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 440:71] - wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 441:52] - wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 441:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 443:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 444:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 444:97] wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] - wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 475:112] - wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] - wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 475:161] - wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 475:132] - wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 475:63] - wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] - wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 475:201] - wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 475:183] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 478:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 478:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 478:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 478:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 478:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 478:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 478:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 478:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 478:183] wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 500:73] - wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 500:52] - wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 501:46] - wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 502:47] - wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 502:27] - wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 501:77] - wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 503:26] - wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 503:44] - wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 503:42] - wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 503:58] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 503:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 503:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 504:46] + wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 505:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 505:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 504:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 506:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 506:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 506:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 506:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 503:94] - wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 503:74] - wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 502:71] - wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 501:25] - wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 500:105] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 506:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 506:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 505:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 504:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 503:105] wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 515:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 515:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 515:58] - wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 515:58] - wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 515:38] - wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 514:95] - wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 514:45] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 518:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 518:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 518:58] + wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 518:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 518:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 517:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 517:45] wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 491:70] wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 520:37] - wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] - wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 520:80] - wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 520:65] - wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 523:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 523:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 523:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 523:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 523:112] wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] @@ -1793,243 +1798,243 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 438:94] - wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 440:71] - wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 441:52] - wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 441:97] - wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] - wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 439:86] - wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] - wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 440:114] - wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 438:113] - wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 441:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 443:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 444:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 444:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 443:92] + wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 442:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 441:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 444:97] wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] - wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 449:34] - wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 449:8] - wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 449:34] - wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 449:8] - wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 449:34] - wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 449:8] - wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 449:34] - wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 449:8] - wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 452:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 452:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 452:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 452:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 452:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 452:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 452:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 452:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 451:114] wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] - wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 451:114] wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 451:114] wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 448:114] - wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 449:61] - wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 450:112] - wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 451:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 452:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 451:114] wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 453:86] - wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 453:86] - wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 453:86] - wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 453:112] - wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 453:86] - wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 456:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 456:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 456:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 456:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 456:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] - wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 455:90] wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] - wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] - wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 455:90] wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] - wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] - wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 455:90] wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] - wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] - wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 456:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 456:84] wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] - wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 452:90] - wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 455:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 455:90] wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] - wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 458:65] - wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 458:65] - wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 458:65] - wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 461:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 461:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 461:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 461:65] wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] - wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 459:123] - wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] - wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 459:48] - wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 459:123] - wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] - wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 459:48] - wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 459:123] - wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] - wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 459:48] - wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 459:123] - wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] - wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 459:48] - wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] - wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] - wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] - wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 462:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 462:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 462:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 462:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 462:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 462:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 462:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 462:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 462:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 464:47] wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] - wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 465:49] wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] - wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 463:86] - wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] - wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] - wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] - wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 466:50] wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] - wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 464:49] - wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 464:49] - wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 464:49] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 467:49] wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] - wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] - wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] - wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] - wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 469:53] wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] - wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] - wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] - wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] - wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 470:49] wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] - wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] - wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] - wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 472:48] wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] - wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 485:89] - wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 485:104] - wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 490:44] - wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] - wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] - wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] - wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] - wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 597:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 597:38] - wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] - wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 508:31] - wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 508:46] - wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 507:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 596:40] - wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 509:53] - wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 508:88] - wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 507:68] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 488:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 488:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 493:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] + wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 600:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 600:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 510:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 511:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 511:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 510:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 599:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 512:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 511:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 510:68] wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 497:73] - wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 497:55] - wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 498:30] - wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 498:28] - wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 498:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 498:61] - wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 556:93] - wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 556:93] - wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 556:93] - wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] - wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 497:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 500:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 501:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 501:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 501:61] + wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 559:93] + wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 559:93] + wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 559:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2037,18 +2042,18 @@ module el2_lsu_bus_buffer( wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] - wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 499:101] - wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] - wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 499:138] - wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] - wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 499:53] - wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] - wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] - wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 510:50] - wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 510:48] - wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] - wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 513:90] - wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 502:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 502:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 502:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 513:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 513:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2071,41 +2076,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_0 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 490:44] - wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] - wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] - wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] - wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] - wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] - wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 508:31] - wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 508:46] - wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 507:143] - wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 509:53] - wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 508:88] - wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 507:68] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 493:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 510:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 511:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 511:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 510:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 512:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 511:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 510:68] wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 497:55] - wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 498:30] - wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 498:28] - wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 498:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 498:61] - wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] - wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 497:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 500:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 501:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 501:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 501:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2113,18 +2118,18 @@ module el2_lsu_bus_buffer( wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] - wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 499:101] - wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] - wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 499:138] - wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] - wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 499:53] - wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] - wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] - wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 510:50] - wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 510:48] - wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] - wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 513:90] - wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 502:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 502:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 502:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 513:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 513:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2147,41 +2152,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_1 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 490:44] - wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] - wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] - wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] - wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] - wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] - wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 508:31] - wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 508:46] - wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 507:143] - wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 509:53] - wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 508:88] - wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 507:68] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 493:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 510:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 511:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 511:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 510:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 512:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 511:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 510:68] wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 497:55] - wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 498:30] - wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 498:28] - wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 498:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 498:61] - wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] - wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 497:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 500:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 501:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 501:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 501:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2189,18 +2194,18 @@ module el2_lsu_bus_buffer( wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] - wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 499:101] - wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] - wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 499:138] - wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] - wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 499:53] - wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] - wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] - wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 510:50] - wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 510:48] - wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] - wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 513:90] - wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 502:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 502:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 502:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 513:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 513:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2223,41 +2228,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_2 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] - wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 490:44] - wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] - wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] - wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] - wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] - wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] - wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] - wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 508:31] - wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 508:46] - wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 507:143] - wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 509:53] - wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 508:88] - wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 507:68] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 481:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 493:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 493:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 493:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 495:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 495:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 496:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 497:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 510:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 511:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 511:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 510:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 512:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 511:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 510:68] wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 494:30] - wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 497:55] - wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 498:30] - wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 498:28] - wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 498:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 498:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 498:61] - wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] - wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] - wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 497:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 500:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 500:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 501:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 501:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 501:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 501:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 501:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 501:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2265,18 +2270,18 @@ module el2_lsu_bus_buffer( wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] - wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 499:101] - wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] - wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 499:138] - wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] - wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 499:53] - wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] - wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] - wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 510:50] - wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 510:48] - wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] - wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 513:90] - wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 502:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 502:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 502:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 502:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 502:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 509:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 513:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 513:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 513:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 516:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 516:118] wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2304,49 +2309,49 @@ module el2_lsu_bus_buffer( reg _T_4251; // @[Reg.scala 27:20] reg _T_4254; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] - wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] - wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] - wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] - reg _T_4320; // @[el2_lsu_bus_buffer.scala 549:82] - reg _T_4315; // @[el2_lsu_bus_buffer.scala 549:82] - reg _T_4310; // @[el2_lsu_bus_buffer.scala 549:82] - reg _T_4305; // @[el2_lsu_bus_buffer.scala 549:82] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 462:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 552:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 552:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 552:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 552:82] wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] - wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 549:86] - wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 549:128] - wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 549:86] - wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 549:128] - wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 549:86] - wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 549:128] - wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 549:86] - wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 552:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 552:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 552:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 552:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 552:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 552:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 552:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 552:128] wire [2:0] _T_4325 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] wire [95:0] _T_4328 = {buf_data_in_3,buf_data_in_2,buf_data_in_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 552:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 552:96] - wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 552:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 552:96] - wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 552:96] - wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 558:52] - wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 558:92] - wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 558:119] - wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 559:52] - wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 559:52] - wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 559:52] - wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 559:52] - wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 559:65] - wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 559:65] - wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 559:65] - wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 559:34] - wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 559:70] - wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 561:51] - wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 561:72] - wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 561:94] - wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 561:92] - wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 561:111] - wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 564:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 650:66] + wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 555:96] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 555:96] + wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 555:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 555:96] + wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 555:96] + wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 561:52] + wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 561:92] + wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 561:119] + wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 562:52] + wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 562:52] + wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 562:52] + wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 562:52] + wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 562:65] + wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 562:65] + wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 562:65] + wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 562:34] + wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 562:70] + wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 564:51] + wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 564:72] + wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 564:94] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 564:92] + wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 564:111] + wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 567:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 653:66] wire _T_4445 = _T_2708 & _T_1130; // @[Mux.scala 27:72] wire _T_4446 = _T_2730 & _T_3745; // @[Mux.scala 27:72] wire _T_4447 = _T_2752 & _T_3938; // @[Mux.scala 27:72] @@ -2354,32 +2359,32 @@ module el2_lsu_bus_buffer( wire _T_4449 = _T_4445 | _T_4446; // @[Mux.scala 27:72] wire _T_4450 = _T_4449 | _T_4447; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4450 | _T_4448; // @[Mux.scala 27:72] - wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 567:108] - wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 567:108] - wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 567:108] - wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 570:108] + wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 570:108] + wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 570:108] + wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 570:108] wire _T_4472 = _T_2708 & _T_4456; // @[Mux.scala 27:72] wire _T_4473 = _T_2730 & _T_4461; // @[Mux.scala 27:72] wire _T_4474 = _T_2752 & _T_4466; // @[Mux.scala 27:72] wire _T_4475 = _T_2774 & _T_4471; // @[Mux.scala 27:72] wire _T_4476 = _T_4472 | _T_4473; // @[Mux.scala 27:72] wire _T_4477 = _T_4476 | _T_4474; // @[Mux.scala 27:72] - wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 568:109] - wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 568:124] - wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 568:122] - wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 568:106] - wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 568:109] - wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 568:124] - wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 568:122] - wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 568:106] - wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 568:109] - wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 568:124] - wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 568:122] - wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 568:106] - wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 568:109] - wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 568:124] - wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 568:122] - wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 571:109] + wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 571:124] + wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 571:122] + wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 571:106] + wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 571:109] + wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 571:124] + wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 571:122] + wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 571:106] + wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 571:109] + wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 571:124] + wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 571:122] + wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 571:106] + wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 571:109] + wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 571:124] + wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 571:122] + wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 571:106] wire [1:0] _T_4514 = _T_4503 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4515 = _T_4511 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_393 = {{1'd0}, _T_4495}; // @[Mux.scala 27:72] @@ -2391,14 +2396,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] wire [31:0] _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4557 | _T_4555; // @[Mux.scala 27:72] - wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 570:120] - wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 570:105] - wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 570:120] - wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 570:105] - wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 570:120] - wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 570:105] - wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 570:120] - wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 573:120] + wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 573:105] + wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 573:120] + wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 573:105] + wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 573:120] + wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 573:105] + wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 573:120] + wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 573:105] wire [31:0] _T_4583 = _T_4564 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4584 = _T_4570 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4585 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2406,10 +2411,10 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4587 = _T_4583 | _T_4584; // @[Mux.scala 27:72] wire [31:0] _T_4588 = _T_4587 | _T_4585; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_hi = _T_4588 | _T_4586; // @[Mux.scala 27:72] - wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 117:123] - wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 117:123] - wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 117:123] - wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] wire [31:0] _T_4594 = _T_4590 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4595 = _T_4591 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4596 = _T_4592 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -2417,7 +2422,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4598 = _T_4594 | _T_4595; // @[Mux.scala 27:72] wire [31:0] _T_4599 = _T_4598 | _T_4596; // @[Mux.scala 27:72] wire [31:0] _T_4600 = _T_4599 | _T_4597; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 571:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 574:83] wire [1:0] _T_4606 = _T_4590 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4607 = _T_4591 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4608 = _T_4592 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2433,24 +2438,24 @@ module el2_lsu_bus_buffer( wire _T_4626 = _T_4625 | _T_4623; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4626 | _T_4624; // @[Mux.scala 27:72] wire [63:0] _T_4646 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 575:121] - wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 575:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 575:92] - wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 578:69] - wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 579:81] - wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 579:63] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 578:121] + wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 578:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 578:92] + wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 581:69] + wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 582:81] + wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 582:63] wire [31:0] _T_4653 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 580:45] - wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 580:26] + wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 583:45] + wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 583:26] wire [31:0] _T_4657 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 581:6] - wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 581:27] + wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 584:6] + wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 584:27] wire [23:0] _T_4663 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4665 = {_T_4663,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 582:27] + wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 585:27] wire [15:0] _T_4671 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4673 = {_T_4671,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 583:21] + wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 586:21] wire [31:0] _T_4675 = _T_4651 ? _T_4653 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4676 = _T_4655 ? _T_4657 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4677 = _T_4660 ? _T_4665 : 32'h0; // @[Mux.scala 27:72] @@ -2461,49 +2466,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4682 = _T_4681 | _T_4678; // @[Mux.scala 27:72] wire [63:0] _GEN_395 = {{32'd0}, _T_4682}; // @[Mux.scala 27:72] wire [63:0] _T_4683 = _GEN_395 | _T_4679; // @[Mux.scala 27:72] - wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 601:36] - wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 601:51] - wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 601:49] + wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 604:36] + wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 604:51] + wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 604:49] wire [31:0] _T_4784 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4786 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 613:50] - wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 613:48] + wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 616:50] + wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 616:48] wire [7:0] _T_4796 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 618:36] - wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 618:50] - wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 631:114] - wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 631:129] - wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 631:114] - wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 631:129] - wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 631:114] - wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 631:129] - wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 631:114] - wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 621:36] + wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 621:50] + wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 634:114] + wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 634:129] + wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 634:114] + wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 634:129] + wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 634:114] + wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 634:129] + wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 634:114] + wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 634:129] wire _T_4831 = _T_2708 & _T_4815; // @[Mux.scala 27:72] wire _T_4832 = _T_2730 & _T_4820; // @[Mux.scala 27:72] wire _T_4833 = _T_2752 & _T_4825; // @[Mux.scala 27:72] wire _T_4834 = _T_2774 & _T_4830; // @[Mux.scala 27:72] wire _T_4835 = _T_4831 | _T_4832; // @[Mux.scala 27:72] wire _T_4836 = _T_4835 | _T_4833; // @[Mux.scala 27:72] - wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 632:98] - wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 632:113] - wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 634:72] - wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 635:98] + wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 635:113] + wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 637:72] + wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] wire [31:0] _T_4856 = _T_4854 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4857 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4858 = _T_4856 | _T_4857; // @[Mux.scala 27:72] - wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 641:68] - wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 642:48] - wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 645:48] - wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 645:46] - wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 645:92] - wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 645:90] - wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 645:69] - wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 645:136] - wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 645:134] - wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 649:75] - wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 649:73] - reg _T_4895; // @[el2_lsu_bus_buffer.scala 649:56] + wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 644:68] + wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 645:48] + wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 648:48] + wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 648:46] + wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 648:92] + wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 648:90] + wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 648:69] + wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 648:136] + wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 648:134] + wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 652:75] + wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 652:73] + reg _T_4895; // @[el2_lsu_bus_buffer.scala 652:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2576,65 +2581,67 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 649:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 557:30] - assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 558:30] - assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 559:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 638:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 194:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 195:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 220:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 225:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 634:35] - assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 631:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 635:35] - assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 561:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 562:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 564:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 565:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 578:35] - assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 567:35] - assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 568:33] - assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 579:29] - assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 641:23] - assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 642:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 643:24] - assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 645:23] - assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 603:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] - assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 613:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 615:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 614:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 616:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 629:21] - assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 618:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 619:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 620:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 624:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 625:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 621:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 626:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 628:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 623:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 622:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 627:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 630:21] - assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 551:11] - assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 576:14] - assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 577:14] - assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 550:14] - assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 296:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 338:14] - assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 258:15] + assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 652:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 560:30] + assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 561:30] + assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 562:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 641:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 637:35] + assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 634:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 638:35] + assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 564:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 565:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 567:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 568:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 581:35] + assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 570:35] + assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 571:33] + assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 582:29] + assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 644:23] + assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 645:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 646:24] + assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 648:23] + assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 604:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 605:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 606:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 610:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 611:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 607:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 612:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 614:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 609:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 608:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 613:20] + assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 616:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 618:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 617:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 619:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 621:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 622:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 627:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 628:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 629:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 631:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 626:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 630:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 554:11] + assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 579:14] + assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 580:14] + assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 553:14] + assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 298:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 340:14] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 260:15] + assign io_WrPtr1_m = _T_1840 ? 2'h0 : _T_1885; // @[el2_lsu_bus_buffer.scala 409:15] + assign io_wdata_in = {_T_1546,_T_1505}; // @[el2_lsu_bus_buffer.scala 375:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index a9bec798..b9b8abf9 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -112,6 +112,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val Cmdptr0 = Output(UInt()) val Cmdptr1 = Output(UInt()) val WrPtr1_r = Output(UInt()) + val WrPtr1_m = Output(UInt()) + val wdata_in = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -370,6 +372,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { Mux(indexing(buf_addr, Cmdptr1)(2).asBool(), Cat(indexing(buf_data, Cmdptr1), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, Cmdptr1)))) val obuf_byteen_in = (0 until 8).map(i=>(obuf_byteen0_in(i) | (obuf_merge_en & obuf_byteen1_in(i))).asUInt).reverse.reduce(Cat(_,_)) val obuf_data_in = (0 until 8).map(i=>Mux(obuf_merge_en & obuf_byteen1_in(i), obuf_data1_in((8*i)+7, 8*i), obuf_data0_in((8*i)+7, 8*i))).reverse.reduce(Cat(_,_)) + io.wdata_in := obuf_data_in val buf_dualhi = Wire(Vec(DEPTH, Bool())) buf_dualhi := buf_dualhi.map(i=> false.B) obuf_merge_en := ((Cmdptr0 =/= Cmdptr1) & found_cmdptr0 & found_cmdptr1 & (indexing(buf_state, Cmdptr0) === cmd_C) & (indexing(buf_state, Cmdptr1) === cmd_C) & @@ -403,7 +406,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) val WrPtr1_m = MuxCase(0.U, found_array2) - + io.WrPtr1_m := WrPtr1_m val buf_age = Wire(Vec(DEPTH, UInt(DEPTH.W))) buf_age := buf_age.map(i=> 0.U) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 70e5ff5fa77edea81c14702e8a080cb3c68ce8a6..439802068763078ee1c35b8814edf16397b13c9e 100644 GIT binary patch delta 103 zcmcaFcVBLU1UKV^$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#z~XU@`iFwWZ+<$#GubKVX`(~1yE)Q-);by_aI9E delta 103 zcmcaFcVBLU1UF;vWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-}T4EjvHlePIOfHF(?b^`#BWgsB{ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 7ea0560a8ef29611a32b92f46799bcd66ee32e94..c2156c027e2ee5711cc8ee14442a4fec9e1a630f 100644 GIT binary patch delta 19 Zcmey$`jvG<1QX+g$&pL}Kynq6HvmgD2I&9* delta 19 Zcmey$`jvG<1QTQLT(7n^n$Dza8$!fPgJWHZ ztead|zqThGPr4y0XBsn*nU{@4lToHoa+V>gVp@>TYW?x28+%@5{66k!@6z)U0aWOp=nG}`IbuFYhUwILeBG{iE6Xb4lKwhLTa zb2aX04V zl0A-lJm-4pXwpf>J9^_zJdusICqYceJM^|Yu}n1SW@CwTXVE@6Z_g&;u8W?G<(%$J zHs_Y)F+A_nk?~@#(-lqTn3UiDu?3Fj#F9tRG=-WqB{Q+3sM?7907+nkII#xfS4hp2 zrVh{hmL?AI6FWTD^^4Jk=rS?pQhj;(_41uAk0ujw$BXtlakQ78(tR}Nq?ksxB+{{D zPuwkxSl^IC?FwEVQ^Y5t@wn$B#U}PU4W1D<9WPjmu0B_=;D^fQGM?+iqq(SqpF`3a zr#Iupos{c!x_-(~q{RCt<1QY(J56-LyZ3{A%cSj~-7r z(O%Du#!sM4bORw}Ktj82dcW#^6T+k2bF-*zP2qO}H7Mrj**SWB8597|2MXDFetVYw zHreY6`8OX73&%~P5&ZIs3C^*;WE#zyxk^CTTdj&u>ZHef~gBW|#&fb|rMHJGe^qSjju0C;FxNe&hY}6RB>`jp2Uk zxSp5skZTm<(XZYF$!vz9wu`tP=h{5h$8pnc_b*tJt+|je26UVBx8$ksXJvxM$S8qf#T0KPChAx zyJRxanRYSchLsxnO6|vED51@ZL%FxF=K69pmy17i3)f1t*Kg{+p_#v&Ix%UL4CiQX z(jUDgOg3=^?%E}#wD)*f+-^%;{O;=K5{t&V{K>&T15;49mjP3_ilV*8GT9P`eANpM zy{5g2zq2{7y(EKP%&Vo9z*Vkq48?lpuj68C=nJ`6C(mvT+zRa0@T}JG?AGuGw1#K5 zhBu%!Ji9f#{;iR}CspKJ|0F|tVELDy(fq5}Xnt3Pno{xZoHxIqH8550@wVr@27i?2 zRa`>Vx>213prLg$3)7>XxD}0|v0*Bs4>48b5G*lGYC-oErYZkcW^PpZz^YNvg@*aC zqH#w1h@$aE`>3J`M*A3sa!GM!HdZu|F7nH}Q_&=&eO%FGqkTfr6r+7o(Nv>-N>Q!R zKCNh)$@#CC#v*5VMpZPOX80vMq^QnlpXq<%dOB4!lVRGHHKQ)MnEDPtk6Z z_7_EabQ&gDy3A;0iuP(NS18(Nv?@jWjkQ|Q0i#6}9WsN z%~F&y);WsOMw_Q7W3>5-x{cogMaPV`NRel>C5o~}yI4`qXqPDJG1_uPmz!KxD(W?9 zs}&tL+FC^?jJ8hE6~=FaqAN|>CPh~n?NUWo8?8mrHAdU2=vt#~S9G1xb}G8wXuA~M zV6@$eZZz6uOjXMh=|pZNrtG?ayH4ZG-EgbT^x&z2>7x8oN5Df^h!5g^xMkbAwwCp^ zOp^;Yy8!=2#SR}1^C3oTSJj&H5;5F(mz3PL0zlz`4SB^l9zkB10&zb1s`huq`6%AU zoe`?wF3f~^ujX`j4<6F^2<}w{)FkRkCc}J`{dlNg%s;y6r#|hI3DaOk%v%}l?oOUi z?9s^xQgBaSQmoE8#IcQqOzg@P4B8D@a8CpUUyh3`UsJ!l5Fan8yS}xf?pKu7-6ZOI zT@~gl`D(vDcSN9Fi}^gCnf40t^>}I(Z|5Ptk*U1SG}?zEe3EaH+CV?n>auPL(+K`B zDv~cD-%KI?2!i0k`Q?)}^wBWi%C|91t!pmMk9m*&kHMJzM)U0v_}{_AdoadI21_Ds zo_*^(5}ub!*6W99lyDb+JVK-RZl?NTN65~_^361b9=IohQ~G2{5BQc4e+mybEv;?N z+O}3_*P4yGnVJ=Un(vQLh%rYNUJ`8U$(h0RAg1I(3-qPx26#Bk5AkOrIH0F5@N?bT zw#C`Hwq@Izb*hEW2CR!pc(Grn|mWVsFM2Mj!0t_t?UTBHnLQ8}eS|YH} z5@Cgw2r9HhNTDSH3M~;%Xo+A#ON0_yB9PD$VT6_lBD6#Zp(O$cEfGFwiQqv?gbrFF zaL^KAgO&&yv_#0DB?1O55iV$nU_ncS3R)si&=O&SmIxBGM2Mgz0t77)9%zZ+Kud%M zS|Tve5@CUs2nw`BNT4MG0xb~^Xo+AzON0ViA`s9LVStth0<=U3pd|tTEiwITiOF9} zO#ND7;@1+>zLuEuwZxRKB_@0=G2Ls4$zDrL^;%-0*AmmbmYC$V#1yY3CU`9|y=#fd zT}w>uT4G|?64SbtnAEkzl&&QvbS*KRYl+EROHAcjVj|ZP)3}zH#I?i}t|caLEirv- ziOE|_Ox;>y;?@$=ww9Q*wZxRIB_?dh1mS|GlX$QCdHkx!FNUm~^(@`=MJQ$bB#u=& zQSld`E8{>n(=GUgXJ-*UWy6C+c$^5|YQuv?c)SSTX2U~7c!CJuZo@-Gcp}{)G}v7> zJWPZqiSXSvJY0k)i|{=*JVJ!0i158Oe1Qm072*4Ac%%r|itzn5JW7P8iCy)84UZP# z>GYt`RS(8pO2+tScXKZ+q2seoESsR`#!V5(BIUAlL!V5+C1sk3!!iz-s zB^$04;l(2SvJFoY;UyycWgDI@!b?T?t2R7CgfAB1S8TXWgqMl%t2R7Sgf9`t_SbB9 zmIybBC4a+)XN&N15q`~v=ZNqM5q{l<=Zf%3@tnV9!}COVl?cCK!}TJ(TCDb)HauU1 z*NE_UY`8&$*NWBto((S$;U*FOz6~!F;dLVX0~=l>!t2Fz{*etY7U2yd{1Y2qBElO* z_$?d0ScEr;@K0@cnFu$F@Xu`c5)r;sgnwbfjUv2Rgx|K|E;iWjY`C!c#{ZUCc@=5+$_R-rD}7f4PPq4 z`$RZo!<$8TzgX?C4Y!E!0THgT;VmM3P=p8B@KzB%B*H^%c$)}2B0S87w~O#$v9(9o z@D34 z@KF)IQY^XIhLa+El?ZRP;gkqpEy7!DI4#20i10QW&WP}}BD}+fyG8gq5pK2NVYVfxZ^oaKue$D zW9K+$lFo7OSNOzPx+%zTD#&nakm0rEPCCbTp5srP<9kc!`_9s%=i&3% zdH6hW9zIW=htE^SXJ7+79b|YW$Z$5u@LZ7Lg&@OAL57!u3||g1d^O1MN|51IV>sn^ zV|73`ek}<8Mv&pPAj9j%a0|9oEf`vEv} zkfHp1^5x2+S5TXCD5!{VkfA2XFeu0{B*-w#7*1hmiI=k;7CfTp6?hsWi#`E^lt&j` zgu9t3YHZP`O73eOS9BS8fty?=7?%NKlrJi>LA9J*bP1}#)S^pJF4KZ)Fr&x{w=h%v znI%30Z~Mk)c2EIxgADaShK6EsA20|O7QF%o!Q!G%P{S-Ox&#h_WksK$E@&*e1Py`} z#%16jSXE?$YPqK95>$hxqDxRN>w{{rv5!5+kLP1D)2q!vhRs2SEkTBDL53YchSnfM zTaaN-kYVrB`PEANI96dJ_NNHeDov$9SYJOFE6s;s&Gk^MS{jBm9mDAb8bRNt3+Nqe zimaefJOK+pm(Uo-Vl_TO7xHa1j?d6|{w_`6Khnf9EFLUdN|VaA)8w)QO)0y9rk34D zwPnxJw6fP}dfCruM%nvRS3ZVjmN(F>@-~`Xo~AkFH`Cnm2WejUD^y?pHqEanqlSuc zw4h=QEv#^9QN=B^xZ)vNQt={PT=6C?tN0aNQdvojl@n=sUQMeiAEMQj zuhN>zAJf{(_o%6A9IdNbMC+^e(T1ulZLGSNHdURW=Bn4|(yDi9b7&;Bgyzwf&_>!C zI!xO_Cun=qlr}_rEtok(Vt^PXgtA2;}hb!nn zxQ-5nTj)^OB`16h9S+|^(eTUE9)62rkqU}O>d1|3rjAIOIwLnzSLAU@L|&&Ok>AqM znlL46rckP;kvuouqPu-*)7!2JVO z=P)*t;DGfTj13_;U`YgHg9Os>c@H~_{h#<^#@H%>0~QZ3wuT@L3m6z1LU6zWA;#tu z9Ps{(v6vqRyxU=H1i%4rwiwI$;fGf?j3xXy;G;NWO8_*yx?*e{z)!qOXKYl!0q=Pk zn;dY!TW-dd1z7R=7H8}qumXXx-2ob2V>7lz;3vM&GcF5g_|VVTegX|&uo>G*aKH;2 z#%2;|_@2(#&;Si@HyIZc4tPn*xX_?sSs7#F2sC_;Wo#?K0bipTn@(`RayQ0i5@=Yv z#@P3R1J>IxHlpBwm2`}4G|;fVjImt=nc`C+V+#om_@u+w1_BLVh8SBzpy9h1W4j1` z;`16~TM2$*kr!iM5Dxet!q^6a13te(9W%)MpEnl+b3t2va_GqRhjXj6lGqP+B173oG z5C{-L2q9b{1PCEG*j!64!scMvY{DklY_4UqNtPwqgw1BzB%84RuF@PTz0O+Sr+#nh zyu~#+u$Y_IFL?9Zx;WZovv3`7folg=iR4rM3%P zdrLY8ZUkJkT2iTe)}3A^1+U$iy@`0PJ4B<=b_3Ix!f2(mlgh+h7+do-@C$81j&V2U z1fhP#yk7sPCSu~b|gVe$~*LRII&DL>1JbzbXU_o?k1664(RkeRkzy13od(Z{n~oQ(MOU9ISnxw-a~aQd;?Z2x!Ovmo zjMJC#;!eu-x?De{7Af(8N%`~f+@pTcLy~c)vp1P^x)Zwgk^MOKkp5LCO zzfJbKLjKJM!@_aXXav8!VuEwDznPHaH!jX}Y~I7%ubdv;d1;)TZP8s2^)2Hp#!dC) zPB_|BC@_H=oJksi?(JF|_Jy!CL=!pSozTZT@{6wn9b7Q!l zIwi z+$EEVuC$9GH@wu)U+MrJLkVqO9LjzDHP@G;xm^6ATew!DeSTB-56%4L)Pal0*X@w;n)ODr1e_Jf0e2Bx4MF9W7<6-E1wX0jy?`KlKj z`b>Kje`j-EM@a^Kh^wWQz*Vkq48?lpuj68C=nJ`6C(mvT+zRa0@T}JG?AGuGwT5T6 zhBv4+Ji9f#fvu6hCspKJ|0F|tVELDy(fq5}Xnt3Pnp5$foHxIqH8NH2^>*gGMt_vo z*3T>iLLH(Njid2lDx<$*s>oql#5AQ99aRWO{!Po=lJZliCPfz*=Cq;-M!Q+jM5Dc5 z(IlgN0E4!qI1_gjO{NR|@;<0&iqY;=G}UMyQZ&tIA67KoXdh8jXS9zhnqhMO7}I#< zEXPho7tzIj2@fc$H`+r3Ph1C1MKfuZ@Ae5rvyJviMRSbyDMfRQ_JpE&M*Fm)22=cJ z6wNox}gu6g3;|yNcEu z?H?6wFn<4}Xrs}7sA!YXeynJ-(f(Oci_!i?(H5iqtD>z&`?;c4li$B7+GezWSG3(| zzf`otX#b&Try+i$XqVCcQ_-cy`d^CLOxk}d+HKPQM^U>;`-7rAChdO}?KNqCRM+_UMKPm| zQ4}}YI7P0}E>P5Ia+#>8%cMMvH%~y2PXbTj1Mq8vPYqTYbazP(Tfp|YYVkD ziMo=VVRji4hp`1?{(($C%W0oPn1=FUJiA4EdXgs;C+SoKDVQ5AsV`vbF*|K4{IV-o zFlaYq!I=mO#`IX_&&Mw>#ORDd-Sur8bw|?`>uwTty^e*sm;3zs+#Z33Danw0X4)&n zSK(Pyymg288m97k(`eU5_#|H^wSj(E)n%Ou(?~|(s?C>>Z>A8x4^!L1`Q?)}bUMs8 z@=Z+B>syMkFz+$&;TDl@EZ-7=|NEKz(KfYYuq4vviMF9L;d!}agMLIt2_N9wA~c3? zXKE;RgzQ`_--IOez#S2s(w!we;9ElcAw06QwzWI!+S{DnYd7g;YEk@Qz9&K<{s^X9 z`6k%Wn=^y!qX@r+7U)ma4e+rr-^ceya6nIw@^jtZzRlUbu64)S_0Haw^}9DKe$Zt6 zkl&Aw_*HrYPa8p3EoKhQ`tg4lLbAKPH`U>KyUpYMh}I0=q3nrz3IBJ0V(m6J*PV&) zjC#?Oi$=tpL$##SuBTs*xcE7210IVrS-c4_u6UMh%k<*mej{G3z})7)m}yBTQCv&9 z6BD3Hoq}LoMiqDy)JY@!c@4A?{#->%%v7|*JVi^)QnbW;L`%#@w8UIQOUy*H#5_bx z%tExp97IdZGPJ}TLrY92w8UgWOH3uS#6&_%Oe3_!BtlC}A+*E*w8UgVOH38C#6&?$OcS)kBtc6|5wyevK}$>zw8Z2< zOH2*4#Kb^LObfKcq(Dnd3ADt7Kub&qw8UgUOH2i{#6&<#OarvUBtT0{0kp&fKud&w zEfM^+MCjKNfnQ66eJv66wM59*5&>UJgnKO!?6pLw*AjtVON4nX5#+T*h}RMUUQ2{` zEfL(cL}=F%fn7_4buAIpwM0nQ5&>OHgmWzs%(X-)*AjtTON4PP5yZ7b2-gw;TuX#+ zEfKu6MCjHMfm=(2Z7mVBwM59)5&>IFgljDkthGd_))IkQON41H5u~+5h}IGT8nTOU zfzv6x9sMMJHQ*ORR?d2sZul&eGJXulDxIkKQ_z)hp!d;@_=P865x&WWhlub55x&KS zhl=n-5x&)ihl%hc5x&iaYejf6-7YlP9X32%gr|t`T{b*Igr|z|-8MW@gr|w{JvKZ_ zgr|$}y*4~rgzH53J{uk*!ZXCKy5EM!itt7BaiOaowBd0gTra{8+wgc1o=J}gB|mDz z7l`m|5q`{uCy4MI5q{i;CyMY~5q{E!CyDSp5q`>sCyQ`{*w0Vf@P#5gUxc5r;VB~A zD8iq!;i)3LK!nfQ@H7!#D8kR#@N^MgB*HJ)aGeM*7U7p{c!mfs5#g6@_#zQrD#Bl| z;fqChnFxQ$hU-Omxd^{v!!t$r5^-$5YQwWcxJfMet2R7agjb00*KK%?2(J|3*KByM z2(J>)`E?tfC&H^m_?tG|Ai`_JYJbay=Zo-K5q`sl8%21XSncoF@B$HT7UA#N@Inz@ zFT&rq;YA|6K|JRl*zjTz-YCL9vf(8nyh((AV#CWsc(VwsN znGH9I@KzE2g$=I|;a0Jq-?HJABD_t6-?rgZBD`IMe`Uj~MRqPicvD&}0;bswT6H9*AhS!VmZn5Ow+wcYvZWl}bqYZBq;XPu>f3o3C zBD_~D`8^xnEW-OFnAwI~M0mecZ7#RrEh2nCgez@$s|X(ys~xi8RuR5Tgu^zxO@uEO z;Tjv>F2Yxc@DLl`A;OLb53}K&B78_}?cp}OON65$Jko|Q72ysM9&N*IA{-Opu{OM0 zgySMS-iF&n*cIUkHoQlKJH@V=WW#$!xJxYgLL1&E!rdY~)rR+ra6*Kq+wcJqJ}jQ| z3>!Wu!bilCFSg;!L>RBW2S2&F)B7Cg~@37$>5x!1@ciHe!5x!o8+icjAV0`y($7ch4O&D@BRpPrG)x0q4 z3}1GR4?V{*Xx(S{$T?1%q;q`qi<~`6Hv}1O3NqXhWVkiRaGNn4KgU;=6n^ztx+BPN zSCHZEAj3UDhI@ky_Zh?BCb{1?yu>H>KgZXX)c53BdN9!Pu*vL(GkkOHlyiLRIsV`| zzN>`3`z$?r9zKtqhtK2Z;q&Bq_&jBN1~yUi1n)jgdv4 zfI-Tmi!QZ;?`Ec`u|=OMxvzPA(Pi)jZgQDmTn3F%o>XLmYI$MNC8!2di!MRAOb@ET zj3O)C!c6rqF7XL!sF^_p%nmZl4Kg$oi~9?Mpt0x`I0zONeS#WhanU7k5G*bF1a-mk zqD#;qXfiH?2f@lB8&u2HMVFu&tS!0(<xf zU0bbcsno_otrj{jWTG=U@UUnbV zl|4%{%3i07%6?84m%T^z<>P2(c_Ym#Z>QPiX_`}hn&y^2Nb|~Hp@#CeXnsW*HC9ZZ z1r=*)VTDVJDsH016%WypiWg~F#T&G|;+J$uWhFILPNo%=OKD~00a{gg4Xv(xh}KlT zN^2{BOzSG&rRJ&$w7zN)ZKygx8>_Oksp?+ZTy=(8s$QclRqxQ&&}eE6&7*ChO|(69 zh<1cd(9Y1Ev@7&HT^jl!wT0fJ-PO~ny?P_Ql6@`cc|n{WUsJ{Wcv8SI}kQ zdb&K^N>_wka>6I+Q1~8-hF_+R@S7BiR8TxpPi|x@bw<+E6**1aktZk-d7TbNenUrU z!j!C;MyZ-6O4sbBOihYg{wVoB4sisk diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 929b17f3ab6247ac706a55a196b03cf0c1c8e72b..dcf3244fc9b082816dca9fe0063050ee103da123 100644 GIT binary patch literal 509375 zcmcG13w&HpRsX%a$v!7ZH*M3VPtsrd`ZaB`o1{sTK7N}tZPO-c^XMyWy4@t3blW7G z>~5O2zy2x$q5{4U0iU2K3J8k45fC3BsDOe9s3`ct7rsD60sVi^oO}1qy*o*(^5?^^ zIrq#tXU@!g=giExcklhJ|9bBS48v&K@{6WXH&Q=UpnQLv%E)+BQh4ftWKxQ^Qb$>87y*!r*8h+ELw?#%WOUv1OCRw<@Fg21XWQswf z(lnxT*-5sZoeUa5)7V^I)uF;vdM<4mI|d}toX^b6Wu}VFqr@J~&Mu^j%lS;VX;e<$ zFJ=nnGd~nC8;;#d_w+ObgT8nyQW*-a_>!??&xzRX`uearX-53@`@{aqip@SV8tdsf z34VWs`Bx+0H!}ZxZ2SIj$m}(vvpq`r{EaBfml64=eo5%nxp%dMijie%04o z8GN3?k5xMSMuk7D@b@YF7^a79r%B-tEBqGbbGw3$pKS_%SmAdnc48sN{!!*vw4i^6 zCBHr_;Quqsm;D(FlRWp&NmX9*S^f<3*`J8x&wyfQSn}D<1?J0si$xuO##H-~&-Sk< z{Foql=3iIsOFrAb$$a*w%JFBK`8-~d&*ODVu@kE%dA2jB*cq05IWdBZ3O`ok*e@z} zh9#fv+*A0mb&maq3V&GPfAINAK`iDdR45Z*Sn}DS5c7G!Y8`o@@P`%tI>kiA(uGPOFIE2ax5)LdA7ex zk&nwr&-^_Ke@Wp#t?=VA(zE;_g}R`^Q_zf0lAWu#~M;|hOC;rA%~ zxU&L;PAU8)g@0Dz$DK$Y8dCU63V%f5$7Q5ve=aHfC51n(@Z(OT58Y7sOA3Ed;m2j9 zXZte>e@WrrR`_ve1qdxD{3V5-XFjbYOK}~S z0LGiW0x%F|q~`)_1z-h*udDz|F(=Z8loen}Sph=U3c&Vdq-Xoq3c&UizO@3dyo~hL z#NZh(JA(JrvLizF3P2sidurx4s`9)t(3UA=tqg2mt^jP`S{c|Mg>S74EbpuUA!TJ) z;ypLpQC5Z}Wn~B{E5j1+xmjLW8J2j@&3tQRV1JwyBV?@%?2lX-Sl(J0SYF{QcUFwhU4_4-@E<7rxU({Z9x41KhadLw#H03_3Axpm z^@an^L^boy5g;5<<(-8gT&?i)3cpt2C!B>LT&LQ17LxE5g`aR1fbb5*PTpBa!q&pT z{>ue`{Z|$SGw&=6VP#=36V3t`ukfvff$hr$fbA;_gPC_0hOn|Qm}3}#;8D+_~}a29~DwJ=cJF!Pcx<3re57}$U32oP2l1~cz03}I_w zV0q_g5LOljGw&=6VP#=36V3t`uke+H!Av*{K-gLs!i(^~RtD~57`cbA70@Mr z#Nh|5qXf+(GcWmaK??gA=QQ8VgpB8MzJ~+MXL-qIc`LUe`3Wb^hpQDkdC6xxwThjD zjOS#hB3$Qd2%A%T!s{F6E0ghLr4K>c=kGgjnt^_E(g*%?Dj$0=n_m@B&uPZ6KnyPf$}$H-2G`00j&__wU{~y?1tVNAb?1^_O!KEmL>4v|S$D z9`FaFk+^xi>u%7j&zs4fJ;C!^?guOV6+=~()4rabeZh`=GTpGT;!1lg9*+hpg9o-8 z*=<%F%N&1r`uv4Tf3P*Ol&){TJzF>3vDfdfxKZ`o`n2!J}>Uo*8ADo+neU=yB7QVp5B#C?}~-1cDKhzgZ|*A zQTWwZu`Uo#AG+FcdtwLqxx3)&uFMa2=CXAs z∨^Tt0WUZPWbKR^P!R;_{)bowtI=i>I5n99!;h7afBWox7*ZNJGQ5&brE~<=y_C zo(sWqt?6{b{(y;VvtnoA+?IjaL*pGY6C=e9>16w2lKW?DeXPF!PI|MyDtzEp^_GsA z*#7$Lo%Ft5-}=h<(j_x8TPK+*EQ_|UZ_27@ynH!!8=>;pECWuspHeZp5C5` z;EDB=Bdur&EcF~5Pu$EVn(j5hzjJ4co2st2%=d3OdS-re>+RXVhSP_(R7Qi(ZoPk& z;zZT4x`t5e%;`r@ojG))J7#992WA(>TQZ|VVsF*;*6_xv%8sQCGM9V* zKr)s&SN-r*&Gqh^vwIpZAKcP5d#<|io|xz?X6qVP#CUtLH!^*>${(mWRsG!V`i5RJ zn2I*|$6KdQj7(q7ZEjo4R5z`NYw`K)uHkrWyyrq?#qMxA-Ri54Z@ZGal4w0~_Tk~G z>xa>w?LqWsdvNCf#;d*JW_W)*e7$q}GW3S8IeL3ZZ*$`A?4xsg5AJBYdHU1?(udy} z+wZFflacw^OAq%PywPk)}?vH~47lrr9fr zd8#iq;ncTN*4I`zSNHJn;9$%2)k7y6CL6jco)-!BBlkVykEc7DZ(tq{&8^JUcg$g2 z`*Y5?Zs@Puwj!vWao}!i>&;By=siK>a&4PEE}I+tG@t#Q)i+ue4utE&>o9MN)tM{6 z{j(dx^+#Hg6}Km zyM_^VxIN}BpKqh=_jsoN?88fk0=~)+;j_B8a(%4fY8va-p1ARFZ_Rki!nw0YFVAo83U7>6CG7ScFvGdj zqo-DK+qyC*4ma)1jiX=T*HWK7ZcU6Y!pYgDO}Q%_&_C$tCxi3-k6JI+Z0oo=yr=PA z(>Bzfa_Ud|+ve{Kom^?U-f}lh?LF<-t*HmDx3_bB3g5!>;&)Pmrj9D$Qu=$wvAZeW*imz%C37b*y+Zg12e;Vxs7w1hGU%^U2XDmZPaHnk z;G3+q@pr|4FnxM{d-u)$OXBo`toL9m_fy0EhGclYf2i@^z*WSDy5b7O3D)1>=pU2# zom|@Uux@@w*GzBiK&Z#x`?L~gk_!{vH+v@sR_2`YCSCbJ{n5#*dm4k#KV+3x1}d{9 z{ek+fnb{ule>2x__WI#J%^#|N&%v#TKe4K|(l{qWgyXuZRQt`|vm34)tZ#h)937c; z=2y+tA;iD>W4BM7ZQERRy*)!Xv&so)!YLm(jW|`g=-_kMNAogpax?mka7cc4Y5m@A z`@O^Iw^Lt~k@2YMGpvq52!0`ke;U(Smg%!1Zph>ZJ+p-`0AwZ>I6e{O0!CS!_tokGIUH zXd;d}0b+N&aYX{~QST-MIBZxR1`cfnz&osk2?3^|vLkZ>3s=>6e7RS(Gv?TNitLOZ z&GZKvH_yv}4SVQ^MyDT=6*QoG=7Q+Y>CvGk0KTh`I{Wa{!u2=;5&OT-ksn?~{&3BW z&ceAz(b+>g;pb(?PcuY;`rPGe0OItXZCB>6v=%bQHvoXGnT#!;QWo4G$ro0Jwk76M z)z`5QbWS4hNTBjWJjne`6M@G&m+IPZdf|Fv?nL6@rmFFl<=!0+FHt)?9lv?JyB^LC z)psnOK4i6TPjS`?JcpeCP)*}Kv#_mm>gw@r9SfT~7JF+S)(vetdNUh1%7ORW9Dlie zUVoq3RCObMb3QP=sagi6(!y1@mi)Q18}1EJV6U;{X(7?}2iu1~Gy!Lv2^jC8z%y~Y zh>Zz00*|J!VQIZd<4+U$g3}+S#DC8mHXO7ucxqqG&Q9Qd(B2T-KVS;EAU0zo(|2jx zy{7BfxFiNv2CuhLz&Ntt1e96|7-FJ>HwHYu6c87jfM-g-(ubQ6Si6g~foLY2oN)Rj zT|wg>4YpisnauIRPl3%^pb?nOpcB|`aA3M+wFmPmT?$NB;`e%M8~0M+m~+axy%e}m zo~b*!3F8a<#~k}u;7Wn>#`NVuTHt1taZvpm8ra)Hd) zN?^V*y|Qo>8?czY0ilIQE#HQXdOJ3}`|H!r2EYm2fuo`G6P?Q_KcbXZmjZX-=;qvY zET|g~#+^9k*e~_(#$#4F)$g=FS1V38*H=cPdz}qUgVX+dvm+b!0Z##Ya>SwYdmhdrJ_K4E`-=bZ!qvJW4i3FroO$K=5C4jzx0|SZ zn^W%cf9lTJZD)YLz)@%2Qt?@!xI*@#5bAd)$4+9sR`jh63)*=oZ!|`*@`cV@D4z9LjN5Nkz z=hM1193Lo?pP3+em0xw|bk+9mLb?I+gNnSxp8|hL;m0P{hoU>W612YWn%wG)W5ba> zH5MxJ&~Ba8W>16 zOzsKPM(Sf{w{`ofVh1Xtb-Nq<`x`EXHy-Fek$85wf6x?cr)6;Gj`bD0lm3hA4T`F6%0;)LI_)O)sZGfvPqgT6@IH{O{$_o#dGL7cdPfpl_yTj$J)8-g}GCt;Vb zVb_#$LtXGZ|oaWjgI+REJa?#XOj#rg(6om9K` zHE->n@$Zk(hU;EtPve!UelvEpcH0>&fDf{DO|uKwkexUzV%VS+u`%1U?ndj(1U6vE zFf{m+d}5%D+rcfJH?JPYNzijrz((cfHD84EZ_)ykqYd78Q^!0u5b(FZrm`Y1S9h^9 zb~)&eUK;~0jt269{@9`G9e1-b^AMlVNx+>P2 z6*TUJ;JO=K%e^B_n;P8L@K56B?)iz9nTboWbi9J+d$!>q+r4tMZ~_YfQeI^D)WQ1h zNnCGYySxFD^;F7C$eHGP_)VFSE3G+ypTt=)8=#FoZLki^qJ34e-?p{R=4eBC-K=(9 zZ;Sa5uF#&2%-{`-@0L#AR`+#-|Dd!hGXPCnJ1zOv$!vf&s4?G8M;?K@?dE8gFnh0d z&z?v$&d%>>rH!$all9meal0Ppy20NcqfBXGw&&rV=5ZVZ>Ne~{=D@c%Oqri9%=7hh zvb@O~))@I|^UqK}`HwjIGB5*g@S}L*?6y!2{W>~gA2dW#&j;S*ynX6&bA1Q=7RerJ zM{U#Qs%_nq=P}RZHMh&cf$TSoS5)Fa#-$-_d~e{uMH^VO^Pub>I+;GifhE3tjx)kD z6Y%e;`pyR@YGs__xF_R>Nb32BE5Ot2qxGFN6C6(tnjvJg9`4C)KXNm7b^saBnD41l zzi|9Hfy~W8Gvbz$2NoNzQ>O=UV8h1V!fWXo?W&ON!p71U<8~CY=!d7a68@gr+kCY> zH;>HOz>bcLzrSB*`ufj`O*pt9KD3^xhChraE8bmc&(Szxo=p`-nl2AsZ@EqVAp4c$ z#qEgz@~VidGM+&`S$S1n%ghPs#~F{5fdL*H{OyeU-os6&=WoDXV2R^$VD56&_)*}o zVttb5;mV=wt%dV-avrRsc`$IjlQUPc9?U}2OZ8M=YbPA1o$*JU-h+8b{Y~={86G;A z(R^V1x8Chd;J}MGh4#cG{)Yxm9~^I;9jx1ibqsz3-)MJnv=;5&(l&E?gy)6qpDW$D z**!;*SuXX@-H9PO_^>_c$I#e4s!ztBD_zgBKSA;b@uVHN!bT+NzQ+8Q>r&H>&f@5f z;wHxTfm;g`?YGEJY1f)(Y*!wXL%V66amP`tKTW%E=Oshx72@$YAx$paVKH!3s7a(-`0vmEz_FVe6};*<~a{)4Q?2jrM-i1-LA3XZ%*fUaQCz@!N9(PBSkDJ;V^U78Ux?3fuHRbE8~hE7V`Q{8 z@qR(ZcktsYHJot;zwKWCBa44k#<%HI0PFNb0(VS+!)slWeTk+`=>WwA?VSS55BEMI z6Ie=Ck9RHey4spMf&NYUczzzje3$bQSd{hjb}gsQVxLAE=fKrCCvdFx9jiZsct3N34uZ5_Kz(MPB2W9G z&0P(Cx7>WHOm1pL%31B6wcPF4=H+{ggh-R0ZF_LoPIk+11Dt9kx(%w%@Z`q=5)&gE8Ir1oT4 z-^$=qTx5UmTppZg!@h~)BKMQH5x-^k6D5kU-?@_Md1_ztAmJprbZ-0p=)j@$~ft| zMx3mX^7g)*{IKe^_usURSaC@1%k27Oq6_;h*0=UW*k`$}F`u?7{VvyoBUU^2%%LA; zoL{nVV4VY=yyrMZVn_3}wo=@?)3t3g=2?S( zyFE_A(%ftf&ObKaKPcmB?#|)1GdMTeb`S^e%l%0XiRR{OzLGbKuO+^$dP?oZ{(#Oq zYCnq!dBN3qWd&SKvITqPFzdm0g^%$mXDO}7{~6=%** z)XV)P+Ceyy=aK^LFL7s(@HQ~fI@v#i^^?bI2KC+U4_swjaY7mACm!#K0gNQbSF%v_C#jx>LS&wi@e6YP@Oh`7Irbxd12D=^Qav-?^N5^z3Z&maf^W$Kkgr zs6Y7JeOR2%)wkbF*A-7=y}9L|ko`W{UyBT~< zMdccCBP?-<^Hw&{&+VYZBGw5TpYj}qedu;>hsyMO z{&hX17J zmOE80cZlVNSx(|6PW!dwNx7cMeN!6qq%0GPe7Ekz4Jh9ei>-|!KkNpNd68`<% z&*=9}SpO{?$hcwS;F7gZSW3%zvvpsKS;_Nu`|;8`VC^UEb)aHRjLW?{nl_m- zUxxEL*0GIrV+A)#(y;>_2a|!5*J>+Ho!?&HcdnY&$6Z)oaSo_@YUVV~eTbjK*|Xy0 z{_zC%_i}yad44T1C+*7orp$9KjaFaZG(X{)YOC)m!NN;ai{XvHTe{!&Z5M)|)%q zkC0zW$%|b}4cO<&^>T}yw>x0xSFAjq%&$s3QG6l4577FGeG=yR zTV$L?z7_L2fG4I9?`c0T^DxL$0%vrd+Qj)PYQM~b(0q}39J?OQ<5=a0J5pcT!+OQ} zTGY?+R_5&}pK=O6_NH|datAkJop9}|OhWgvMcW6sn@!SaIx7-hw%xyo8yb3)T>C`uS8*-IyIXhp6`y6;c!RbGXkGK`~JTOA} zN9##R<$)8*QzCwN`j6N1J9xqt=P_Ta#~-<_BOlqDI7+yaf+v%)Pv73Pn0d67^EtG>g-s)2zYC%7-r`(#DqHN$ z%`ax>GUpeI+1x^*(S6xFmo5~7MipLsu(n>%s4jdi4~a+UtFTU`>loSiP-3K~zDMzxz~8oO_& z@1~pQ(hIYAo5kJSolNs!rg$qieI~syJ(npo4&-uomKTG@9@t-7G-&KIjR4D|E0s4~ zH0JM4&E2^*okvF4n@vV?1cI;Vl~ldUr{?1`Dywa$Y%ju=tNu;l~t3hWSGiIPfB zPv=des$?CL0LHhg*GEeivg+d~50h%4m@Z~4dL@sEfi9VwE)-MrK9kHs>Q**2?_tg5 zh!vZgmi0oScsDbZnnP#qwOT%vo1?d+Ah0xN=jWG;>B+fFbN|A0=3ZucG_!=dJDyC^ z@tP)YH+k)?_g0nwJT3jTe3@C0-jujmYAD=vbJ=gmx-67ri5nqB?g${0E*9<;-947d zEUenoC7+|DI-M@2rSv+wl2+t9ZODMMJvTkGGM&o0FR9c~r@|Uim$Jq5tRtSmM9NG1 zwe+T(JYba1q^DDouR>3H1+ApQQvJa%AG!LAsX}B$~ zP&qn0J)fCgk=NC9y^x#F`5H4sMU$qs1TS5U+N_KA5V?ag!Wn^2urkJ^-bN+EicSw5GKO1H#uZP zByEieU))X4WzoMhdAK{N>FVThbE%G~v|`T_8Ic%MAp$g$bu{2pxipruDa7y8bhbcI z0RyQ<8@Ly+?Rdiw%3LgjA+REaVlJPtDyeZU;4&4f99H1^EHpquWD6-Yd3GT)O$s5a zEsHR1b&i}=)$&4OkO9bodBW#9SvHf)Pr(sFDe9Fh2Qjv_Viu@Fqh&DzkY>1gP3ml1 zPJ__p{DopZE`5_^3z6LAHaxjD6|n4k!9t^~tu#5fDcsGBTv^WJBuX@cTwY4AE@Qvcy^tXQ}Ws}Pzo7r50|#n-1XG)$~3eK?MV`pg@p&$ph>CadCdCMN}iTU1Q^pm zki#5ic8OV)BkoE*Wp%R*3f4r&Zl-sBda;;qvF5irIP0V$k08`(NFuf^8K3P7T7NO% z_NCJ?2%jZ?7BdT$m)u4wn!FE0$r$U@n<7E<;0&hbbPBCt?_`UqP42+T^GbH4j3;(K zy411#kfD~;Dg2|SxFOcGj!MrLas^rQM*F>LQwzm>Dt8xKqq*D)-n&MVRpMnnU7Wfl z{luh|>l9`&xt3W#KZK+;IS1^n#|}aQrB)UxZ6#O>$t(deKpjJ4%r07JWlPH3kV;Ol zW+Q-pi>$~RRW!U*5NGI0J#v;-BdcFl9Orou?Q*G7Emb6zSqBS2A+;hI+~Sy9LTc4W zo*hG|oot7DSrN%k)2hsajupaLq=6{)G9@QI8(|&Eun{RYY23e#MOjT)dO}@;MN`rx zb)}s89}!BHI|F2Mcy4pwvJ7+7PNfcE2_7uE=5lsgi7h-RvInVWByxGB#gvyW$oV#m zb;))fL&;5*V~%;to&qPVz}9UAG7ThJp%EKX!P6<7&!_Lh)Ws*=Y4X8CZrT%`eW!Qt zO#4u^nuP5@oOZ@?UBV{`$BGqPWwfoQ zK!GFN}& zedufToYw|OTyZgu4md~k^OLu+ZS4NvaR_apG3@m(=ki!CYVvoj^Y*-a)um}{8klq5 zWQlXWRSY%AT8IACWUXSzR#}IATXLhvs>}pHF4}WuER{TYawK)8U%eVQkNRZU$Vl>P z$~r_>6X*092q#C+q<~{{91ymdqy6LP$PisJ8SNSCQH|SIY@DuC<5eWxGnPD^I(2^F zB$`mVW@{Vhnu-#s{-Kk7SELh`m}JCd8>E_bO%*xU2~T+fnE{pczA3BNpRIe;cBH=^{0h9P7V?aaY15YI{4vZm^OWY-Ud;3O5 zW#1q!m}fP7^lq6fLQ@&9Rl|cfWpeEr9#S-Aavhj}s*im`$)15ec&XvSM-3NVYPj%I z!-c0BE_~H+;jM-Xe_d@DOpczT6;o{k@x1*>Ja2yz&)c8G^Y$n4y!}Z$Z+{XG{#Bps z?Hx?@B!|wWdiuvku{+Q8gqEd;61~8LXr&JBL z!SjUcWE(>l*%3IwHb(kR_n#lKT^dcE94XOqIVmy_^my)D+4R6<`#kht7qwmsReRs`H&DN7!AuUfS_ z1QAOV!{#*594tlkHL)g~b^dN{u4a+D^(*grn%G8cTv$XdaEL#W_DTfY#b7 zqrF2XQv>LKM}UKx?aAm^atzUmn{I1SG`3o9cN?*shT8*2TRDc^8?L+fZcC=#VwSLM;Yr`D3{U-%Gnh61uK^jFGVfHGc6Gx=|6Q5 z__pwDUs!myFD0I1{X@XBJC>L6DDHUWDDHT9tr{N19j_e49WRgKj+aMqT;(}&yqrsM zyqrsMyqpU^HC%YA;Zhtgw?J{coJ(Z zn^B7L#2&?_h!+ZZHKs(~*wFbDD}-mAR>xTvCwo+P}bEgtq}@>*Jx zMF_>I%jZWBFXP3^U%^Duu~yYYs&% zn!6%mU2#uXH(dHo!+sx@p;~$;JKryBp`<+v2b?k{H6E>zvORBZ>lh54)IuSLucn!jEXFn>i+ZPVE5QKvh5Bg+e8=&8n_`7vO9@chY(1AUEj zk7Ny5)ba`Qcf;n#&EEsA=p4q`r;zz6tOvLYhU7hN+)+0a29t+Zn4dQPfZX~F zg1mF`;yc;q=P*RGnIez3X*{D2k=6I*Ce=RmAV!#fWd3o){6q8eST8dR1$+b`IW?6j z6tXg@eOR^cQC-vOpPFBYpclSq8h-SEX`EIKaYL1s|4%Icx%n5=JAa8C0{Ze+Zn`%; zbt{9ZvdcR#WeCUo2(k{ux2#@2g*#8rLv^#ma{C)J|6VtH5t#)c02#4-W+^ovGXK%- zGXyMmK$XvaMDd7Bz|zeiXn)nMjbck1RJk_dz1lyxw4_0mOVes)`I?d$>#2AOC+d*- z4YwJ{S!O_$o5A9tR*FkY8dSM7mX)ZyX+jx|R6gXZaI2%-QU_J8j$7(#Ww^AYL6u7% zaZBUUk_NS&#*?R%9eJ_*u3N~ZrdN=vNO8^<4%T2@Q9%c(kOe4^8Z*F|O99um0!WPl zkgyIna-4J~lB*%#CXX3N*=9g$%m5OudU0(lfYc}e6=4Fv;+-N@xYMJLVp|`iMjvo+ zRfua_0i;F&h;S+3+ExImQ2++i=>#XA_LzW#Z33jm1c2eH6W6u^NR0xp;Znf0t)PWX z0w_=w=-N^svb=_b2OxB9D`)|dz<9fAaG*d%ni@P%NH|a+Qlo$YcGcjFeL_3-3D4Nm zwcQ5o*e5(=PuI4BcI*=vPM1s0NK=uf4W5xsI3rD@M!_@E31_5Wb$3FmGx9`g3}ASjEeH(U@ECBA(5gj3s|N{mZMRX2gjNp{ z=-O7$BB9lT1iH2rv`A?6Ac3xJ1uYUQY{|ZJb0jMTS1G5HVk_0G#*ak0@}3*X!jt1uI*mZBB0%a0J^pnv6mMa|gj5J+aE)l6w@Qn0fXQYYLD0oKturty`Y7{&peb^akA~g!0kv{B< zG?5ww&qyD3Mw&>Cf@h=;J0ne`MghZFYezM@8*H6Xe81x!(8CS_h}0PJAmFfr03tOC z9t0eA5I|(PLWc(dbZsGkNR5IA0UZtkh}0-}5YXWufJlvk2LT-p0*KToco5LxAb?1X zf(HQ|4g!eOD0mRi;UIuWjRJ;Kow^;)NE4}1@QieaGtxwA6g(r{;fyqq0ohU^v6bAT6YDe>xNLea+pZPG{hW)aZK#zS9|aA~g!0f$ww%o=A;?XW%=XfhSU< zfYEKR*Hq`^np(^iig*~&dO|Yf4|tmD;GHN}8}c`Mn&5zyR5V=ysJZ&iLE#ZC3XcF( zE(Hf~RHUiFgSR6N-iXvF07tGG9Ecs!LhJ}YL(fR#sbu-!kKL)ycT8E5MPvW-v-R zpmjQcl3NFik`8E{4q)Tf0i&d&$5b5naOn`k)*)I`PaK2q)=`i_g*qKCGU5s{h}P+N zkr7vrL9|ZCi;TE}45D>9USz}-WDu>>!BD&VK*2#=kApadx&~=#SC-n3lfK)K0uIv9 zRqh2xTmc8sIvp=K;tDv3*62_c)a4s7Y;X{*)A53XlB6XaJvb;A>aIrt2Nmk-AuQNA za4e25_nJ_!La9@o2`^SCV_I@mkCjAw$atN%Dg`c-PSsWAg$rd-OI7LNLdjBhuPDf% zLR~#xWKaUNRF57RlrnYKqacF{HT6)|)TKiVyB?x-I$mT@CbguaM+W6e-SsHQph8_e z7;U$X0tL#Q>U6wNpzLYMH$4<6U+S($!2uQO>ha=$a;T+x^f;ibskk@=cEeN}#&yQE)(o zx_Z1gprmT49z6~yjq0vP!2uQO>ha=$QmCbR^f)-&88ZIBTa^L@N~-Fr@J$krM zs?}YO0v9UO)#HT=rC&?+=;0!Bul#$z>_Vu*3mz&iS74T4@&QsvSenw+n zK0j1(%xgt~6Xk4mRsa|I%cz-rCX<>ruc#g}Qn$)NUOG2b7T2>3DHKN!OBZdK^$5)m0BMY#pLC^-#{# zt)t+83UxYO98gNNRF579lq_}Equ_uFb@h00K-tk!J$f8aKGa>0f&(hl)pIyxeBG<2 zK!H-GIyH=TQ06l6s6vG|fkA20lCOGPP@=S=m?;!P6)*HwuONiPbkzd{o9sIc$fnL@ z^77}+^b`G1#dmoPD)7-KRue5ih}WRJAs`KYkK3S>w%RA@at{+NfRFO9(c%D9(mEZ$&aLBMGSQ;NWTFLNi|~D< z`PA}asN&6D8xAt1u&!QU&0VhpzC;UXjSeMQCHUMwbjELganGmX9Ug;9dN!d?&n74X zt1*bj^KpZ$;=Nvj3J~?V+XSU&U9D1bx3W;zDgbCJ0oUCsa)Me0M}Ey~RDqm6xtpN; z?P|aKman!6Rs5#cssc)V`Zqxt-Yw;>%J>FfLlt;p!QFfXwEFaLf-=Bv9R;-d^l*Zb zy>1-^wE9eNf|9*%9R;NNOmKpdy>1-^r20&7f|9))@o~ec0>3_R8Bo%~Qc{Bl$^x?i z+)u3dGp_*!ge0jm01&P=_A)qzD!$~ks)R*-7CAwgVRus$6zbE)3Ca@J>G2Y(z>fyp z_7wb)qON6tlYIU!eJ_i*$k6LkLKR>2+EFm4&oL(`r7U;iWG8JK`VX%m1&E}fbI1!s zN;caoo<8xMpggm?;R+C`P*)Fd=Qy0_mRI6u1#UwMI`!G;1SOmuLn{o2%CN_flA@Lx z8jmO=?W$Z!Q74Gj=}>eeILP6%>W+EsC{Wa=trL`)cGs;yQJ=O>P?p+hc;2eK-fKv~ zAZh3tK^Ro-Atxw*Z4bFVmz|*0b*bTY-3?wl3fxFRS2w}U?m!y_c;}?s9|eN?oOgm! z+$B4cR$t(qlWsc-EJ;D<4*=)bu}}$wx$P*Z)aS+%l>UyE+LfISReC#FNtR1#jWd)R zFBzF6BV8U(lbyWkG zrIC?|%24HZd(9}=)!$A?(A@*a3|{t(ars`a83no|ukprvhasWdVUV;=2k>)(L7sxa zD?K&SeFht$`g;xux~8lAPKtT;R+ziEf86#^B2Hd;|*n$Aglbi*Q^3u{aum--4v0h zIq!?lowER?yMYQ~=`M;+2T*kDDA?5BIZ4p15Uuy}^#RiRKk-^s zpsK%TjAP=pKn%M}Z&}YFbS9MM@89%XfrJkN?JFNx7Ah(BDc)(A^Sg zX>nfua6VPc-@}^y4_-@3VAbDXNznZiS38s&EV5A74#3Qx}V~%M?n=8>goYR+&T(o^fy=%bPq-5BJ2^E#|w`6wZfr5rPrQ< zC;iQr1l?73N?OvZ@wgyn=g{q=>QV0dgz9W-KYASFA2KWBHyiOx0O?Vf%RTf z%KA$>y6U~qq5CkU>Ot#tywFkZ$s~x@=>RFN34-kV{ZL?s*JA}x`a3lVx)bB>Fa=Qh z+cXKf5#!cT07Zp5kG(;N?!lCL2DDDc3mUr3Qqs|arj>5FsJ=;tr6cL`dRpnOid)A4 zjV#pZ008_m*F-8W?@b3h)47%In3QVP;-!`Dp15mv@FEL!HGA;Vs@xxuv`(kYHwPK5 zbgx9G<3UENa(_h975U5}UO~EZU%&f>viseac)Q~y{!8OUJ*0rgGMe_O@8axUTU`YD z181W~D1cX~RTOV!3%K7jfRjgaW-cM`U^zFQ@OG9Uz0b5aIe_U=dK-{@2QIzPblk)G z*1pozsu}Iu?rxv`RwBy}5f}-KQiI0eBsF5PI4SR4+)5X4xZSbO-F;=f>088MI_6bG zwFlhQu4zUDt_H@*iEH@uf!7v(5l!DDsMGQrMc@XjrW7~i28A0?Ypa!bP?=;EcwTDq z?5%u?HGl`#heX_0WgQS8EqeK{A0`wZY=h{EBWrcVn&w3y8@Np!a|gdP%HPf0#YaQ% z!lrETKJpk-VDildsy@to`5xl+<)UcyBAZ%5t6k-@^b+=%mj#6)chfSA=kHF^Ignnj zAF$sP94Rp&d!LOzKpe{7&EsP`8Oy`x?K@jGrTAr4{5ELJgyWA9S?z_mI0@NY$1wJwh+BaS2PfKtFT%L9L8&^REJAu<)wI7;uz`IDKN!s{`n@LOE;&os?$fY@PTy1j;{ zCXy+bZd8IND5UrqX9(BAho;Q4Fqp;*zD1^->8q)=)CZa}dN;R^=0t#6NZk-D&~JA$ z)1?mKW@C&mt}@0Nvujo9=>!q@xxgD}0FflZ#B_!rMJXl-7iPWj3|-@ek0JXt>-fbn+)$#Q z@&a~YZZWr#0`f{5ZH30U2lw%+m&N5`hQ1aC!HFM-)Yks=8{Id zE^(W=oeclBG;Fa|!y@o8Hu-U2li%!)hf&Hr<(Ik(bBDQ;%zcu6XUpGwa~u18Huh=L z*n_4vQV^rR^o;>LOq^xkU^(1-2g1$@v(r3+)&zwxyO^pLW;avogn5*y zT45ezYJ)J3GgT+d6HILu<}*xf5oVHW+9u2%rgjLkm#OCo^CVNdgxSYbqcBe~wMUqz znc64JGfX`#@UcDVUz5PA?Im?cnCF;k5#|6>31JR0)h5g#rVb19JX4**yuehKFo(JQ zM};}U)Nx^sGWCow$C&C7=0&DX3iA^Ca!Qz&nRiB*SC~ToU1e%OnBzb5YmOw9@NHd712yu;L@Fz1-c3v-^SqA(ZOtGmL?F?COvi(L9Zm`hAO6lR{O zN5U*H^?YF#nRVklrX=8OMhCJ zFJ|8Bh54O~lAjaicQNmc!u)QgeqNX_Vd^cyd?{1ED9o3!#xDu;dzgB=Fu#|ncM9|S zn0mJ`zn`i13iAh;dY>>~&eR8l`GZV-P?)b^%fBYfA7bh^g!xLQJ}k^vG4&B)zM84u z66Oyx^*h4+5vD#a%pYaycZK<5Z26PI{Bfp!Uzk6^)E@}*HB5b0n6G8(4~6-YO#QJi ze~PI;5$5Zd`hqZjnl1mCFn@-rzYyl@nffbX{wz~}EzF-|>TiYl2B!XAm~Uk2AB6cP zrv6EoKhM;^2=mQ69$yvaTbTE6!u$oU_iMuZMdtmdFyG45H-!01O#PQI-zKS$AUWvUvlzNH02zFpW^$>bMI`0FOTVyr1N zaGr(as;ILxQu-DO3+`T}O6L2xYtZ&$?CF%aO4NLCR*p%Bz z^(zT1TCwjeO^PSch(EdU#FLA*KDnsXV^891`;%Ke{N$n?Ec*0gy4U*1!~aCs>f0x? zZ1wJw30wX9WWrVtKbf%A$4@3~_41PmTmAgFfu;{av^?49k?0fRmqecsza;vE_$ARN z#4m|HA%02p3Gqv!R=?`P7k~fA{x}kM>hp9sr=%-=3WG&-i?rucN{NU@l1*|I+&)qj#@bh{{9hCVUEQrbasK1Rhthcqx-yo`C?h! zys>1tDfYPQz-|f3Z-TM8HHK2g2KrRkV=E>}`hpk>>gJDCF?~$yvGta|H}+U_&gOQF z9#pwx}meiSd*}gur;Qm_2o16QZGmAn0vj`53?<2gF)Xvwv@Xe zy7(@?73Hj;`XPon71gT>SzwSeL=R_JVxOEUSM3ka61J-M4)#`c>~X7H>9cPMc3GTs^3IH-CDAqdwd{+892a(I z_9FOvAbqHi1#}^ezAwn%>|+^SNV8?u4r#U&EtztG(8YZcqOi{&L1a1IKF=ek>|k|E z07+Y)Ok|U~wMhy&Us7a2UDz%Az#@z4de8apBFpG@60XlNvY0OAXMd$}Z9}DxH?HlI zx*V=nnX}Zb=C$+1M>(Flu%!7gBzvYCeE0VvSx&bSmp&uOBDxV*zAm|XPdFc)T-_)4 zwmkVRRcuad$TK^LV02QSVt^ zn4Y8JW5#hR#;?!t&o;=%p759oJ)Kf1)$!>^TeIZh$@}Ev z6ni*YOi$fu42Ir;`~9UyEY=dG4>*S26%M^K^lto2b`kG@w;Cxz?+v{v0{$=K(E_{D zK3{W+IV@zX3qxm5NIP`m=PX(Sa_ohP2+-m|a2-+nk~DmOQmnJS{7IXgeUT%_mcnn&oF$8Hh&Lg8-k7T#`JW05g#j{2P8{lr~#w$S;$f?+*8&Q zmLl|5p)cVHn9yI>1VZ=$%r32CE|qnmzw@IrgY=JydBcUHnS3@qmwiAD4u08dH9OiK|5M8ga`fW>--knA zt2NBfe_*(|kF?uA(+fSz*}3Uc`5b;Q zydSZwtV%q~i!qvN?o~v)Mc4?Nk+w_q9NN}3w8WJNZVDd!Z;xxBM3JLBgxcL!suaYw=jB{+AEBcOzp?u zD@(gLpZEDnQVccP7=Y%oG zyai#*v+ANS7PvGoj2!cd!dPUvyTVvv>Ygz2EcZYd1(tg#j3QHygt5$}&lkpB=Dk1| zD@?se827mJ#lpDH)OQKv0aGs##}5GxiG$sOJ5<3=QHn> z!uWQkUM-9lF!dw|t zRv0f~>J7qpDN}C}#>-ga&BFK|w(<+Y_+I9{RT$sLa&HsH_cQMu!uSEE-X)BeGxZ)} z{2)`mER0t$^?qUe5L3S*j8`)CtHO8{+xvB4yqb9*62=cR?>B|@Pfd8WQBj5jm&kHUBhQ~xZC zUtsDh!uUm|{#6)nW$NFB@k>nohcMp8)YpaacBZ~5jCU~g-@M3D-gsI)a_$X6*h4EWV?H9&xGj%{1 zzr)l)VSJ3KW?_7sskksc!Bnd-ewRIM7sl^#y&b~%B=e34<5MixEsWo1>X>mg^J7=a@Pzj6YKnMw)cuedZVj4v@YC5*pjDkF@)VYyjh z{4Mjc!uUI;?g-=WnVJ{Imzl~5;~$t>62?C=RS?EMajz{4Z1hM!(nL;f7 zI@gO>{0(jgV(~YbhgkeyOd%HkH<#j}S%Z16z_b2+3sar<@T1t6y^oVe>fSUC%aK>% z=vs23#HSs6Bvt%QI-9uJs5dC z)^gjuzI$w{7r&&)&SlOo(pk5FHvSxb2T*F`DpIn=ZwyD?5P1`tK;BLjP2;e#XIe$k zU0W|+oKxr)kvB))5`isbXX% zyupaPE%J7x3?j(b1W{4rWEzQ!8_up*N|pNMTUF`1BJYlbH$>h8TxpKA!N@P;?6HD0 z%1CA=na`)u^rQPUg7yYnIr7;#3r@mJOKo)Z`y)t$gf6#bfk^6`}#J4R03_uBOel`kE!1jrk|;g3bTUe+;0oBlBtggGr-g*gc)S& z_kQllD^Su7FFeA+Sj4-2Y?{mTw9E<))m{m-DUYONf`lrIIVd{&*T*nlCj1prC zKSrr#jV}pvJyZBG$_6gQk5M)<^=0}cj&m5`A4rP8I|J{+@hI|7?u6qAexjG3Mp!x) zdJ7eQh4!-QkDKr-9-7hr8Zi4=diI``P_;;A4k3xF`6R(7BQNoh$d|$f8lCR z6*({^WXh{j8msjC+n~%j(eI@yvJ3Rb%;H_K)|gufJYXcZk}MQ*Q}hF=Pejr49Z}>H z*4Z_cQgu<}4{A$Q+H9#52uCZULDSfcoL`y546+*i3&pYAK$emLbLgmD(QpJBNC}u1 zMO1_jM2vU2b1b%>!zLySLB zd)y!&jN&97Q^&+ktwf&}iSCRx;5|SkC-8|!{waO7c$ePVog={P2afeGK~M%-MoFYw z8~MEn2b@lzk3b@!+T6czH#e20#bzv>N5Z!6-c&}eJ;5lwDbseDGxx#hK2Gb(l>+^Q ziRhTLmXGMu(F2j_{%Dghf7yH=W?l3UFzbl%fCQt+@CG;yDSxi-73kK+)iNm&O+;HG z=9i;wmS^eZ0)E0vzYKI_OLMtfL=Q(hBGJ83WEn)Mafr9UXqRb>Amdz`B>!h5bA*n( zEqXK(?T%tkdp_Jn-GM#rD9tNhG-)kv>G?$w?Uid!^du4(EO!d&6qY-Ke7`mSrn7f3 z2SxNO&yRD${0HvjLCjdd;-J#($Br=)8Kl<(12S#7u?I3y|x&IyobNpL2FbSM_ zuXC5+)HA`(zNE|sYhw^$0|V!pZIkzl8TwkZ73U%P^y+b53G~u$SiFr1YFrARqUiE+ ztomh1eO=Bn7<~n{kF*#$A=6qmkvNXNG8+A%=&O*6FqFLk}NThQ6@PUW5T7XVM zGl53@3k0quN>K>;#1ptz2=8Bqo4O@%DxZ$Fd&bGEBWu6fN?6_?CvsRr{WH-QeXIHYX!IAOzhr7|AC2YHBt@Ik^9xhZe7k9Ms^`@;_B>@Hye|4q5&en440Xc0 z(FyNa7ntFd-QA1?wv!)@zOUA(h~f@+0Qa4jrw~YYdv91Ja7HPsL_~ij`oU=Q9noK1 z0|HoGXlmSU#QlM*4B-zUbI<{q0q;14V`z0vce3Ahwn$V74l-$ORi%BKk3g#>des zpQtq|qi+_`k8($S60A?v8UgAGcL=3d`+GQ;<7gDJU@zoGeGWNao=Si8|8pa4;k!4A zTkM~W{t1>R#LVS|bpAe_rWa;K^a}{Ah;m;n^BB{$;$9TEmHKkflZ~kNeg?T z-RZS2aj)UVcl2*+jUe^fpL4JMJy>6^HA0$RqmjGah}%Km!F}{EWjI+k#e8tt^V6q4=p%28ZV*!gij!$`!b1A zQHhnQ5vTs#f_z43*jWta_Wu98x4a}oP=qj^0%_uxa_v!XSJCGL+z_M>1Jv3 zW#{G^gQ7-#C5}@-Cr1$ri*=$FlRj`N0%`;9F-X*kx-#<^4H^`{g8sCN$h#!y#MUxN zh>woza%GzodbPht12Uum$k3m%Z5F+J-=o3Up~V{-^cfI$IlV34!+{KmGTO@L zG`+{(qrrVZQ-c)CHe~erd5;FSoIaI78f7h~*T#D^xaIWG3(`QV9K>hw>7^9C*9tG~ zp?!AgaD&H^Fwi*MRF}|4EIGtS!=hW@VDef<5)LLm$rO_9Kgftivi+4z^-&ms@EiFO zXOagFyyaG{RDm1p?yLXvu27m2k++Gn5qN)Y)lT5Cqgjm3qB*gp6tZMz(N+uZcFQdn zslQe)wCq@aFwC3Xc4VhpR#q#rlo=fK)eFzSVnp|?8frXS7Btv3l}9qOeG9Y~z%Y;F zL66cX$ocG)$`QXF7T3fCZlCcW;`YUl@Q9~{`E_}k6Lsty(m0;tTS>I7eLefUD0~Kc znujJPiPXg34W4m7G6>21UV2C_k}OSWzWJ8=9V;szj(I{)A(EGHd;u}XQpwG z#m!Lew-*Rsl#RRyikOnpNcq%U$jxVvUm=I8S^m3)Z#`2l#lwuW*aqZT9E6Y7T)qO~ z!O9Wgt7E;F3*T0zUO_ql8S8-X(F(~|AUus&#uIk4h!VoLgVlc&+yCss)ZFrPru0_L z#XH4RF(`f{W`*PzMbC&X)o?p)&oTnu>g|(ul)Zt=-dPh#_XmyVQ)S z&6ZQ-Jz?=~@m|~;W`lTF)|?aP+_-jMeTyO+=EVmhZ~^xO+#^pPlW=B7jspHlKDCn0 z$^f?Jxt+K2cBa#K4>#>Ma3h+R^bfCU8GG^fvh+vG{K0^GJS-n{45dc~6U@WGG+RCC zW1Va%hL_vog`GazL*=mJwoIRIpaO__3NWouKnp+pDVt>UbpOi zf6_+^sGzJr=}QDu!2L;I7jYY=FAz|987Anv0aU;h6GA+SJc9I{4o?A(pal*3ZiW+9 z?a7!~7^cm{ldR>O+%n!LN3#kq@A1@z2jV`$WidQm!PNRPDLhBc{c$eC{RDSsb>iUo2`)qLJ4=VGx*(U1PjbZ=T+gWSEF<6kfkn!o z)QQJdKEP$zMmrIBCWoIhq|$UBShnrE*#m5me~P6~2;Y9W;8kHi{nO0r6~05v!^11D zW8P_6{7@|~ejt2wxZx`h+8Pt^*cWF@cy{pL+0q5!Yh&Js@O3aXM)rVqwg%t#8Pcqv{5p@8r5~;z1+kP0~pLSp}^s&r2&# zQdIOx#jOgy$!2k1w8lwX;5P!(8Buiy`PtBCtL9eGw(8*6K;Liizy%y+R5m6Bl8zLwwAXe&$D2fV*ioJjp3t;cP z|DWW^W;eUpAvgQ-`}|+uBTq8uNP>o@t!Bcc2|9TJB1oBSnw{U-lz zNV>-%G=2Ss{qjPJeSs%ftqJwzq1E6yG$jXi88LaVsUqb%)H`uIvX!q^&E+an{v*h8 zEl^tLkbK7S0c5!mk~TS{K*JJx3UF%5Zke>t4eaL*m;s?w76APeI?$0*=p+`s@}ELF z+o0^H9TH)59!EOcA!&z0qKr;dnx225V?Tuee86uch&Fm5JqvUUMn~8RIHc-;o}G9T z7HSh_dKG!S1|(j0NR08?jlAB1q_-X7=y-t*fENtK-LRj(NByT`ul<1fJEOFZ`n#a? zG4*#x=~LEIfhzV?5d3VZ1!Exi*=h>LK=89g6^wz9S}m|Yt2g9hfhGg=m(+SUOVs-Z ztoM&l$)6lj$m;zK*85jT`pqFlx_SdgsCNtS%E9)40|af9{!M3y)Yy;e07L#GIw`FS z^-pEkQZg(EaE1lJNT?v>kYZq1KsdvKTu91uNQs6advgVb>D_?6#4;M#UD)sDq#6`V zn8K!UqXe5mWK#r17dxbsv56p?GDxc8kTM+`C@rNJ_A1PH;9bOiS0^3t)cs(up7tQmLS|*-!W|Dw=x3$u_jK@uqXm~jHR$q!+0;KBFU^5VBL|NeP7AKUOR$pVXRpSb0TcY} z4H;t~MEc7mM*b3$Qvlz%Q>xw+I>gmzFL=C|i3PF83-(Hlnm|YeiEbb&m|k`EW5qDk zKvx~ehroi{sDBpfz8$_w(~)^}CVUz3UyfH%$Sd@7Zt3^Em&Ew8dgYQ{ioM>wp@|o*@YkC3f2_dp9eV~p#B9& z77;K8f}gDc@E8+Po3lCf zFGV%4!bSq@4X=ZXJxXtai#^uuZE&$iX)o*%L+M>`tVan>^u3R}1QftG%v#AVbIHCx zVD?_)`EFxyVmzkcBk=kufL9P-Uuf?ncTu@UH+ZV1RANC}wD4yIpM$q#!583>k5&8%y!5dW-+<>nrhNxnv9N`Ip#J4(o}Z|H1xmlb z_AI>m8*I-)=@06^7q9*W+p{q3AL?I?Y5&1SEuI$fQUCosEdn1TNAc1kLD-+g8ANhe zYsGJ4CivNE47MEzezpXIG1j@*N({zW=VHq*7-OA_t+`+fgw!@~LH!RQi4r)yJ@g5D zAB~j3)-a?~6}F%t+3K(b1*IclyBJC}n9sVr>$p*6ETg+PUxS6uL6M`uZ!%H~wiaT2 zVb&gpQXSZFhQ%LG?REBgMyVd`N5euJz%Der+7Nc3Ap%}+C*xIEbod^n=IpJLeJ_u+ zr2e&7MOcd8fD+8a6)2rT{g0v4hS@59>oLL4R-@2z>`r1!P#9x(5?hJlF(&xg@)O2D zNVPE#cmj*)0u|?ufRS$0{}iUd8o)Csox>Ex8<`1ywrYiHStGNTaEyVFTF8mizXR3u z2Y+$23w$_g%WuU9%$&RMDw{dkYdk7q{evxKVocLNU~Za%28G!(rA#)}q$YvCVJ>$G zh``H!>P2{y%Ry!1(=S5PU4j=UrC)?+fQztBQ`0ZPTt5RZUX*?jp1x+{MfhrzN(koj zi}51(sHI$FPk2?8si)`kQB#J-50=P@z&sp@U+*}kd~7+KqY#13I$>PB?>2rMeBz7D zh0k-sPn@T)IVdtOH*!_vYM2~*mQP`WWZbtoX#+yS@eA_6=ikURk!xY=LF78vgp%fy zq-M^ETn`^?%EwNaGJ|I+?!~?wXUGKHpNu?}8`&Cx zlS`x@33T3({QEF;%4cAeOb*qF3%qY`&y74AfhUR=alCkrMlK9bXD#?V@OvSe3oE_g zS%0VoOIR5^QX(%oq(3C1ePFb zMqZ}=H}K*+G%^k^z6TfIcgWG~;@fy}AB{}Jiyy>G9-xsa;o7XOpTWh? zqq(CTQkz|WAFqE+Bh&Hvw{ZPChaAH$?!${e(#TA__%mGm#UaPCi=W`d-)UqPUi=d- z{^gK5%p88U)`>$en{L<-PGgKsH*A#>V{E!%i*h{11V3Aw!x(!oV9Q?^1HsQ$!7#?= z47L`9vGh5EJsQH}IsBV4^fiOY`^8F>!FY%6%5PdpJUNS(P(wvRMDDH^wADE9*d4oS8PEm6%CbSdf|a! z79ZE6$EW9MO8sA93H52T4p#02D50T4>S77;R$;%-oLU0ZmsbjEz(X){Y8F5-67YTH zQY4XX;pXt%g&P5)C(~$4BylQ`XycH2NFv@D*!op!36R7KhwAb$y%G4QR3HJf>Saix zbGjOM9{K?-(S=4kA&G84qPs)tBMD%R&758Z_Q=Bvhi2emy0O^f3$p~w-n04C9_^P# zq6I7!{EQ^dr_sLH;1>XifevZFNwDQHPJ#(3mT1w8hF%T52Dfaq9F7>kLPh|gq3p-` z)U9VY;?U@*=!Go*XzKqB%N^?@ofFfA`W(yOnB`C@2Cew4&o8FFR`_;C$HU&U=mfa? z*pePnPrnD)V;3_HJiSaq-qX^n2d`v*Bgq*wIt7h$F&O6(hn#@MiQoHd*)G*M@Zk9$ zqG74p&sOx1OnRSXgAF4CPfM3$cY&vqRCOm(%a7`=rO~UfyDR`Q*E^&kl8FyCZ0#-u z&BiU>P2znwy+^T8EfpnhGd5B%G+U!g&5~XlHt6x(aWrH}e5_}mEXGY8JB@AA8aIP| z|IK(Uj*shu`;2d_n=l4;vawx2(Yv|lWb~eNTIu&B8?ZR7c$j|2Xhs}%|8rD4)EF*3 ziQOv<#XcGGFx_yP^=8(IlD5sMoj;|w^QcfR?8Lr4rL+#~bV<%4{N_sBG08g8yA10^ zoVyrv*=K<|a)BJ4Kn9K*KW+%S(P59>VEENT<{Sar3y1MWO(l}v9&B|r$+cXs4|ne! zG8@}!rTDQYYa7O0+eBrc(UaWW)3U`)DO#I-;7F}WOKJu2K4i;1Y=InaYfTM>7Pj`y zEXmfeQ?NUVNtKMqQGES6bQ#DEdg8WCzTH!HMx8s|1tJ~++B?Q zN-{G`N|Blc<5nQ_3;Afw5 zF_v!2R?VnY9o2jRS=ov<&&q^qi8HG^$Jj((Fz0?}^)00+{6PnXiqHNW-Phep4T z{s2Gh82u3zAK|o9IJ$4r;ITQ;pTXA`9;o99>GB8I5p| zA?ngFdHfd`UIE<Mz&!+Q}N=m=76n{-HePvd}&FhPN)I?3;8i3?oI@wu_%Vs&8zV--hRXpB>gnL5I00+VuLr)Q`a z8-ZeHlExAvWpCQd-hjIi?J^Nw*|F^uF*r zx6pk@!3vqY5qKJJxzV|?3uCZ6SR${*zYM@mdu-fsxujYQ770t!valxz%rh~Ul*J}N z&t}W`Ww9x2b5>`WpAT*_CP%Zh(_{=!IRR$;CYqK9#HK6z!2ZW2;iwGv>x?`w(@dLm zx5+uMS)SRiGfXrvxFmfbVW}O;hYy}QhFK+cX>2xpNr_zs<3@aRO3slJyF4#;1%1jU zJ*icb&wH_}Y|@Kb=;?WJ^aj6u0bc$q2UpDVJBR8&LPcN>QEXUiK#C_>TZX$V5!4scoe3-3aPI-q$Nv* zeYqE6Dl8MmV43hlmO7kZbm zEOnGkM%!eJO~%sjI0V67$r$XFJege{XOryQqyn`rn-?k(gT4@q?$(lNZ1TIhT0 zz*CFrH`gR_$2tvBQzL*icF5^W({-q+86-7#NGIlZl=KESY+%Z^{Ul{4qOw+iJjo$v zu-hL#>0|^eV~2Apg4+Q2G>3F%;JG%riiY1tOLv3|r#s|Kev`=8C%z#!;dkbAMlEMT z=Cd4fR_vy<$|qdFoNk!8J7hlFAzfIJ^K5dpP3BXpDIegSKGbS~(z!OdhFYyK(w|x< zqXc8xwKlmf8hQ_YotA%#aE5@sp)jG#4>g?+@uem{{KmgnqBTxGG~%y=qy-M?rNN(=z~6xQMUeSMhxBIn zV-bHdB;DeWKJ1q1fR@`1O#q!6D~s@JW`eK>VYSd9yJOw{h&m9|_r)>hy8pXOvvv!WN9W0hU3B#nxXD(-FuCv2= zPJM}JlH)v2t!`-X7vYh3$PDVR%^!5R^D-RA#T`tXSEnD^MKACo7@1W#qxy{=Ur;`Mdk0qoeMtrwc(KX$^0Mce8|@+oqaZ0 z1c%BZ;1iqNXp_a%IuBt7sWlL#&v653ZT8J|GIhR0@K-ju3C^5F@V7R(*(SG8YY0Mq zq*ggfKeIy$;C1^}YM~FH^E3wHBdNm0Gu;1n>6CY_c5A&F1g=g*9w)r%mp%$qG0Cmsh*xi-1mCihZn3FO3_n_3mCabBn3L~wkwFafu)Orx5 zQ*3e{wI0F9X*OA7ll$RCT23G8PI7sV7k1$HNFmHQg)rv~WcNr{evcG(hNLqcGAO*& zCJ(@yMZBxf!fxC-rLen5WXs&Num^AbLU?(6kXq}JM{jCvLa8scHlx&!T6ln1Axte# zV%h*|J&n>pc*s{dCvWIZ&>oDmVFD@~>X5;Vb`PXI9Fj&jWC)}EkdM?*wGCs7zkFMF zLf2^2H3ooV9Ws>Z>W#W4K+;5q3}d<;hI5ax5vNjXJF=Zl>AAEYJfIZLfSfZc^WxEn z-JXT;fu_807PX#3eX}VYkNW0-zRMjlyl|FH9--C?c>O9$r{Q&&9SUJ~7{RWurPfP$ z9p=3`czq#Uzri6R+4XhQ!VltwP_wJ?`Ymw%R)>sY*Vj`Ezlj$vrF0QqUk2Bg!^w?| z9DWloTtVsWc<~;%c&|f7Gw=p#;b-x}`zXB+uip>XA8^PR2F7pVg%4A@7B7OALm_xM zjAh`BaHccfESso>AIJ+Ir51h-FMN#B4Tyo^x)6rzaiEYqfPkl{h2NeFpQaXm3NCz> z(h3CZ0KiU%jAw;xvPp$a9<|A4N}uKr&V?_*<(C~YAqMvpe&j8D%_duH^0-Z&fK#5a z2ykGmKnWZeA4Tcix)cOUb?@}KEnkOdV!XHpI zyr2}q3(92n==c;IQjO;LjaqnGT;U(o!jlFH|FX$8o4_Rcj7^|Lc5{;#`68hrzeA?5 z2eIvxzJ#eENX>D`RF=Af(w8wc45`#1(^%?Gn>=TeT{d~%CNJ3JMK~3kSFEU*TK{tT zMWr<0L#Ya!l#N%bflpv=2^g~I2skqv(~hF_75XYm15bgXqoedyhfIeqKwsx~d(p9w zTE`(5!9xLk3p3V*qyS%X{^yV`92vM3B{(wh1(eQ!W4ci~lLi7< z=`J?e4QF;^a(5cY!Q>t^fZt?_dfMbA8py|FSju~u2BH{&C5u;Rpb#UlZ1Jj1UW3ED z`FG}`L6q+2579+1$`-*WI~({0N-*Q(sdDkZI+2nmV-y4(QK)Cl&g4fRv;81#016$!HDemLzLQE&?New41Kff~s12AdqP$w8ZZW|Plt0 zLKQyh7Qv%#5j^T%&Q#%1NJTJq7Qxtg1yl7M4Kzc#+bH=C>B3$5J*B_lod@G)5saHx zvYz=nc2@A`Dtf^ob6L`#$PR|jA{aieVztjd)m#b@8u3XG@0Fn+mfDQXU&_G+P>yI=)ir2yAst8=Ju7`OffZNQ9ey91xcoEiE zieQapA-jn01V#VS{4##Am_$OwaFX#2>|z(Lwm3lZtKmf$#fo7RTf{En{(wO7m;-d8*ifi-itZ%r4@_qT&*oUmGvNeyC#D4|Nl}hx)>2KpnXS~R~d7JCd_Kh`0)uu1owWR%Xd$*(jp4I^D?ek+8*dPOm;S1e_)-;!?wb(6Nd4T^j5 z$*Qdi}b~V^FY;*3^jmF--#Lw!y1%B4Td{p8LPpcaOyr% zxR3^Bpp9Tv@o$=cJ|CZp$3x}`4q46?j1qfp+b3=8PUxS^$DHCR0GaBLJ7J*6FX#7I z@kNj{!y$LEf#RQZMYw@Gp=cH=x)e~e9kPNc8i$H5hombUayL`-uTB1=`4f>RJhT?W zL+d?^XTrH5X{UBV`*o;&0U)k-$h}Pabkq*(8pW`#u@bbyTQ>QZk7C8Q*gl`_gOh(O zI2s+3meRaiu-s*ENIIt7N#T{19nxF8!uG)pJd+Q5#o)4A3@*E?;DN&zr1=*k3>GPh zVUc1rOAXQdOE48yBZ^@);y#v|L-S{0>IO*N=#Vum)u#EEV(Ml{eas>Es|}O189J%q zpTHV#1;~>Qc>o&T2W$5*j;umm&%%Z64tbF2QWu|EC7#xbpF=IXAoKGMc_@8VuvKDJ zuy{9ShT*&zhVzG6=9G<_jS{Ot#jj!R*CF>C4tYeEyJ?~U-^Sc~AopH}tkvaCtke|0 zhq>Q}+#fh(oi4XrQKrQoVQ!cwiea8uugTpw@oZdt0COLN+@CpQ19YW=Bd{xd2}xf$ zWFuQeN~w6`B%6MV3ciEf-#cWJuDIrjivNVUVX7&Hsis1gJE`KoV{SOYuo#Xoe3X%E zi{#)4!(uqXaI=nFVzsZt#}$|0WZ3W^|iu|u{p1^rP0OkgE2fjy~Hkg#t_byRQ!pSEbreGQ>Xb4G- z9P+GAL8AMWG(`o?Aa`?zY}fE@nq*)6R8Rt+3QFKp!46j3Vl3_yNIKOaJK>=wav5Jx zFKG)&?Hux)Ga{`=HcM*sj>zD2$lb{yyFh2O3hIQ<0VVJ`;CW3InkNRE68s)e(j9W2 z?T{BVRcM~LmrHtLalIgSZ->0dife|&oeN3*9I_j#K<4m)wdDLX=FJoRz2pK^H4t!v z9P$!V)d5uvg`{B)d0EFi$@n8s!AQtG$|0{X1-(!KtlyNt`pv5v1uc@g>jYFV5pqv* z$ZMLaw@B)n_%WaaJ_eM)$AH&$xfAz($xPG@uD2zyvh#*6cTz{3jkzy_+;bfArY?6< zn_r2!=R)qQ9P$>cxaddoA?X^2yv-htalx}>L0TiUOltjwsOkp5Epo^nrs`I-;Z2Zq zvqSbWRk&PQa$CBpq^@y0s#*%TI~?*3Q?(RT-3du|Ipkf|9Eq2qRIge&ZkF7GnqVp} zfvNaCrs+=9bRQ(Gamf2@#t)~nNwPnFFerg3x&)@^515WssAD}OZE(nkn$FQOsY&oP zssvu6O5inWA5(BYDuCCh5_paJNT(pFdp?D=*ao?ucF4y}!NaIvJ0$IJ$R`>FCnmMc zF78-R0&iU<@YeMyQ?Nd|0iIY&V45y@IU0pp>}Sn0k3R^OyiNnxq4XvV;4*c|+cbd7 z)Fpf2M_ll>goP`1QgGsjH73%M_xU<*$p7Fo%}F9D0zIk4w}g@NSGt)FtpPjO)`S@WvXP20w2*jFx;4FV=K<3A~KqiggLR zi#&*Y;XPm-O25&-MwI@reUt_s!w8&-LHT=m$-gv!E5)UR25_ag)DJ&0E8nr*y?kXS zD-H0c>(XE(R2p)~XY3&>p9XgF@=Ej4`qqhwzEw&Qnh($dhkOnV6L=AEv2k;pu zIhxzPLikM}-t9_Hgx?85=_L4dAe2r9N0{WUD1PgOmY&K#A(XbUeMK~Y3&*AHXy9Yi z+kplSqI5d^3=!`brSJxc>$jz_9`-F>?E*hJgjc)4&k$jeXTwhpp#(lsDUW(5Chq^z zUi|JWh4;r&cz^ts-F+|eu3y>@lFoC;ce>j*asQVNKr_S6lv3E4@;&P%KOwClG=NK` zrNe08A50rgg9N3KG-#o8Ar0CnjiEtYv@0D)gScW>I)Mg@@#-WREJJAu4IY8gG#bPe zuhNTX@EA;+NrQD!x`YNBqI4+@;yO_2Wi*KEDW#Xw;Hh}^N*ZjB(p5Bw%Os^&)8JW{ zb`1@7L+Lsi?1|F#G>8inrSP5cXEgMUG&lgS-b91=^{@068pP#-(%Wco3|_sR1}CC) z2Myv1K!6%AgEY4^b|;$hnTG`IlM9;Cq=QF@pL zZ$@b?4c?B@dK$bFrHwRrFG>|Oh{IIrW*U4L)3(sy29%zl!ObW=NrPKa+D3!Vp!5t4 zK8MnF8pQ84r8{ZxB~06u*6ms)o)Jr5z+MBcN~OCU@&g+q;3{le7!JQ<&c-$uzxl*C z@d|2r6|}tOkRRDCUQC0pBZ0SQa1Tm*Xz*Q>-l4${P8c_NT4dOC=>31~bL&Og>glpHO zKhaPwru{-g6s6y2D1y=-G~}T47Y(5sf9XFoR29?yqap0}Wj-1@nx~anG=$y0EJ#DR zfLoSBL%4cdmP%)n$BmdWl9nA0AB*jZGVs+Vc3FLJLTCQ+@S|bFM_rWS-5d9j??cN@C~F8V@nx_N z!O!+f;I0G^{OqJ0jDg^12j*Z51V1~v24f)j*$Fln1HsP@purdjes&HG#z6450wfD z10xX!3F5#>#6f~Muo7|3kL?Y^IFl^CM@+;yKX%Zvh;x4Id?^v<{Md=eBF_1-Gm%A{ z^JC{6i#X@Uj(!wz&W|1bDB_$SJG@cEIX|`q3*$_(8ne@rM4a}e(Xpl5$F8aVM-#-`LSarFwP{a zF+1Ey#5q59M3IPde(cyC5$F7xNq$TMyyV9uh)aGGF8MJD;*uYetR`~);1a|oKPEw3@?#RjB|j!XT-uM%A5G-^ z!6mpZ`SJOqiJU*U1lJ`$K7TZk^9Pr#CUXAZ62v7xCP7^CV-mzAKPEw3@?#RjrTv%$ zamkNK5SR9262v7xCP7@GF8MJD;*uYetR`~);1a|oKPEw3@?#RjB|j!XT=HWQ#3er_L0s}<62v7xCP7^C zV-mzAKPFjCGgG&&X{FnrB$&X18m;9InamkNK5SRRz1aZlaNf4L(m;`ajk4X@h{Fr1lmGcLe zARgz(C5XrQaS7saeq4fhoFA7U9_Pm;h{ySHDVF##Jh6v3r^+YreLu}1AaUjv+vS>5 zWj{Y@Q}OxBsdA7f#=R8y)|Z?rpVPd*^I(s*zsi^FS4|QH#(%^yTs2&cUH*oK7lv;1Yw<%pss?Ge7fLxaJdK|^QZ<)`=b;p);T0(5)9`MTA~bvur5FwWhEgG=1t=9$ zdIU^y-+%y(r4(i zV5_S2DBXop14>^+sUf8YFkfRzzeA}h%|98h!dZb`QEEx^2jJCKG=C&Yt!e%wlun`f zcc9dU=0A)QxWYV%QhS>J3Q8Sm{`V+#q6HR8ooRuC(pj_s&o!#rl@`>%wC=Q^9!foE z!AU6fqy-&N>P-vIM5!+==z~%}T5thM{b@ltN&{%Yc$5axf*B|crUlobG?Yf8D3#Ob zktmIzQBm$F8f}efqiOUkl*ZC%f0V}4=rEKf(&$AfO{UQ~C{3l&Yfzd_qqm?0=hfVf z(#15o9Hm(_x)P|Y6I_!0GY4izfk9jn@6{Yz!`Xowl_{>u%EuhhD zC@rMXorqmTqc3CHVj6u7rJHH=ZIo_>73$i<$|sjk8U>#*W|nsuJa)*i!L@y)W%5RF z{F&>9J>$8CVK&__Wy+BuzVQjOc1RSFQx$%$X|YCq*YYVN#}7MW@VH@P$|u+EGJgE% zsS{c!jRs;dC@DqoLSU~M6Uvnz-BzxmT7|K=-hS|yF+Jh;8i`}s@_p`c_dWz zPB<%R3^zs+by{^r)!QBNTh+V4xuz%l2G!sxQzyYm4}tdMhe43vbIRbMqq`2C(2MdNrNY#PDqOM zgc1jL9ybQKu)W=|m4T-KeNvP7NdW#r#P*FLu$hyb3c%6 z7U3fzTr0wLB3v)R4I03njxTx0?BHSv%C&l%rM7T|) zKP|#%MEGoq{_QF89Vzjh0{5H0}ipHGB-5n3V)B;^PGzF<-u^!q|7 z@tl;nof6MY;tk^#95bcNd%mzJm!e&K`64V3=@Ah|MHmwyY+qyZg0E17MIyaegeAVx z_&n(=gM3x^{N2u1)mII0?R?dJNAU1S-%(so4V>S7HAQ%|2y6Lj^Xtcm_^~3aBf{fE zc)SSfiv0CNyuOGx5b+a4*ieLxMA%q_O+?sKgv|uLxd>Z`u%)?XqQB0O7! zJw!du5n)de_7Y)l5%v+~^%e1RMc7Y-=ZUbt2+tSc01;jw!hu51AQ2xd!XY9YD#BqR zEEnN$5snbyND+<_;e{d`Ey6J(94o?cqTKN!oFLLCig1z$CyQ{32&ant(?oo_2rm-h z3=z&0;l(1nMBrwL_@yG8EyBx0I7j5WT!dGM^eaU;SEOGh;`2niT`l7CMR<(}uNC2S zBHscLUN6FhBD_I_i$r*%2p5a+CZXqM5#A!gTSa)A2$zWPb`dTW;T=NHG7&Bp;hiG9 zON1*#c((}e5#hZ;&q@)l65(nQ-Y3E}BD`OO4~XzV5k4gB_ppdRBJ{5n@pU3xFTxEX z+$h3LBCHVMqaxfa!pB6og@u8;NUra3-xII`vJysxOGtpQQ_nXH#bt?WMfLolciKre@Ie)x{(5ZA5&8Q zc(9bORI${*lGJ}Lq}Wf2A68Oj$)xLMkLl<+zaopF=}Z zK2Oc3lFF5&^4v&;4>PH%ilwL|mG4HXz?hUj>ZSRrDWqbOl;cLK(3q6B=2J-(Nm9jb zq)LoQ`OCams=8vSDw0%HH&WG%NqMuBN~*dfb%YzKBaKPWF=k*Z}( z%A2KBQne+iW86p`YfQ?UrH)iARY#IK&W+UZ=A`O*X}+5jQuQUN25zLl)zxrB_cqO_ zq#8<6joe6q*R3HbpO;4$->r(Jnn+Sj-AI80upueGr{+^hHJ7AXxRGjUPRi3$f=cQ{ zNvf3_sguk}d9u{wO7pdrq)v7tb&5GDPnJ?iohnJSaU*q_IVn$;dP1>OTS=;&8!6b< zVcO9>SxP0S9jHlcjbl zmg*`=b#o&H2cDQVpC?PHq|TP4dbp7~=P;9cS+P`4Nvf9{DLCNeuv+RBg;XC&s;?U< z*tca$>O3!xE-I=1lGOQbqz0Ii8kjw)L6X#9H&R2)NqOq%uPV(qRFWFzMylMLl&6=` z*A-I3C8-f^q(+*P@?@zu6jGxksSDjmjXunz-c(49k)+1Dks4=C%F`S2TMDW1lGFq@ zQm`GsV3Jx_h8kh(&Wy3&o*Tys+MyjV&lb+sfl z-;LBY=A=B0=qjmeC8_J&NWnI5)4T6~KE{i~4LB1t{&M(PQ3QeHZ`KcJA>DoH)* zM(QbZQeG_O&rwKilcb(@BlV0qDNmMCNj)n`ZFeKJ<1mxTQ!KSpl6uaK)ULx!Dy)!t zUXpsjjns?gq+asyIP5Q0NWCmcz2Zg+PCYXn5W#xps#GEMrX=;2 z8!0#i?yy=)^`F`!N$qtb1?S8iR#K{~(z}w>dv2uQxVpniN_AEGK$7~XXAvs=CtAKb55RyOBC@m`NR_kUA(yedb2$^TSN4hC=EKN$N{CQePcr zQZ*G)UrSQoxREmV9rk+~(T`S0eJ4qM??&o}!)&Qq3aK9@sh`|P{d|~7)mBLTB1!$~ zM(Q_nQh#`PN;pO#^`|8Dmm8_S%}IIcQ^zW#{*k2qbtCnkIVn$;s*_C0B5_id&xMrb zHz(!EQpYKzEJ-ThMk;7b%F6N5r_NGH*^*SQ8>u{VQq&8nnF^_VNvgn&6r8qc_>|zu zC(nPKLMkdr#oS22!JmettRgR#Qb`p{QYCJr;0#hzQdP1iRaKIz=0>WzIjJMPkXoQL z-%*lO4L4FX%}LerK#I&&NJ-ye%guL~{d&D=^LZk5l|oAT4qI-%!|W%tO-XtA2uZdp zq@?e#<>ougeo)(#R3k6Vw?iS-SoWzVZhfk$F)44m))ZeCtC=L#+>KNVb5dR$nu$uP zr6hHt8!0%n*s%G0o_4J%4mj3Hl2mIqQYV{}@?nE~ekR-0QfGM~rFPHLc+KJ~R?sdFW%er}}B zGbUx7?}e1|xz-vWNnPMZYM?PGzb8wnqy|Y+gWX6CIn1PfRGM$7BsI*9RJl1RFAmK_ zB_%y#EjN!?_6zrhgQvG~>L=8?TQTmkhh_&22Vy#Me#Cm$0`Bfn$Jz_04 zk67z4d&Clzl=O(T+&p3}bB|bSwwGx}C3Tq`JmLTmkfg43BQ@8Y zloyX!IJh$Dv=ZwoNot-OsjJONdGSjie<-BpOH$Xkk-FBHl&6(E@~1-TI!S7Q8>#D! zNqO2`n?h=#Bz1!usYS-5ti@jLKGjk;Nm4hvk-Ej0l(z*AmDH`0)NO90mKc+=Zuej* zpW>=yEtRD1a3i(Mn3T7@?LnoZFPEh5bR%__IVmrXEuzsq`bU1_*7E&OHvQGk%HgKG<|XK^5mI9>LE$$VK-8b zn3M9!9aq&$sN zs-+&2q_((`GCz{e(*j3|rJj(awz`pe(%e#>wr8rAdP3&qz|w zx{=y$Ov>9?L5laHwL_BH=|&2EkJE7Q^hQeYUbJ>eQqQ}Qf}aLGtfUn0Me9XLYPTCH z_?6PbN~)^Tr=**%<>sbqnY-zFYd*z$(UNYumYbWdW$vcyjg;cOXh}C+%gs&KGI!JU zMoKxU%aU%omYbWdW$vcyjnt7!pOS96mYbWdW$vcyjg;c&X-PL-%gs&Ks)U=a7pE?t z;^%2eH(krkP1iDa)AeR4#n01{Zn~D6o32#}H(gJbQv5tE>85MBx#?QwZo1wqrTBST z(oNTLbJMlV-E^(5y}Y*9RqnoTTlV6|F|_D{N%Y|^Z7l!_qA6n^QHe*1Y-Jdm(kXLP{Qn9B?}fIbePma=;U*D-=@F z^*i9^`W-NL{r1*;S1P3BVaNfu!;k~!har0-rR*>Y$it8WZigWU%nw8MMoQUX6p)7@ z2iy)r4wxT??2XhsrTOGx$N{&*kOSt2A$ucrwL(fBh8%D^3^`za7_v7~$`30A`pI$X zJhySGzxg=jY4E&8vDEpJ)Brb97aV3%*D9n2N>YQ|NDV&Bq?A3QfgzIAP&ZP;4l^lb zduE_qk{a$tYQ$kCb-mJjBPFR(Zlo?WCgu0ExUZ5LElG`WBQ@5Tl(!*ap<=0VlGJ!N zQWFj{sT&kh6D6rhZlop~lk(PlDyb=w)KoW8(~L=ZYraK_rKU?#7rBv|VNPnMmnY99 z3MuK29&qzV519L-2fQrA`j1ygNq_W!n?HKM+#fw~r3Xv-m9JQVxw84La%;YM=FKAl)UnM2ItOIUd)&X-b>%eW< zTS}fg9B?~#IADJ6aA27iQp%UnfOKdMxH&Wj%pIC7PbW(#pVk7>p*i5@&>S##X!f+n z!LO2%4$T2KhvtB}L$jyt1SzDXLvz5*p*djg(EPt3B^{arZVt@>bBE@@!(KYN+I)}5 z!E>$K;JMCt@U%Rg>!OlcFG+21Bel_(RG`9(rJ5@v`lFK6W;argnUi|l3#pb0De0yg zaC6fQRKiWy%hz_lN=mxv2Hf0q1LkhJfoHu~>O{p-+hz0ZaBIGu=FPXu3#pS7QqN0L zFSwC<(VUd0lVg_LwY4Y)a<2F#sLy^(68kdn@)0XOH< zfVuOjH&Ul5r1r=@wb!jry>poRR9l6VbUqEZIiCj1olgTFdb#^l2b_JSJ?D zed>i&2gOqRC8+~$qz;;s`rHetjtVL1QWk8Y%XGAHHb{3*ZcRwBI@18&}n0dw!gz@J|FluGI^X{o>6EcK7MrTzz` z{*|Qub0HNZ|CgkKzBs9%-;I=IOe*MQ+mqU-f+0yN$BmS2PAbn!pE^7F?h8tvyr7#; zUeMep&s#@VNlBl)pqo!#(A+04=yKywg62+L!PZ_Z)kh(9vTVLn+?wxH^X5Cv3#q;eskV|-J2z784>PHA6;jfvE9mCb z6*PD13ZCJ`QvDQCou#GDbhFf1=9cpG=yJY7s*5Dm)s0j)b5dt}vD5&CR1Zn&95+%u z%}Mq4Lh1sAlyp@Jy16O^&0Up(=XoJDP$AV{TIzf^OARo$lovN${~(2wJf$+|c1mT? z{FKVzFfW!GtdNopI6*fDoS?Y_PH>bLQbQC{7s}=v?bdu_%$skV7g9qNQsX7532vk& znv2xG1g619^!3VsM znxv3=P+ICCH%mQiZmG3iNGW@!W6K@qZlpdiC$-N@pSo0OK6xls(CtvH zp!uO#-Ylh(l80gi-44YHnjebg%>hRxB@e|4x*duYG(Qw8_@$TTQ?~pDzmk3GYqvi2 zjd`E?&I>7JBWqBeKNWO4e=2Bx{#4M@Laa(ko<9|IJAW!@e*ToVZ^$Ys>A?|n^WX@Y zdvJLBhOCm3=T8OQ&YudJpFid88?s7Do<9|IJAW!@e*ToVZ^$aC|KfLFh`8K+A)oo( z=jj`=LMkNBp9;C1KNT`Re<~F6G9)P5$U`~OQns6=a*ZwJ=}0=iN-9s13cHb_=A=9| zpGqoUk}7Z`6)`8}$@N<$6_uo7ZloMzQXx;jU8|e|5R$)L8*=;Y+K~Bg*9JX34y&Zf zq@}93S*ohBrMx{)sidT@OUTXFC1mdF5<1Gukf0n*5UL?9RnyHUw*nIHA!&CgRMCB1?|ZeBqlbFZL~C$Avo433cW3JSS-1%=GL zg1nJZ&fo~iqn<);M?Hnik9zX<=%Sp#5t6_18*=-V-;nvQ{CcyLO6oK@qPKM$(c2l1 z=-x;v2ZMy#OHv&&lk$Z+hE5m9v34XD{6|Pm-D>qWhq|l<(O-2n{0k5H@NceMK7lNu zuAy#_#-vW<{$-C4EADg_4tqAN~VYb?a>oov+tYf;lA1Q0QFX=HuLgK8P@01N15fWubDgUgfYX zRF;Q^i;5@OryjJ=7`@IK*o3m?IiV4(=3uX!(8%QW83FAxlG|Zyh7u;3mM~71Fh042 zaZti|UczL(S*Ga=NN+q|K)mrlEi~Tr45TmC>r6Kb?-1N97bTO1t`WM3mvBjF7O|?X zge*Ns5dMQ+UH7OXssuKN=IYC+O9CvDG<|Mpwyza8eQxM7xJlT(l^dD^r3FOCzLeJ~ z-wMr!QZIwQIlSbnLi0qEpA9ORQoC-w`VBURu6HZgmId3PtDz9q=5}a4s~nfEAs0e@ z*xd;QUJZrKhrerhfeS-7hytgO9Qcor0#;y^K$T#X(B{zX`eM((oU+)0(4x>%UTi_= z#?T$S*n-evRxHyPx(Os!nS#*GATfg$gl+*T#7R{Ng%$y!8{uy;{M`h9H^bj8oZvEj z2U?*gmfnGuGke54&~mQw?$AA=dCwQkn}>DY9C|>nwi)M~C+nLRS}Dw&7h(l4Gv|d? zfyC;Y7g`Ncyru8ug~tK_i9+TmWQ#%u){`I9*X{?0Zw9WXE%`?? zaD8pbKbwIYXiNUp419tX{JR;rp%(n78Mu)a{I?mnu@?NV8MuiKoI}jOO|@XZ8Mv9Q zopSwHdgFcF;e?tdpFh1-CH+_tb*hnt^+1!R^h! zy|v(uX5c>ByQ-5JxUaV4&Sv0qwcxYN!2Pt~u4dr#wBYV$;Qm^04>R!jT5wM@@Bl5i zw;A{XEx4~4c%ZhE^fLnw(w5xc3_Ms19$*F@q6H5$0}s`L2b+P1X~9Fyz~x$Sxfyu4 z7CgcXJVFZ|Wd*J#0yn1Qd=g4daWuhSZAgPFk=Xu+Gzz}IWRkD7rOYQc}0fp5@) zA2$Op(t@{|fp64;pE3h4)`Fil1K*_WB+r_4lAE>Q9cJKLwBYB=z_)6_&zpg7(}G_# z1255nUor#Vt_8nh241QKzh(x$L)%H-FzY1CwBWbQz{|DZJ!arLwcvNmz;|iE@0o#D zXu%(tf$!FW_nCq3(SkoV1K+FdB%hjfl9gKU0W|X#4gbW_^3Dw&cIeN?xZ0 z|6>MTuLb{S2Hv0p+deb!MlIMf18>rTgJ$3gEjY&v{HPY3YX;t|1&7VRk7>dAX5cMa zaKs2~NA-S8A9(j;vSWIc`?65!=v984g~~#^Naw({-&m!uc8UX+U94w$&{$=fPncbz zSNVAsDogb$zsy2qnO^1BS*Wa{SNUxgDy!;S{Ci`S=`CJO&+BUC;7oW0mPF zkI=LH)mUXZ%OmwFf6qeYQF@hsW}&i%Ugh6esH~}1`EM2~kJhTpAz7%brB~_CLS=1j zzsw03t4!~g$LLjpU$@SmS?8XcHUuB5S7~RVvX0)CdB!T!@1EoA*ASxlsI(?YerEkt|f!)2obSp|ZYSWnmU78|YOQXQA>0y~@%oR5sMBtdfPwMtYUi zvQXJrfA<_=t}^Y0Y@%0rR2C|m>Q&avLS-|(%34{dY_3;%Ocp9z=vCIqLS;+6%Hy+8 zd7@rry)0C=(s%U+<|@<1%aimf8)l)hwO(c8EL5JXSJ^ZRm8a-cHqS!ksd|+yvrySa zud-DZDo@j^Y@LP5w)#hdQ;b!nKN_^tt89~n%JzDdZL?6>L9eoX7AiaHRd&om<>~s7 zsFShE^hefCdX}AyRi?N28G4mxWudaOUS-!TRGz6<**y!DXX#b;$U_hsO+j& z**goB-SjH^W}&jXzN_~$R+-+_&(^c-Z>%!CtM|~W9FT>|bMz_)W}&jDUgh8{RQA%V z9GZp7-g=egS*YxzS2-dJm3{RpM`fY%T)oQCS*Yx%S2;EdmFMYIj?Y46f4$0yS*Sc; zuX1u0DhKFQPR&B)1^U5ly0Oai!EK;k<%}#;4$@crVq=x*c9HLh_I}4RV z^(yCNp>mjBjD$`qhieBYCS*V<*}r|TDW z))=cy|B`Z%p5+6^D$`lc(5rkX3zakVDj&&0<;8lH>#|UJiN1L@7^_Tgo>_X8o3c=O zsb1xyS*VQ%m$h05#nUHuJX zmFeT<0=>$&vQT-wUge%FR4&x3d?yQ)H|SNqmxanjdX*n!q4Gw(%6(a=T&!35aTY3X z(s%Vwja8_xFEqaxoWufv`y~;1LP)=)EPpdrnSS@&slUhnFjkp9QQW0x`IoWEbe1dh zD*wqs<=uLf|7D@_9<55-mxaoE^(w6_RIb#k3}&Hnm0o2|7AjZkRpw@)@;<%Fa26`p z=vC%tq4Ivc%7}NBq_zEkJd`kgr2IzvA%i1>;RM4|?1werwMJljoxNW8r`}3(2|LcZ z(|Y@{3j2v}5UjVKUT^PQZ@*|&*srX&-z>7^})vZ~s@3 zYgOdtZp#f<~sB9+^hkh-3HJ3C$sLT5d5pnP2TBPoeH)ZxE+Y zcd|FKQ>eM~Ypx%XqmTe6Oxx>nOT<~fo;c?orRQw#)p4Gl#<_-;^V$3?$v9_zkZ^8k z8h6r0w}U75XrmoGq^%ZQ+YH=J3qIBi++GVl&J5f^3$AMh?vz_Ey?5s}NHkUQuQ{Zg z*GW2S!41v8XKKNX&A?}A!A;G;U9{llX5g+`a7#0AH!Zl88Mu2c9FU!IYWl|9Qw+d( z@OEx%xQEWsDrr+WB|Wv(XltMZ81&MD+na%VYr!4Oz+BO%b8iTY-&NEkXE>vfTR!N2*oKXf+!GtLps#S79<(Lf9D#`FWHJO-{Yn2SC9FyT% zB^iF+M#qG8^ATDl!_Ap6B_p*;Mw%;OturcjlxD6RZJ-3eqqX117D{#*u!Sv1==PvS$j&lajw@gSZ~H)p|Nk zORg{jFVccHn}KiCg14A~7i+;!n1OH7f}b=4->e01GXvkE1wUg3zE#`K{D;gA`GaWW zHf_l}%}QRPEqRw2_;xM$1vBtcEtvn-+M(-whZg*@S;@<^;8)GS%eCOw&A@kRo9az7 z@Lk%H-!=oU(1Mc|h22&f@7B_O*R14wwBYy6!1rpwADV$zYQZ0wfmdn4pO}GHYr*@? z!1rmv2hG51wBXOp!1rsxUz&j*(1O1<13#z*e`^MQNDKbn4E(Sb{G%E85iR&1ZTRwP9%fL2J0^luLaGn|Xaox<3N6o-bXu$<$;H_G4)C~Njw$6?j_$e(o>9eof zY`INKyTq*Ir?ucRGw?H7a8)z#v)XQ2-2j}_O}A^699cOfJG4q_R8GlGtu2l=Py#p1 zb6Rk1Gw?1g_*gUW^IGt6X5bgJ;JRku7q#H}X5igg@Cjz%m$cwUX5g2#;3j6^SG3?} zX5d$~gG386@N3#Wexd<5X>H+kErXK`lt3SULtFQg&A@MJ!Ka#m-_p`P%?$ju7TnGZ zyhjV}UpsBNlq%)tA! zCHFD|f20NXF#~_B1)pmM{zO~n^UT1XYQg85f%j`^Utk74pal;y10U4V9%2UmObZ@n z2L4K{!R;?Vg~+R zJJn7z04F`z{-AB|iwu;&RQscr{!BCQPuh|%F$4dsE%{P2@Gn~MWoF=CwcyLmz`tq1 zSDJx;*L|+bGxSa<_21fVYx2oD=?U>4Ed!HJ*IFe_ztjJr-qw6d3D(cy4-Ucpt)tq%R=iE{+8d5Vi z&78SH&Dkh(PEeatvsRfir>Jd_IVTwJ#o*T5qJCDrpvvX6%%F;TDK$LZC9kTrede6$ zhU=0!b4m?6XU>^!xN|aRPEp%EbIvV2`vvL+)XMeCoITBC16}f}TF=j%b4zLuF3+4d zrD{Vm=S;WQ=**c@)Q-%Yb4$-{c~4a2ZL7$8wm#c%q20XTTWPHq8!l?V-5s(vu7nvjtnDA~ z8YlrU)jlY`ZwAiSfL5ty8k_oD{tKv0HR|^3 z@=V>0q3zF+NZrRtlYa1^_1mV<_Il5*CZT%I!a*%Q$XQCNLtd-uD)={_Sbvk!9OCbg zllMm^7n2%d>Tp6YWh`Rp*Q|FMMkUS{hjxZ z*w*v_>|un5WHNSr*n-E3y6eMs^@?z~B3zJo$cRphPRlrLhnsw@6)%#f6B9Xj*VT&aD-`KqW#J0(?VFKH;aoc3R1sS4nDGG_vXq9l)a5Yhv zD@9#ScdN_v=*1byiMmvTkJgZ!o<#EWaCJfQ^zab`_eq|vkc13TxD+Q@D_k2%`ey*i z5Fx&2>sI9b+_ip1xWVRd!}`|NaN~+_^VKA`TZ4*ltEb@MXIr>+^r+-#ZgO?BU1sX1 z)~yJiRt>1@aG4)G!>1-%E(Rr>nYjc9N@!P2%|13`_Ppc=KTw?cc{1D)6nEm(?Y$s& zR*G=zOyMp{*675HY*-n2Kc5t+65s~!RwW=U9zH2pCCF`_TLhbY6^${nz(wU+7?Ogd zh?Iuw_@?@<^34y|5ra+#68H~BAq$2bUrzXV0{_(Mm=kXNpKmk?#uMWg{v$bRqCY3M z2r^e)4L1RPa=x1Py;C7pNhaosrrKV=ANV&O>P%TbY`!NYR$oL&jBx z+o}|8X*C^R8E$J*w54&IP#JCyr)W##HnB3?)~0An<2I=>+%}|WOXD`VGTJsBO52pm zXnXun+NM@U+f#?qHZ43|jBnq-*(vOe>oIsDn;{;~lAn#XM>DUfhGzk2w(_XB-DRp( z9~HMpyJmdm%45sX@x^8&)3*|$DwR~ ztzz>X#x~z!Z1WwJviXk6+59^1Hcu|8N_c^^`Sr;*UjR10p4)t3_y%lUUt{R!>;?EI z=(3BeKNh~tPv9*8BDcePFngI&O!{1OT;?vfG`Vv=7tOqa&);~KsfuId%8Z)T8|1m@ zq>MSk9Tg5SGCyk29Zf1h`t;=4Z?&egU)pnzuhwMhXjJwgULv^EF zN;leN-i>w{cOy_*nQpWzLpR!&ywD%?tGP|QQGi%tV`pS0ZAE?_sW~;Q|~tu zmK(pB_RE{;K=RGBA4)pFZ>EEWH`7;{Zl>=t-%QC=3~r|HwcY&2 zGVcB_nRow}jJy9!(L;29^^p)bn09~2YTErFt7-R#td;5hFJN4)GL03uKpm8~YKtdp#|T+F<$_AfiVn)yG13j5TzUgqOB%d(vcYSC!E1AaA0rL^mKai2$RPzj z@1z<00V${hVbOl8_=fG;LVZ^ z-V_Ypj2pbUG}@cFw>Xr&4_0ajPcFRyZ7J=2 zVzRwkg1t}V_HGrow{Hz8;BRwd*HduyqNl-MyQCS>xu}9@gUk;JodDQ5BQW!h4GKTfAm?x3W@j#<3oP8bmNF(YRw*Sv zdP3%$tdztfJ^#fAUp{H>EAX}P(s{(liUdVB9vNhLv_>LdG9-{d~k2l`ZB-lxu`{lwy9J`6wXv-;xo^a5zbK?x5&hz()R zxLxWoS6n)*p7g}cW$w`6`%H(!|C48f(&$B*O*u-<>Ecw{v4W1_gSwAYCC8Kc(p~oi z;{T7-Cw}nrNI2yNWjvTe`{&qu+5b}%?6W;ma|s^o*BQDiBt>39U3Sqm?EbSg9;Vt}rxHS@i#L_Z?tT6-%S%%m#LMc4p4( z?6NdD50XLRE+`^VKmDMSx^@VV!{CCgkG-^v!ZfE zUUi>Tb>?)>EZ6^k?|XcXbGGMnb#+yBb#--h^$6*k!T$j=RU%}XUlOnY%D^`*&wNGVi!>INd+m(sX&U1wJ7M0N6>X2!&CA8bA(B7U%6t&Ra znUc^}iE6i`NXIHFSB*3H|F24I6(Y5FIZ`{{k=g~0)ZT4L?RGz@U6_m=i_6Q7r75wa zYU=E$`u{#VsuFfAbJ(%mVaE!G9V=DrSmm%|nl(C}rlVtZB0F-|l;y4|$z7YMW-P6~ zE+vjsi)!;y93a(HF^)b1q=VK=JGb0wy$Mm_ruO$y>;Af_8sW%#ha(#VN6rPc{-EGU zF{t&87Dt};8z7r(j%bg=EWow@8I&UrOF6Qca>O;R?CH)tfLV_lS4pQi_pp;*-O;;z z%6iP{+vB2dfS_MLo^bln4f?@Nud1RSxm*3Q)WA-4&R6L*M3ZJrRaHOmPs+e=PX&Cf zWZ+92@Poiu;Mh0Wn!6J$kSYz ze#FKbY@hpl?jFdh3ZesKyD`u+mnElxa$sW%h& zn6Vjs%-#XP`p8y42OR7+S=dcVhFx=GuvAsp*u6x2Y0ZuC(i(n8UiIdv6O)`yOpDVAk z6q^uH>=xBNJH?(g$vuL5c0{!I=|9!8&zzq93-|0Z=-IzSOP`k`^hrM#iJIS$(cFJK zp3wqp#`qMbqc4fVzfMfTip`U+p~|W{w8I8V+898IiWr|`{_!&2~OISxzd z*9jV#L*`RQoqsA*=SNSS)3_y;`<=t-?=h#p15ST0IQ@gen^sz`*x=AiuXQ55CmZtJ zCQ9$g3TBEOj-MnOT9b|8Qbq|U^e@tiibyiz9G+}6mN((&95$0_2-fME%{q9}{Da<3 zJxEqZErD~`36jmlL9$S6KmnhcelO3wI?Xdk6O7J39A)M|ug)+UNa>ciOEUvC*Esi2 ztjqos$R9s-2{&Qe)6ah#3I7XA_~GvLNTUK=IeF?G zGhtgD3yHJ$VBWBhjyeX#1{6R`p@7pd|LJG8YK5N2GTgH!p)}Mp_=C5X{!jHR!)941 z6Zb3wdX_0#s*t>Aua-j?Y0t87&$2{&+5c3}a-5z8anEv~XF<_YZYX3~uXJG=ikJ&i zp7y{oWp6OrLNDEgX?DK5e{L>JZ$Q%Mw=fMEPJ7>h#^ToU9#{wq4KJF6pdQ|2!;(-Q zHllAdilp=v8@NybXLiwVRGs@ETbehj!qvL^P~k?SO%f{Hh^Sy?X(1a#p#p~r)R_?~ zblZa-MYzi)k;pe8@P5vWP$dVvhpCla@D5YwChg8#)SfR>tH|1`=F{HINrmX5(?1+!Vh)m9lC@XYY0o1b_1*St@kj)J zD+2E;9&s7?(^3I%CWVo^(n1X#?s%|o>~hD(K2f-DPlkOH8Te+YfWIRd_~tV3EmHx1 zXEN}o%V^&^724mG4160I_;#s)$GLWVC8xau-lJHGUGUC8Sm;qKiIR%5@%Sp14z^b; z?IOtY2U*nub{acrLNR@@%GQSzXCa8L3v~i~eY}>7RXdPmLRzc}r53r!%+zn8&WTC3 zL>e+cqKiKgON?65xh_bMSYlL^ze$#ni;dCJH+g84n>sDjO`fC*aPA?)xrY?K7iYz( z7J~B@R zFV+X>O9EN?;=slF5}^`!=D1y2W~e7@rle^PX;nk#z-CH7AE#x7dO=x+K3J<2>J4R( zIW92PUNyp#|Ee+6#~yjYRUyQtEDUZBmsgAt9@{!liWiz%i zm!~}PmZv=OmZd)O&R32+iMCj-N*P16#d383F>+ZiSB^ZPEixG#Flq91Xduf(AvYCR z1vk;6Tw5$RCP+s%)fUSQc|voN3PoS8I=_jt3W1oWsx6k4Q(L5YwM8Hts1c~`XpQw+ z=KqG);JT&)PC|*piV}WM=$vJsbC!Y5Sq?g98R(qlfnEBtw3u$@mg^5{f$N+L9Gx@B z(K&-1oil{%oIq{RLqnmgLLe9P(1lP2lX+S#TMxmLL=O!skK^|qWFGlBenS^I&c!)q z_A9+NNa@Am)GA4Oq2H@ma0YQE3wTo=EFb&~PSd)XPeYetZF8^c`~w-e_bQyg+(ADMeqSa9vaJT9ZV) zLZitZ#2SUen)<}oC=@11egeqKqohS{0GWiZQKd#D623+eB`%flHO4F{xv7M&Q5*`S z)5w~rwpjUz-n(7+aPc#>$dai=cgs3j2b@s5a3z#={#M0|n}@oUPXH}6lT zH?Oq^j9$weFxq!8N+$Veowce{Cq!?qRqT6s_2y(e%GMeKr;X2(*)P2muCm&aSzwMJ20Uc<&mK+9%wy0 zSy)3e%L6T0#?H{J@<2*3;|l1Vt5^f{z=dBI7z@29N~k`VN&I=KhKs z#V{j@%b}8dU(!^`Rw6H`KNkynsgW-ibe;gdyIYZ1E2Ty=WyR3tiK)Z%QpJjpYUom< zy&OETK}wAp(l@y)BQ%dMP|VQL(5-%b{5NWSD3hmyNsx^hv4DLX4zuRdN<2P5OY|L3}PK6M42ya zmm$A7jjnEY8LiYUaK8I+Wmn2G;4YPSfDEghihxZjtvgg!9bb)@>nWOtP~W9U+8?}! za#9gSLss&;6gYEREnUA>zd@f1-n;$!JNo;tw>I!|Ic7<^<;k<0d404@=O%zFA2S{A8?>n8rG29ojg(RKH5!nWpKbX$|#V>C5z;>1Fzk^l$WCVv3uf1%WDAp@pz; zPuH)}s)rWA!aYrYAIcU(S*E@h7V}G>EF-N4lr4p_thAO;whYQDq^*LoYzKY1^SSw#aItgEPHJ?8XPnW0gFc%qrPvTP1os z-~&Gha2&?!!@{`mjMe8<_i^x?p)w=kIh9$$Z5h)@ptj6&syT+}sOMB36Z)nqO>W{c z&P|AP&ml@OKb27e;NH0@(_Nm2FrWj8Uy+S>YWvVEtP^mZKrc8`` zA-eiVTXgl2p&h2^LRo@p-QkGt&Qlj%vt3(scR8Z_3>Mv8AiB>8(S0^W(cL2x-52Dd zi&05IbPqV98?Y4NUXZt!{S@JDm7(QPgv3X&Tcy*8-Mm|MOA^s(yH%2z;G@{>%7wPq zmJ4lf=tWDV?M;-67ah5H<<#ZEY}b~HR~@-Hh~?r{kc)#tE?!GfE)L7&;$691U{q3& zi}!4~$XsbI+tZIImhF3t0dhSdTej~}q!RKh+o@LCt6HIYmhB&6V|uUALV+fIQ+}L- zE&%o_a`$l09a({YoYD$>pCVO}&kFoVYAf)4A{5KtA5Xiq&uAnk6JOIvR^a=TQh>>; zyxN1eAX$Mc@8ckvWM9fF@V$ziV4oHEXQ{2g_Zu-K4bckx^VC-0`;B@^h_V&JLB; z;&|X>nvoWR6>`6{%hIl(E9AatJ#2@s{QtZ{-UBP-y?QHsU*KhZZ{Rn5fBL2RzVtiv zJ?Y!^{mu%xFRXI^Emp`KV1@jJSRrqKvM%0^zY;6t7?gd@SIB`AQ1*>jA%i<0 z^sQJS_l2^f<_bCeh&^4W9|^s0PS;SDV8*@gOxNF?`gCpf!=A4BnH>I)g?_-(HJr?W z0v>YzqcdHrSIED~rt3fbrfYMBya!gu7@ZWR?Bn*7t(~+?x!s^iPxzT~FDSf@K``Y) zC;e+FjslVe#|wshyPb|+$8hOS02Sva`$3ojqa5kS|G)uVYk_3q?3& z3x$3+obKaG)BeC-U;F-m>KvZOz9(kY0aaWQwa2I-cR-PQh&qQ4q&yuQP>D7Pe>|X? zw#dW*R|4HBpL-Le)VvSJT!r?xrBv@94qL0x{veRl!3RItQW`mRDaH5ju(07sX%tJT z0a6+jQks{dloraQw2E9xF)ArYX;lZ6eW1DmFt`?il)~PXEH?R7#T9N;a$Z%LKZMJ# z8iUHgWPDM@LYv9jLdmvwxZ0_+6}QB~)g89-l<#(4e<(J>knA0lPfeSafs3^Wk8suB z7AK0rwMlHuLB&PJIgq$ATsITv7}pOf#ueld55ImZpu>s)iea zB?d!Mf7_OFc=C7BhZ{Mv_m&wbtuObJz1I|*f1(MvFOR}D+Wrf>7S%&tbRx8{V%F^|wP}UC0D(IDsqmP z4&)SyYi1IwCR~y9QSd(9y8S6J>l?~g78H^_)ew@(YDwGg(ry$IqTFXO0#uq z`4;Z%Oq6HiiLx_HlxK^HvWv|+ZNHWHVv!%~-Z0LV>UY7qZjk%pCd`{R6mG@@-n^kO zo)b4X-Vg*fyST_9<{}v}yPrBSaZ4=R!y#r*Ow1lY%$|an=h(#5FSo|Q5kF$SX>?H& z6GG6#=VD^Msj@q&qET~R3Zv#tqouU@1kSvvO4ex(o2#^`+6+2uW}L#XdD9s-Gho>C z6T@Z{44eMeuyK4K+7TJ2&p&leo2}c!W`M)#ftb?+fYSp7r!R0geE>E)xqaE$kJE>e z4I9vS#9$ok%Rjn1en{!nl~3@86e*Rdy?96!nM0Nfhsra`52@0#o3eDKcCOaXk)`Hb z1@}|P5@f-(Z8;H6gYx?wa>S`0h|>@uPG^8P4K>9{?`$g$c#>%03r}5~%+_sj8s>=8 zMOd7MfjC_x#A&!APVa)r$i=CzpEw;(CQg@#T128QOF^OztL~mun2d*0c3m7+9TVX# zbP;lkPMjT9?1Cm}sl&;{kWcTnsb@~_Vx3S<(+-K(VMn~Ub#=KAFK%6pu*9pcBVK)F z;&p|?bfwkwmLgD7OuZwK{=dqX+tg}$%aBK*0%fVPdCQn6oh*3N%qd$<-tqdDLr`ut zjdrZ2F{xWkSD*TLHCwmG>sV*Jj>F@1ER5H2V!V!bSl8B?=pj!zEY>{kg}0N9*J}{# zCML4Z$%Bi=#oNh59or3W8)Ky0`$uiww+Z)nw)ZrR+q^v6JNIN+`NhSPMB7e2b?%w1 z+uWPtaF3skaGXO^fO~K*0-QtB9PT{_$i6YMknoV<7h&=R-QaGWW$dl<*#}%e@gmlPN_47 zKK72G@DqHX+hfUj?-P+q!%8a0$69!+?|Vm#O3*BRZ=0hyLNXG3sybmqDDbJmyGWyX zKUGBZgtr6!PZ>4HKGLU(&;YT&(k-FFwNeVx3#>jhs>|Qxk;M*~Kc(c`0&}kcE@+;d z;z@0<_5yr=pdHnI0Izrpy|dmGLV?Z*^bPd44GO)cmUB|8tuQFkDu7cOR_Odnn>8tz z5^(UR9Hh> zz#7^qtf3#EY!{SO(B6ZxXP_)o?+RtlLRq%n49cE^vaA4j{KI8X77TQNvfWUY!>3i- zlFFDCUaoa=%;F&2PXz_sEPmdeivyQJv@1Ul1UF9l&Bc3Dn2S~S-150N*b|05IZpa{ z%5(AOUOnP77w=DTENjvUJ}x9|E8F20n~%Bv>T{4- z^Qu_Bhs34yMUbjA*iNq+ehH*1pm8aE8Oky=E~T$PS-Qrh^i?P`rSu?_@jawYw)E+p zWYYJVEqy__3KecA)Usaim%cYrkiM@J8TL)-GZ%b^pozEe)c%#i>%`5B@H_B%kJgwe z)wk4y`AW3{;P%{Pfc*i5-Af8vn5Xn*`6*~>%YH?r6j~P9VxaYptm8WH1%G`~68@|t z{6$Il8{E#3LLJ zBFDI^GqHXxl7?ZsPNAx4 z7Mt%Bz7)azLzu4LDRhcOS9>Y;mux9U&vkvLNclr^A$+GeE*5kJ@4E!L{%z7V{2m|9 z86$`h`n`fs)TaDiv0)ivW&pAtTdKZ6^>F2O&gO2sYBDZrteALAB<iW-8dlk!;&8j^uE=IIXId6$x^?I4x7F70KmxaT=sujfA*eoCaxE zBVleAr|*Zd2$W@~KLKS1lx1Zcg|aA=1vB1;vOFlu$=nBJF(?aVJ`QF1PzH|DtQTyX zI_m|AO&uw)ZR+S6Ess}<3jP zwCV4*=9=BZg5MN*k*Fo~n`-7H&fDLTxSE4p(bjT%Y1ynf8_IIFmPG1;R|$TvkJa{X z3J+J19Gd@4F_(ymt-eX`21J^U8C9fOo^Q@Y$Te5T6ut%S^iIaiV+sqHGV@rHY1+fg zV+#K|W#%zeU_N2yG1VN~wITEta$7pwEQvJ6d^@Iy#1q0;Zb>*6)iz35Mb~-o>h1DZ zxsgM;8r60xUZ>rX$2^Ght+4hEk@Gyq3_}V#!8rKM2&ew?uL@zL3-w>U=2@hvX?I4N z114Xr#6ub z<9Bg^CGyCaD_7{e7n@CY=o}0f?_S{4|q~^K#OEGVuZvW-gGd>G<>L)#+ z8hjuy;AFC#A(1m=&JZesClt{($i;DjF0OzxzDsf2$IvM?AH&~Cmg14j6qVoKic5j% zT=%!qrwRRnCOxY1q+)1Ob#YQL#W>^HPpO_%gvn6+PpZ^BG3Wn|Y73-VQCMG9$ie}Q zTR2HvQ(9GMvlJ#l0CJ8T2v$>iJCtSTTm@x4pe!rrFDUB?Wx<>;pzItd0~b?dxb3=$ z441iXdfBdjG_u(&+|jT_DvJVu_8HkyvS` zE7p*azVuC1iVPUVc)bS*O_n1Bt9ZVFN)~ZYBlQhbcsa!lRCtpCB0grKxkv)Mk*~zo z@PQ1)j32^TY~jt$(vF%-C`l8UMuD_qq_1Vix1yC3eL0vznF74Nk?UJ)8Dg+MD)#qb({&yh+-i|CRYh=y?$&6-d`t1~LL!fy}^{fsC~C0~Lf` zw!%*$13-_OdU+t|(LmZ9(5?KYp^UWApj-J(L+NR6LK(kls6yH}PXR>nFo-jDz85unswfZQ#~yVAj|wJAx|$8YI{NK3K_<9ja9nipkRPiFlZse;2H-5%Bsjj ziUG*Fh&HEZMgo--N1bJ?s)R61fmM@&b*&54AGL&Ost~5gWW1vlUESvkN^N2WTYo+=~t6j?{6k&aYWr1VaqBb5~{G+9R~m#-t!WgVGGI#NY3 zeWlQmDvDb%WF4tezK+b2b>w=|k*fb4N2;CzN2->uBXjJ@Df1baobog+?W~=V8;8Ke zWZonfnY%S|8yF!ak-JJFi=T=t<5O0{Dy5P8G_54E4y>TL{I8AnUzhT~9d6ZUKExOM{0@tmdi z*9XG)O8sGdGkpIP2m~_VTu;xy(7;9Ty*98lupPetOv_0N*`_aC)oPs7HYPjg6WJq< z?(|L7^mX1(xPR7;155Zp#A`YAd{6V(b$x%N^`&8EHVo+t6pk{)%!Z|WiNcGh;@WU* zThbRP+-Nem7QNfsl3s z{C;zwKzlb(QEwh7)Nc(`(vJjKpk1JH;O;<`z*hlt<|u(lU?VJl{uYb=C9wQC$rt_F z2`$Ue`0_{lQmbX?eEFjfgt7o%^y_CrSsGvT>zko09m=xxvw3qn~q4Q@O)a-l35_#MhZP-gH+$~Kzd zN%Nelf&y6f7-46Mo@ZHq{mrF>9UKo9vSrqZm5#?gtr693PO_FrwCif1$=Aufs`WP_ z9u%Q~qi8rL^oKC{@^kkWTgu3s?~FY8d}mZN^$-bu3jo#&rC6JZC$h9ct+K6Rv^dZ7 zQ(2*60u{u#7jh=9rwN#ywmI9s;=D%jGiMh?%dJb#rTdZy6u(p+qwQ*>Nvke}dt%1C41c}?qgr$fGOKnA(tQ3T$w(5YtOjv45 zgr%KVSlWr66gz`hA}k#h!g7|MumIL~|4$2x*-2YiIy=I0cIv{?<&;T0B0SqYO;uVljFv$t%oYm9z= z!U9;&|DP5Xvy-;4^ml~i{M3bIz^MxhCNVR3c1Zq@85dw-;W;8N5F{StkeGTWjiD)d zC+iwhq~w%M`*o2JAn&9x*ee8s1%?+o7)qx7ixe8;QdHykiKBml;Bn z9Ex}(a7s!NSYMIiQ6_=)B@%d@R|2mS5;)btP$GfT6UptUq1pea1e%!J5_r8Mfpb!q zz#E+2ylgF|ARLxUU|7WSnGz`S*@&}4M6Pa1>?oG2I$BGuHI=K@rzTf%N3L3fT+J2z ztPOH?v(?Y8j$Cz>$<;i28q{0B$+7^9v=gxNV;9K(#+dNE< zZo_K z(T=HX+$=wJ+XJ`6j1`XUu@c)JE19J<3Oz~I(`O}WORaxedTl`5rK^rccJhwe=|XiN5MB%#Zwaf-dLH{ z2NS!op-4#Rz2hp-*k!d|)n+w3N!*POI;_6TVs%r>>c*<&QzEZX^IB75qs8l{#wN_` zjlgHPnH&`0LpHCq->v5ND45qom17Vh#e7-PL=kUG4M*>Y0(Zq?PuZhbN zZI8_oc#^Q>VTUD>(bq&_8YFTAx8B5f#2J139(OwtF%%mH+~5uz*=%z}zt9?eamtao zST>s`;fQn`HdUR)ma(#_;`jz-Wm98>e3%nfHdTeVkO=6eQSAmf(1d~5)aAM!x48~a z60Seya9uJGq4`zP<`dbDnume-xHAx+z-)gUSpI}y`xe`Dh6K%K&{Pp+JH9wP&`e>C z1oOQ5znM!3E%}{u##ZA=D>g|Y|IHM)N#!KiLb&xN#x`v<*h-q##Mll`j{I)}B5W6g zC^4S0TE75HG96xROalH}s?H?O@^-zY=z6XR$9S4^q4GljGed_z_;A~itg|hZQK&s_`x&qnYOe^%4}Mk>WRy&4f%Zruo!iqi+3jh@GY**=TV(np zDVd6rkqK7dbop7N$i*jY8fpR+DXvbTge+3sjfT@S1d0?vqQR~{ge*dY{KHmq+8;6{ z_pC!m9?{NCyM;*!S**0!Ku{@JiWPGNB}=jD&Kg3NV%1~?rl1v}PFHNjiYU$yECM3t zVSR5UdFDns!xbCTrLzG&hElA&%+VaM;CJI;)IJ<8b~&ssv^fkS_c@OOcXzhw@8$6Nd@PRieo<>PP1l=<5+W&UfhugKskl^b#E8uFr?SgKlO+^8|`(Y2F_v$6%;oWLLw?BRY5{M`RU2v8Q7Zbqev@D&$9JV z9$E!YvYk5_rgqxGFewic(j_OkCNr#%U z{4`ZsptsgJ(8pE4fuZ(jfhWmmdBYZ0{a%<1VP{vb<|D53`1f>GdRH#4NUt19++Y!U zC1L3>U6H1O>a^)frX<)}(^bJ_9>_}fh)Ho>>#kj(4RMrQLmpx|M4{y1VyL#D%)9LPJW#ZYx+ePc9Inu;z-7-h`^$_4Wc~{b&@xD)ccO-4^j%4k9 z5P})0@&=fb&IO~S0u1)EME`Pr?cInUjxauI#uAv)1Cp^q`@%iO~vdRUoo?f*7Cpx zCnVr5k+XwR+#3pA&x~?ULSfYVyF~A60&2YX-#WcNDtZs-CJa_h&$%yiKR8=AXlc7H z)GFx*G;QA-4NbhBGJa_IwDAjk{(#SMSWfIH$=bC&YcnJTHU4V2Q)@k>;Zw%Rl4x2i zn!Y2NlctpgPurgLg!ywxH23LfUMw0DzdRi++@4+A{)LHOD!aeb6~7o+qP&V*Uf-@! zEq#Ret-AYL1LwDl2eh1Y_!%~@wnicGO|)7`w4QjqzWaK^hFhbJj0z>urhOXkjy7MZ z8;#4N&0+LMPluhtqI7s@%ZDWYP5X&uz>nhQ1M}w!ER+8Zr`g2s2U!;XonM<~{+`31 z+7`jpVdD2kSdjnD50ja{hxk)lehN(dek%*}-}&(`^LK+kwJm~kTjKXCSd{;s$MUS- zWBjRYQ9jETzjtQ&{PzM@VEtZ^Kea6?+#2n`3QMA$R%%suMmrB->8t|FVIgL)7_0bH zv}^B;THTFWrHxv_Mh)l{*{J1i)Ux5|3>?_n8SOr#@l(-ro@=x{+S?@VwrKB?Xx~`$ zJV8gG)AsBp=$G?j(E;u+&CoA{V$s3wFD=k77sjH)++R*dzg!%PUgG}J8vSy4EIPvd zr7ikpWGp(${iVJ5WovZo*60M7oY!xSPJ|i9s99p(OcK4$9)H>#k$Te_f6=L^ydo%B zyL?70I@5$6tg$`2qc8N#MBq1w45Bs=7x)b#d8u6vz-PI@&oqGtvr3{jm}v73IIt5Z zPVGk0%G_A=W|t6WnIz(g6)Ukye3psc!YZLzhB4R$fLSK%T{uBxRH%T~All8UTzzN6 zqPM#3ob9$#nN=3;+|DYacB(M2V(fM@@r4rFyd=%s;Woq55F3AO&DsxWrj=y9CPtsoGT1j?xEV|61=hE%jJ*8FdAyrnpReG6Kg!EMK;ejJ0w~MqWHXmL7 z-i~i_i2{G`wSa^0^f7@W{#H;i_YTqoFn5hhjlQYkc_#tl;(4bR&-+|F`;`yRyGRp& z=lw38=OaAb(dc&Dq33*j69^iN^KrgBPS3S2o&y2mD*h>rZUTlzHZ939y3v2#_S>3j{*XfBV|5JW*vK6Vk}LDqlsPigcs z2}1YbGxEvgkQK}z>5p*0i!M&VxW}2 zGF!~f-<~}tLBAWFR1?uUk!s9VTL9~86BaiG#}Zf)WY&9Uh;LkE#{24Ie->{btaMqt z!7Fp$y5zkEK-J=((&!Ho@;>M%b7+K%lqfIrrRdb5Zed~ z0G(`?)HeevpoEQ4rFkIN&r4LOCc^MieiImFcz<{-fpPrqpi2c7RsHrPRuDLwlZ(44~C? zp+RPP@R(F(RkwkHQSQ`9$m*3QK5wUA@UjkC2c=MS@4M+!6$X_hHfv- zYpj9K{CSaK(c#)&tdRJP_0VW_R$VmOlvPKK)?hUhjh-%Rw5?C0`#g<;1R#%!)r}4a zVl1ztB{bkpS!2@K+wIQe{j=To@As8pVoW*Ycu8WszeE%#4EHV;d>HNz0H_c7r!=nz z;NZ9Xf7xTamjtIJu&ocmAG;1-A>#*@EkQ^pXM3M4TJ@LIebrM}5E>00GIhnR1C9XV<%;%Wc|%=-KkO2`E~_gV8OG|OM(VM8Qi2~U zFToEf4pvcuA1W8Y4<*?Q4H1GLN>1?ltiGVda8@7DBF^Ie1V5C7;1|0Df0PUnZfd#? zOP}HT7ST~Ko1h(x#}ZV=C^&kXceU99S}@2A+V{yi#hu;XH|Qos-dGcVZU=z}Ft?C@ zO7pIfD8>(rTo6Co=u2EXs1?+Q1S#n8wPxeoApovF7!3_r1Hqh0tN~)qY3wvxP+|Ve zxyL1rm=(kgy%U1U; zdP}@jR-h_nIlD@7%1UzfIP==a*nMqH^9>n*15L4tHAJ*`+=+$E9H22z(I3j=7g z&Tc#jZ8mu;K5AE#(q@ad%644Emo}x|D$k-SiM08bhc7hs z45Bp5pa_vCpkYbg^JXGEtk=I%9>|I1?Qw^>CG}amx8@xH5Sh>9y)-1vjxz!oZpxYp zG+tp%5uci|W&(}eHD$SLO7dPa<8*kUbEGHo-hfzcE_Bv$9e~!HH5bqhvE~R`3kGRG zp;IQb@XaQ)OkZdj2wF?lQb2o)wM5WPXQyLmb4+LkHL-jP_|r>+Wm+L|!){^i-#{7!Kh=02vb|q5LQLA}x zATokxAAui=E|miAvfpimSgNsM@(~0Qc?}#erXbe$k^wHtqq} zs-g#7SQqj3N!A6u-IaB9dqA|)uL@EJ2~&O*7Li0EJ`-qT_1%qin&alvfk*`KCF)m5_9obs&}Vr$HeZggmAF3qRWC~s?&xzz#QQAk&cpJ*H|pq zq#R$+9&jmlE<0CrGtACK-R#Tyy4@7yIFFqt-ZR*F=)HcdpZgvr$L}hA!8>_7eY>3; zb<)Y-6}JKNPFlWK-pQ9tRiNGj`hz%K=f+}|bCm;l#iCMZ9;_@b!}$KG>K(!N&m_zS zne5N{3(DrR{)n>Yv-ABa`={a_t(?+WtiWaSL6^+~*Z|Q;Asc`i8OR2@jR-bhz%CH) zF?IoZZx9<~zegg2HPw~NBsv$~Y$#`Og47>Z8A+!1VK5skFs;G{BTR>|Ap%oVlk=gR z;9oheNN3CM+ys^kWkUt*YHTQieIdJ0z&4}DsF&xsDsL${P6L#fD5pekEM~_Q$H;T= zAwPh5jvOWo3(FJDrTX<$hQ)t~a}tJy<$UG<-Xs9bZMRr0$4l={a?bqym&dmjtL-ZB z!vvbdyLZB~YY?mB3i;cq0QlPrpsovmr{sYV9s*xnE<}&S>O&9U3s&>|gaGEpN#(&l z`lkE}S$>;uZYTJ3rZp~8aFD*)U;ZXhJ||U&le|h8;;^M)Im+R45fZv4c7y#VG4b|D z`ZnL&SGob~^lfrV8s3y&Ma;2?;Y=Cu_SsUnbU2+C{kwXzQ^>8*^Akl&iM@U@E zF1F_@eu6sG13q1_KxPCemF!CKUI%t1dT%5fDc*y5kh2)x8^uP6_s(FW z(0f<0tK9c6L9@iBoRXktx~PBQqMj%z*-6Zb+)U!k(6beHhVscjw~P0E`Q@r)ZvY_6 z&F|c*w!DsJqXnhTVxtkI#;`G%^5F&)i5%lJxd8egoulvwQlxVXxzbM<2ianiPRX{; zF5A8`*_P@SpdR#W0miI-RqnX>#)RcKr_H^{7~cc++kTGqw!E;hSRWUmqezY}bG5PH zjC|79BZ|C_vGcH4M-)r7@OI0x;BHfDRzM5#llZaoMLpLU0&h2y3qx-RHlQd~R=*sJ zp`$F{o4ObV^wsQYA*L6wtC5(FWn;0J!h7S`IPun78zpTH&v zh(p-~1o0YnjeuyH^|_lV&^FROChTkKQN>wC{x;b&g|`PQVFL-SW!DOXhp}rB!V}p< zfw0e70e~v(Quon-mQ?preYjxa6!pU~z>MrnSL4iA@q+8_p)7u3g8jv$;!! z4bWUH1xZ$eyhrl8Z+Pp}a)Z|&mO`c`A-uXt{;G4yHFQ4;uUXrHV7q}iE~c`{Y_jO{ zC2TV4^AtA4zt4FhrruvChDJEHPoAeWzc7^86*6^pm7~r^yXx#mf1Nm924tcGWReR6 zP7Hv%$x2BLJ`QnzEsv@elz9UQv~Z5wB9<&5jC&nTndDJJ6+x#BVcB; z*#gXbHXDJto?TDCEV95XcES8Xz|3Lb{02AeQZ@&Hxq;n4z^t^upcTe(P@N-fa^J{q z6i`;P8xfS7*i8h={Q?TQ1yU!s^Vth3i`;YBTmka|HW$IXnSraDo4*zdAG9#r=ynix zSV5^d9)fZUyM;j6oPb$%e5%TW*{$qW0rPQoD}s3&yN$pUmuUhAx4D@8 z4VX0nRRE(c204Pc_rXkcUvxXWU4VR=-Ht%s!R`v^#t! zyHh|aWp^S-cd@$&aWXY&#K1#E#ornSA8g5_ng z=5BVkfV7w0jUX*#3kjwN5-_cUS!0h;WOk||S@gOhV~rgZ0*X>jnp9w*T`P5=#*qYK922wUtG6C?!C zcKe2Wt%=}lGPZrn)w09t^r zL{}+Py;R-^c5=g;=gHqpumqdQ*Q?YHY^uyFv=ugpoz>*lti$sJ}%-jooHqJo>-M^G+p0<%?vfG?x>_gNZ78k}$i!!Yixhikp=v zwVSJ!G_e0*9iQXl!Z61Lcl?DSrgg_db?k#S5GKNZ0^vp0XA9M$4bCosAci*yK@2}i z>&d-0bnV_kv33`P;iB%dc5k7`i7D3ZA5eVcp6vv8OACeASZ+t_P$8dT_<;XPXwfBX ziO`})*%G8hm$Ieqj4a+;#+HfqzGutOd&}8!`#rk4YpHNTQ7LPg%zKMNZuFLln-i#z zwNwP>2p&lkUQ304g|3NP8Vc12x(Mc|6>No|+K+4nqS{Kf(w?Kd0pQR<)p9@i@aHEU z9WR%kCTgk3;7Ts(08~_KerH~7i4Tg~#x9yyl@`_Mo>P@E>r^Ed(tK-53*8RV3Ms3z z(h|L4trUAYlv}M78koxiaBCG?CAf8*twP+ohutH%<>yUmr3eNOekOpfW~&9zzu0O7 z^j>zaJ#Zjc6DhA&#sKLAN4A~xR?3xdEOx>*4l0^jRBfKL_Oik{ZOPSerBnd4@fx8g zT?{Il0AxmJTVhzdMrd1NGR=tPYbHo;gjR(q;d1^d&4=8DzD8&}y!N+^P#F&G$sU%A zLwipod47hALv=V!SB-y4^Rp#56k(@8G8~GDh3Dc>OgaK|$Z>I~3GLV5pVIuW1cwgT z^tEwNOG8HwGxM!8lw2Cj991wNMIIiBv3iCVfOTw=1E`ydIGssoF*la)M(gC5Ij@pn z(21;U0Dv2iTl`n{#xQ}<(9L6ct5*eK&;H}M-bPtwJtxp`Je4rDE`KP&o_yU_qV+^~i zIISiz$%%Ket2nVPd6V}x_azIym-by%nTbihS-q<&N4E!^k#aV1bhzSbA|ndibZs8X zTE?4R~{Ra4;B(hJ*{^)j>yY;0F}On-@4(8F`=J^x&HN^A-Pi63#wKaUHWS1@`iwz8=(_Z0DnvJt}PUEJB8 ziuec0z@DmIDsu_3o~;*z?$6dELT_Lj{0ZGttrGd7Md zi>6IqGW42ea==bZ?esf2o-@7erFujWb+j67h{R<>0Td_3EV z2>v8{5(#WczPsl)0BdS{^Mkui`N-!HkNMZ&4h~G-LH9^=P8ZTaiQ>P&%ZG_B!Mmjb zV2~Gp6`8=5Ne=;lYj*e$f68Emdy$$GgB9van1qvoN>eeF1{+`#=cK|G(?5XK8OmXEgHJ*kk_BOb+4)nup8>YH9!|a@g-m17S8lDEQS4t@n zoaI4dp8Qo}E(6rL@>j_!ahSrgrZPE99r2X)ZYB;Gz$`Bpy9isDGxgL-TfQvoH za`JC+0bGy@fJ?l#x|P+MYuv#EfH|otGZyNX5??NO({3}-;Zx+$azne!OP$+YXu}9J z$$WIV*S%=v(&n8TE&zb6^Xa4z%h?E{v0QeDn2k`znp2q76fi+O+(qA31;D|g3HGML z@4$!biH=Z6GTkQ`k+P;3p}GsmLyNxh9`cnsEco&l;9g!KobLW>398wyP{dSnF8~-z z+t@Z?EG=Z)kg>F#Z5PH8#7EJ+%PYi2h=1F3@A3-8X?m(vM?|&Tr6l0%vPJILyo88v zDnsi^Pc(eK8?l#jnF&oyfeB2xMOxiLZ_Wv z5Ptbj^-S)!qW1n~j>1b8TC=XmkfDgb8HKV<| zMmkogRE{>}rcw~#!r(7qC1UX3%SzDTe~LZjKln#SwHu|4)6oyF&XK;#TTk?1jZrBp zLa{O8w4nd!5zfuKWU79Q>bhSNu{B0va#Qs+CgnqxV^kaR#JC!x7);c-0zz+45ZZ}E z80~k$(zN~U6ID;Mr-d}!$DT&gu!HTe*UiD=3@nOQD+U^5xZp06Tz*#2%=lxi7U0Vc zddPdjb$alGUg~^7xn0oPXt&~Og_;(sly?vLr$`sZD)!OHg=_j)MKj^;ytB~8D%WlE zk8~P=^zZyw#a4~kc|xhGQc1BUo%`L1VzfC?P!TC*r9wm=V5LYzcCwuk5gC_UM8XaJ zF%bzj@Tbx^1@Al}l79`jFo}qaPgz99E1JO~BHH+;I&F%G0O{ZP@#PVbamklz>s%4J z+D}Awv0Xw$Hn3etM4n;KNJQisRVIc@I=nc2q&~KjAkpo55kaD=TJYsTr#~_N{&1)ErVO|8b+~+($toLZ&Oud7H5;vaqJzBCDwx#`*F$1u5+fZRj!I+y=7J7_gZNwPrHh-W>M$3}z{U5x{2v%|S)M#e|Ao zr8raH!7Rld7Zs_Qip>tbs-y?0XDPMZ_v~Z>!8O>2GT29wz#b!IJth2X6$QCq^LV|_WmtTr#v-b1 zk)zQSkHlHVZ0VbHEkDDUDt%MUffY2_k@6t=Xv(Ln8dze&!b6f58ERq zF>h{p{rZ&MwzH$!f28Q!j*lwpSo`ldLy1IAw-Ku+gXSmUjKvqcrd8_BpG>@sXVIF3O z1wnIJ3+ zY-HYL?+Pf5*t-bId+a>|rCCA;@9>H=c)KmmzR%tlAX~8a5y%hN2LvR>~oR*y?Nt*TA05Hw54V2ln&l!dQmQM9@_qvyTP1GuX!n+$Zc4 z1dhLVgdGv@bz(=*d!MpT#d`^d`sXXS{u%pBfa=UXL!kb}{)L(>E$C+Tpu5|HIfCm} z7xp+^_?&$%;PqsmBY6L2|3>h57rtO$i1&K2FVK5mvM)&&VgYvNLLiN99U!!(P3*e3!4>uQLZQ^srA7(}OMlEX@4Ox~PZN5mX>Fgl6xv_%tT?FTv z1HoCrf&I2#tlwh9w1;loVX5wa2X zX<`&<;wrZZ>sB*Q8)Kl2Sh^J&#JAb+5EA$e`$mv;Ec*tL^;`C>Aggt7-gw`^ZY9?D zK1)7xhZ0@M=G0t8;@I5v-zv|=eg)&KuE6>a_BBEm^G|8Pwb*WPFD=&Z@w?d1hg?$- zx$uQk1v4zWCstrxUCxQKK+4%0WN>bF;8?L49FBF(8Cny|33MOXgmejXA33@-BUWIA zZtw;2VjvEz-9wW~3;6vUeo>zHV|8Yq_wpgo-Lj6sEgr)3jTNA6)uo`v;ILU}G`7wj zP(WTj&(x05Go;*a1kmYBM}gK%0=ifQ0FB7losriAoLVA zQEh~L6mS{TcWs8$^~eOj>Yqrezu=jFL00^#bH9wuecbm09t~6yuI*R-Yg`?9WedKu-8v{Y9l3Fl z>waU3AIzUIOk{E$^!CUYBa>bz@y^dk> z9!1dIm5zK?%#gtZmx1ZtLonaK-4rlq`ruiSpR;!uhw;qwf%ztuB>@xS-V^#>u}}sR zR`>~c9!kJ-q94qf7$)N8VT=+V+6JUPPeh3ym-g+Zb6gsc?k#+!rx36rKbTErFkAX` z^KEZ8jiG)p+hUlgo9}og&JyDszv}oxSG-K9*vSW_ck$epo8t$s3oJg98w2JV57omPG@`(v0c0RlBKvYgMkFZop;gsWqeF7!dE7M2~3QpJn> zU|uGJd8H4`+F0Rnn8D6|FvrMXj`x9C$4k0=*dj}0$0Q6Bk*=9m@~aLxMBNdFs@KN^5sZT<^hD%ul2ng-TQ|_vysm1z6<5c6zte}FB%vSY5jc2` zvZLISP;ovxiaZJ5vF}_@f*;z8W$iBaY4~Pax*{|Z&ci6A!)!*1}_N)BD3FyEvc1*nY9y^BK`Y7ueJ7Hv#InY9}GYXMY+4;6Z0^Urd?jP(AL5d^n z4@8PT*`GEkI4^_UODp~xq{dmD!bP|h!5%gzP;#6j&{7d+92x-&3!GpM-c}@a!!ZT$ zW;Z!OfC(gB2E2WN{A~~10rEGUl;i-g2E0Zk^Ef*$X!k8Uj%fEE_8&|;5VybBU*f&* z*k9y@h1X44N4%5oOw+ym6KM+a4FqM;D4Z%;yfoG zegcbhXbDn4!tD45eSbE6l}f8gkGr62TNi4}p9c0M-yq=J6-eWE4uGZs#RUlJ&6Yo6}@5-ZfEE zsA>Y@u|kwg;YdRvz&NR{+v(aqb*-!9xG87e(F6c^0GFUM?`odtbUpq=Ivsj}%Y6&$yEf$K0%`akPoW_i&IjQ6qTvSoi8NdQB?YA6SfRBe&wKHO z4Xolt7O;v?p`rlRh(8fvg-}w6fJGX|3LCou_mvF{;;IUp1Nq$f*|lg3Tem@4c&kiuejhoNCfVMo|2fnZzj zZ90L@ij2JE3TJpzZaEcpiWQ#aGU%w)t;&FUWzns(`4j0@6)341X?vHsYaj`JJ7l$E}#Hfb*NEYKQp>JIr`E2D3)HB_?Wzn=bo$iQSLxIS3~CGP`tT=$T^%T?<5Gw# z9dWCD7P-~FurDy8pS!PyZI5oMPh#^cm4rVl34c*S?mRC%->87I zLIfbW-A&T@KDWCo?eq?4r$=Cmz0G+PsPnu3Dl|JP=^m~Fuc73 z;T%=kPMFaPIQD#8mF4Uz$tf$bFBo_9Q8&Ev%QZGnteW7EDa0W{Ww2x|9A;02t|L9r z%j@9ChrEEk=HI0W28x4FZ@ecc;w`$GiQX2NUvSC-LM)L#q_5(tY>40$MT*aSA4Q}={a%&KTlm{ztNOYjDA}Al@-WXbIEHD|v zp9m&Rprnb72{+E2vlRfoP(B+FCre+vPbNeG0*eJ#ngaNyqUo{xi8S2|N}AbCJ7jAP zrOic+@%)L@XaOZH+!`3!^Axl|OV#rdFumTwv?ai9DcZe;KaqA%hmzCXcC8w%ptO~! zF_Ax!8m*zEwOa#Y+AlRs`{9ihLDTbKK=DC^6NCl&D+0}PO8F3k59|iIZ0)abD#0)$ zZ^~0kI8F#|PPY+MxQ;&&Dzt@?wk{Pcy0wGScA~}<{zPiDhm!Vg4T_E2Z9!5A08NHS z1vkfusE5}K*KNTm%=6j^sVxF5iUfw!_!Ggf7)pv=46Pa+ptOUiF@rym8Xcjeqgw;_ z>3l^V7$mjldohhPx7s}eV4oq{oyDI>yJtelnQpsQjZRS7Nz}NWKam<|LCINe4UFjk zkv?A%I_J6!2YAO9&l4nQ+8JPX7VX}^pGdoW?Q*tgSGa?mDQ2K|BQVm^g4P9UbP>>Q z;!gw`U%Ptakwp*x=g_kt*=9DcKEbbrTS8=1&A7U%zy>A;P-DFJoI^km`^= zzF`R7Y#^0S#PvbmSvmrjVmUI}190jg@V$jU5qx_>NzY{X4ptonCio6cjrW7`_6{FU z5r`oaygvuO0h8&|}wAy|^k%|Mt`d&Aqk1*ALp6M@86WPJoAYfZ_M zqmZ0Wfnkb$193D6Ul|E+D^e;Fhx#z1g@U7i1O8p9rn`LP=kj>ikfM1MMOl65&8Q4{Dqzpe^7}1X@2R>E}W-O*zP0V!STA zCf=Myf#Hh9x;S^}J+ALw#$?;aIeV~n8m?F)h?DeA=Z0e?;B>A(bfCZJ+(Q0DI(I&l zfMss06RUIX`r2IaI!>X*2t$60RM2yo6q;WzP8sWqeX$+@m<w!=*5Mk}8 zEQb=8_`RljUF6U1K<{&5;gkTDb-A*{e$p8*8aP)eYK9?rThT))esjnOlhGqu~ zlveU5g3=Hu8RDYEMb4;U;43Ermnkfy-0n`>mtk$|2*yx=KUB1R4}T(UUkD`^x^26& zf&=q%JbyVbhe5qz0_MH^iNL%FN-iQW`Px}5DQypq1AT-qwT1(P;R5=7{E0xn7)mZC z&|#yBv&(^Lrn>XG+Ao26mk5|^`4fS8DU@6)U<$44Lxn4S1?@7ZcbR~>jz1BYe9d>c z3zKhjdNuhd1y_Y8Cri&!sw+Z>CLg7`a{xBps3sqkvL+vunkFCROREt;j}d}a8~78U z6<_UM;qnyR2pg^N{rm-{|HonORVtc&f?msa?P5o2uY`846gWJ@p9l_oNj#F^@Q4F# zwC@-g1vN$qXq)*HfyS51SGn8=iQ;1bOR1UT%qyj%q26c#^Kt$}VDg3U7#F6WM2%Hh zIYdTO>8`l!k=rH$V^xt99=I(Pv%OdL#;W|-9=K;I;5wWd>#I+$2FhJ6sJewe5vuYv z{aDO*WJQegwIaqrjd23nll+N5Xvqv7X?I^|gT6wF2v>_!GgJJ2oaFtP`z>YkXl&f_jq#%pLrRz~pX% z>kv$0MZjQp^V-25e)hz*Dccj*`bzX!ZYO^t$Z?Ov6c;%^dt#zOiz0hsqOUzM z72r=5Z9l`GNZZ`kFwJe-XC|HGt4pUtz3BqxbNq?G1NG(znEUt>fyq4%HxQWK z8D*+3%p0NJjRNKi{E5KieutZsFsJ##oD22l3YahQCjygu9&Sc3{eAKW>+H`4d5xJ05Ov(Y0#a3Z=J-8t?Ka zQiCu5Z?kJSF5las^mb9>ef~sh@MZoTb`84aJ4+EqN4I=uDO{e&;WA5%Y?peb^Wsjx z;7) z;%<2DZUN#m{zO3VRq8^!T@mVmSHrUvH{;UP@N937;o_-89e+JOT*w_e0>4Fo!yRd%CIma2k3hJ*Cu#fU50-G-&?{Q&U_^*c2)uP7t z{E5`y%fox!8WbD3i<>&b=PH&ce1kynat*Y!Mqu$He7FLb>q4a)H<7fUv zYVbwkTDJzlJLQ`Z0&|UVQmYkt@#p#q(gT3P0|LEY`4d5puQ%7(=sEj`>!EbLsBw%x zks5r}wZX382-1U4`k<)s2Y(_pHbTipw+1G`%}G|6f+3rr{w4wYIDaCr`TFZ28SIoS z{hPge$)e4N0q(=1&A<2)Y4Z^%dBkqhq1mHQ`lzV!H-92E__}VhUBjW-V^I1SuTe?k zPoxH4wLR|Ez%-kuh>}FIFb^MEa8|BQ0Nf`;n*shr+T?4REq0quzqUf@R#79JKam=I z-SVVe!|B&HDBUJ%Wb!9cgD(cQ+coGEcB?`b=oEHqD(a^MS}GA(Wbr401vl=WvaxXR zei}-j7BzDC6RE*X_Z@Z(FW&M0(q~A$DNP!|bzSXPKLS3wcHLwNp zEFk@?=#asmNQd|W;5pGDE9qCId~fQS!0q_h8Q)k5^>Bfg0e~_Aydr-hz`6aun*gtz z0Q?RwaG_bAhnmj|s8#tBfy!3^du$$nsbD7Nfqw3VANGn$)%g>t#7+Et1Qm#+r73y> zB;gWQ1{F`b!1n`y{Q`Jh{zQOtTmJRl^UhruYsS^_$yYa z$&N}b0@_9mBBpkLO=tX7lASkbi}r5X!L(1(J`2Xv+oX3-KPMP3&}=KzzL&F2?2%x+^18~~DnAvBSM5}_U)2G@c(q~G##WmUj8~sk zeSYeq$u-{V>FU@#u95pNzpJs58=t-yoVNS4y}@|H+=kT})(pm*wP@D4Sy%WjY4$?1mxA%;W1G)z zegk~3ZN9bncKANp{6q^a7;ll^qFxKYt3`(veOjCsjJLe9<&>7wgYnj(*410rg74O? zyS45K-?y}0(fXcXyiL0{J=^pS#@oi))@>UP#@khBSE*f8Rzc*nLKdvpXkcf6tFqK-?0@iT8abIF;@gYhmec6q!Fcz#x_{CA>tMV`!yd&w&IrbPpV#}+-Xq}qf!;QO_KM+W{Y7{B0y3yxm!Lohxl zGN|UDI>GqhIfEAtUJ{I7y7|&)FWnuCU-9r2JFft~k9>OMiz8nN#;^L|s-suI`(ysT zI&yVhFg~u{xHjY32jdf7obdhxz<0|0DfdlzAQ+$8XljS4XTtY2Q*WGlGkouz`qtEU zgYjvF(;7@`1mB~l&6oywO?zhA!D%2D(~ar1rh`08zkK?n=~LkQsp$u%zYO1h&xp)` zc4l;$F>uCU_+CC^(~L*p``a0R%{Up1&n%kRYbMZj=4CS{&YTS2n`b^d6Y!pSVpe!o zG#H;%Z&sUGfZwbuW=)0nciFPHmO;Ol_gy|>`AGP_dHJg4_XguD>a1wD z0^qOMyyE#4`-1V6HCMJ?*)AAgRj{hzswVI~f7QBG55o5ctA1SdOE7-Vx%XUt4~);% z^;Q?H?g-x#SKqq&4*1@^`t8;4!T0a?=H43##_wHv@5A?Q4#poiu`X|2K`_3q*}5+4 zy2JPUb?epvyme*k-dYFvtUqV{#p^GF?|tjvUk`j+|NDmA4N!l>_ziP6+ydWgHc zmW?O75ZpqL;_gmx_ZBN&+#wL$io3fzAy@*0prtKPXeoudQ0n^CmG7K{g=I3^vXm(I z|G%C)^UU3MX6`-jJF~m90mrkW-H!e{2BE#Ofzq?k>C==eeimp0;~Bpq;;G%bx9)u)Y2FPTD)w61FezzViDpe)~r5 zo4s$IC2YTWf6o1R&`#UGYCpzr|C{^2*^lFiDj(H2su|kZQ5&K*qkTW>$EaT{VbPZ8 z+|lrJ^!(^8(TE%UZuI}6f3k!fEP1frLFD_P8Hd&!T95Ynp)U@7Z3#OZefYv*)R7~7 zjzk`ri1x&hSC71I2|L>1=)j{x&|W|K#ZmN&DHKyJrY71MF>7M*`k1$4zKg;9#1@aO z6B~|pO6-c*)o5?Teii$zCG6PrW2=v?LmPAK@-g`C*cZp{97p{;KJ)n6;~UV%9e?fk zbxYU@al(2c3)&4Q4xflY`(vCr&f5|eS0Ju(Ts5@q;|9bHMtdmkr8wka+$V8=#Qkjv zJK6u_q>~u0lj}|%#I-#vzG{5S_%>(<#ZQgLxW{jdKN635jQ{IY;3=ym?9`A`(@)`g zacc9am{Z5mUO)B4DV*2o2B$lp?uK^k=>?}Tzo++}K64uLd#3c6`ez!U?Rh5ROr$03 zto3Z+vzWKD;b)&Y+r<)gF3Y*1=SrX*acfsYdA-mCpwc=f#(dH?&1?)5c0?R&3_N#Et(7ES<%JOR|g${pdAFb|#q zwH|o_?#*A~Zsdm|1d$(0L{Cd01hs_S5uT4XsNIQ{l6OQGk?nCFuj4U4_P`Fu?SES2 zc-+TrKlX4>4orWMD>-)Xz)rLsB2RMd#J(fCCs}vmFe}ncweYEC@vZ*~ynmHi8*~1%X&rB=$4w*&kbNmm7iE>p^R7@-5=4i1rbx`+ov_6dRiPp$6-vf2l($-+E7t5t&Xna z2&d_T{D)DtluWqj%oerN@+dowbeccVe;Dn%??^&L-LyX1jw7Bf4)z~L{jSF+itzL> z>W(9yZVvb#M*pto+lU6~W%M0qAYC1_6m-+>_tEEq_lmOOLbQI1}mi1Rg9zEz;{eIL<}7K8Xhllx!hdrROPeoR)NdA`ecD zct*5I?^EMAJsIL;9;{~VGQ#{g&QXRrAzdnM6df|kR5?yphB_(Tl(iC1XQU}}oVE;i zVtg8r-(e-*aVLHaxRV=kZJikKqTb96Hoj=IQrr>G2%)0g`+prVBiNrj{f*kj8gtc{LPg8 z_fKj-?*53(e$y#0i!sV1_kUPtH;Nh*hhH1I@v9=gvkBiTG!kQ#U+(+G@dBg<#=$cj z82jhgcdb)RL@Mh%XdOrUsKL?M$IwC(l!cO7$k9e>fDCM8Xr)QYO2$@lw38YnCw4Nh zR8=uWS<1Pk9Brir>K|;yfl7EIfA5}qn)1~@`^wQ4uNjoKhGMp|+{0VW(ROO!T(BJn4u6I5rYHUuB@|EUdiP5B z$h4-Is|@Ix0Ub@K2G2zka_}5KvTrBmEC0FfKSvL$0el1x{zE}rs0{gNhIBNg8pKC5 zrLI8A-^60&$4B?0-IEEw5A~=jma4)0Z-Z$cQ2rKG4d#DHatott9rj~6|0qBAD>?xJ*x)xF?yB*Ykw*Y zAJFmFHjTwvW!=YXT}S(>!F|m3<>1e37pH1#!v+{HD`PtFaYM_(R(>h+X0c!A5I0Fo`K)Q+jDhpCR3mh{+O+YGS0w>_Xze0QO z@5Ucc36VMp;g}R^5>hECI0=@73^4Iu$H3RCNDSx$e|2B>5eHS4q+XWTGbQ1PV{?9S zSWQOiPKJF#_{6fBj8rULoCyAB|Fq-Y6C!RuilZt^Qa?)^Geu2E8psq*NWv2+_{}b! z2h==7tjd$LktdG1q9!Gc= z`(*KBQZ-R&v;uOH_@6t!_PO`@saPV;tJF%{spXhnYO>OJdL^b9{xnN~$^LK4R23Ig zilzM&b4)WeVd)^vIAM-|PrjjeN#$Ak$TP=WQ=HC^Sf9Ws(9CJ`jU^>jfq@po?k<8P32?y%}2+aR1=wwb5fs|jl^}8m+3n%9dlDnW;)MJPNw~ja^1ajRueZ= zil+Y*bxcz=p&1}e4N|qLcvGcn#zxOGIQil7U91r zmP>r7vN>aBvtvf9NzR}d%}F*rkk#J35)!+XxTO+1<0iIaa;u5Xz{$;t*4=@Ji`y!- zGj?h_rnj2x44&SJ*YLz&m+EfLKUImI@e|!K+0}$U0m;q@_e{ua{Bc72yYajC?d8Si zD(9b!oOjH7HR(@E-s3#o_=Y|4Au-uhe5vyN$;o$n&L{i{EdQF~Yc=^#*5p5605M2> zs|@hu8Q=jEXrAJGWr8Qu1P>TN^b|iRBRsiAc+d=a#ZSr%PqrEChTxyzRAzXB-XL<~ zjsA1<^p4=a^p^PK#xJTq7!Q-t&}C#MJuP9Z5y}uw@+KoszR|h4->nmg4|z#V{H98U zDiwC8uv{d3{v=C_Ka??)G3>@*d(RwrH1scJ4rLBUbJz{Sn+;_Sb+eJ=O^U`FkHmK; zcU19E#Y0~_91Dmth$0;cQq>VvM~v#o{aRvCCUG`EitFo%o2n1S9#BLtP`;;-#L)8^kSM1hs*4k@}GK;D$|5#gSAjkT$P$vFh zpq6LIZO?!be1Q0o7u3-I>(D#P-}Jy)V;UuV2sc@p)kR5NlpfZ!_l;9XT9k2=aURw< z>}S8TQN~f1SC=lY58mbYsJf%-jw^M?UV9Sncp{~rGLSNms|I?|M7?ByGLbUTqnPMH zBYh@=l#!H?9@R*8Gu;suWu*Tyi0ZZc)1P<$z>wx?$(8WwP=9Gv)k#&S|C${3H~z{@ z>W#lkZ~Tpnm$XXNkeOALQdP>xEUq$prBa4cmFhoNDw@xsTH*UxKK9;AhNx<#s+Fsi z$ytAU#ZsnH73;B7EaR&6vdpHcma1CLs?eiwR<6XaWNOMB%3R7^k7A;KdX1yOaw&r; zgZ-0P9(CJ&lNFMAl*yFI9@R+y_B#8GzA~A*(SK|=`g+qn_@q@nRnJsC(_7?muHUAaq5&`0))yiRqj-|vpXd@TYJe< zhEpXk*-IXcY}OaNyCpF3uYR?Zg;eEJl`lCfo?~o#^;4!()h|V=UxNAc74Ys~DhriG zRP9r>&#^uw_p-hIDdVa7mvZ$FW1Zy9FKXc3G8id~sxqj`U~-o}eSCWCa>SM2|%BZTQrrDax&T3M!qUrDI+T*KZ=Rc%4_bMd8cfn%&g3uR*d8T-GODXqQszPZC?CuMGB?zCpm|9U+44cRk9FwEbyDOtBqdyK)rPUbs%#N?rdn&Ulv!_*~KCa`s zXLvD6_Ev^hhJRefO3QKXo4%&(t4yy&$o)J(2c*Q?a6aq1eAvBuwi%~FZ=nCg{Ekc^cek2;@@*R4>wR$aH0EgscM z>UGB6v0uAXa;a;VdcXCUJ>Y)#_Z)YB(lJJ^SC=s3GNj708khg#0sCc4CD>ywVgF@P z)N3MdC^c|iBpY?0ioE@^42464gg#+naa z)*9XYlS*4vvSqBvRmqz6=F@&3sgf<3?je-v)1K*5Yfc=V2i~qOZps&_<-;dwzxE59 zN;q|aQy-M1fCn7E1ZXCAs_UG(&OJ%jo76m4`?XFbT?*8>)SPIw@3d1?9j|x20h2u8{dsXsf{0Ub{ownxKe$%OvPu+B?4_H#z0}kIP>{l1Rv{e|@h3d(e z5BmjBC145{Kb2rlMuPp@JU{pe%mH;BRJQmx%c$+i;}OSCV-BiBOrAkj=2heRFdneq zn5slnH>T>7nN;+E!>2Td)kRSi?}sVgs!#Iy#C~B^$(V{oQ5ih>44$6G*74JuG4iOo zJ}O(JC;Mj9W3^u+RYIz3qN=^elNt5elitqDeyvnVnFi`)dNYqY z-sCCdxN$jyHJ zbJW;AE)UqRu_|HHczu0b6RzT<@8aR+X%0^O66X(5E9-V4EDg2SgbxeO;5!%ioLi9vCT8tHuXcvfaVkO!=SbGue8)AayF3g%4tv}j)XbYpQinb2gc4#}J z9fEc=+SzCqq1}ph588M!(JhlOyX6p*+W_AaSmt#AZM<0S^&Q%u#0qm+ zVK&zmE6u~vjuETOv1l)d)#hK({w3CAsxQo$+KRQAW}uxT)@6DV?WbbBC5tdya)=F< zPH6jyjg~cNH;PS`D`-Cwo4vCMvv)DE#rqkwgTz+v6KG!++r0k}W*;H8`&35TRP6AX zhjxwF>2n$FYhstL5N6*XvD>#M+SX!^?|ihI#9m*FgYRErpI1e5#6f?=^M4 z+#GFpaXNS%+5_TD@Kl#Chw-!fgFqT(Fsh*%l&Rw3S2KK)h^QhIWg%XuB>h zWeyORGdB_D%q_*0%(Kz16IU}|Li@gWHH(ihXUQ#I3mGrWAq&N|tQdoAnz)_~UdUEo zypgR7+8*MqZ2Qs1iMO+TgZ2mUPWGpSIeR7XUiNk3{p_!b4|2GR4|7x#@8xJKZsj;8 zKF;yAxScb%_#|gXaVzIgVa_>9e3lb_%K5qYJXZtpMXu%I%UtkBZa48&Zp6-gMtq(7 z2Vu@*65r&(Ipk>|zRlZMnDcfK-{oD1c8mBv?{&1FiT~w`5$1ds#Si({iyuSVil0Ka z2y^IB@pA#pLxJzbFJWheIqVJbYe9EmE|^*TR`5L9o8tGvZwYhZuf-ol;F}`F#Ggg_ zq8%sxDzXc0ocO!QmuPi}q-Vt|-!6U`$Nm*L;wsu((yJuKyW~1)F4ak9Ds7eK(zS%SbQ@_Y4eylR zD1A!5Bz;R?!}2H6ugp)vT-Hqnl>bWxR_G_p6$Z0y(IHj!d4_b5-qc zS+II>VXj_A7OIZ(t{y21S3iyR1zDtq6y_Si@~Ij)zZz|1(Hc|GE|bM-E|tY=o4a&;$4Mw1yA}cgFgf>=IY=GQq=qW2Ttbn$vtlTgX?QB`4A!$cGe@{NwE3fR=yQ_SzH$2&UhwR^Ho*dA3w(Q>*=hye59QfP;IjG-0Ik4Z`!rbp0 zIi&v^a_E5Ta>zhUmMc2bw1It*aE|6;{pq5RzDc4Oz-JY0RuAevn?O3^C;vTdI-^6ZSS<%O9~$roq#lb2`4%U5Rp zEw4OZRbG95p?vlE+w!$p73H;AbLH!^F3Ib&bIUho50^J)ACx!ec*?it^9E>P^Y&;3=L@aS{LxzB`QHii{GYTU3y_Bk zDriqFn4=Y4@PSrrVHvIX!kJo$g+FU07q`|*Em@$ISr(&}U5@MNa*I}Oc}2A0TKN^( zvtF$tUR(U1nW#s~`%F0-@m$a%YQNvexY1LK@L_0~VzUnyI zSF{?dy@YvnHm&CBv1sRMwbu9v^O_u5?KSTg)2HP{%X zHQeN()!URqYqY7a)_BuCt=X1Xt@+mOT8nKyTFdQ&v{pMpwAMS$YHfA~Xl-|P(c10u z)7tNf*E;O>(Vp2;QR}p4hSqs+53S3-tXkK71GR4ZrS|OpNUi(+SG68d#kHPMQ?y=D zH?%&{Zd%{y8QOEv*R_5J3uyxmP0$7&x~vU4yh|H=q^maM$RTa$(H7dUqnEYeF?qBR zF%z_rG1s(Fv0>Wi*si+XUas>YkRvs+7^?9%gV7aWrz$${}%u)%g5?G!r z`SD+6u-qZ_z^Z`d3n>9s6)bPaZm?=#p&?7bs)OavdK9b%SXkDLU^T%CWN!^t3#?G~ zYGAd&3g#FLRtKy|j*eh;!3yVG2v!fQXwI=<;b2eY$^up&tavUBtN~cDT)n^=f|bn0 zV{8OgBG)0X#$cs$tpjTURw{QRu%=*TbC(5c2397|RIuh?#YXMd+&q=VBU={Q1 z0BZ$SA)f};8mw~OufW=XRm#@}tSwm8eAU6)fmO-(J6LiIqf>i||Qe?hR0U^Vjx zf;|mZBmW7oXTWOb-v-tRtXBRnz&eA~&Hp-B7qB{^!@#jqXY^i{BD!5V}f z2kQ=2KlC@S9$<|^Z-Mm$YgiyZSTC?91$@DJgEbDbfb{`uR^TVFzFti zSU<4l1?PhG2WwSu6xaZ;mIeO@HV~{$!FRw0fweA_6>KnAyF%_@L%`Y=ItMlstV5xF zV8g)L7tRef9PH`BnZQPXbu3;NY$RBx;>E#6fjv|FWw6m;U5Xz98w1w4#3Zl?ux=&# zfsF<0TH-L+II!*|)`LZYJzG+MjR)&l;tQ||U_DB5>?eZtF3GW<1lFr$bFj%^eM?pX zn*!FSR0P;muzsa_fK3B?u52E#>0krOTEJ$2^)K51Y$n*CvZcYE2OC&+HP|e$A!Vn5 z%?2A>t})mguwmuOfz1UQT0RVH9@vQT0bujNhF8c2wg7BY1rM-=U?VGj54H$wOvSgs z7K4qh#IafeHntMSYAIMmr8!{Bz#=P+1X~U^uF^HI6<`x8#euB^8(*1ovs$ca54NPP2Urx?@;cvw9ROQauQFIP*vfjLU^Rtl zmS@3EfNgHM7c35JQ|qU|PJ(T1Z3Bx3+tPYG*eS5>t^0tT2HVz}d42|LXKUv9S+E^# z`hcAS+uf!m*mPo~(7Rf*t973G6kn*xpCLu7Sn$ z<$hlWJKmT3y$*J)e<0W!U~&Ea1iJxtVqitEn_%$+^MSnyc5*Q1?Jcm=gE?<+gPj_+ z3G5xPv!mvKy$g0`bak-zz|M~@1ol4IxzW?WJ^;HgdJx!$U@wfm3HA}#OQX+#-2!`Y zOcAh;!7h%mg53stc?`$?6R^u;IQE}{T^hsM`5D-iF|3`RgS`^LI{5|Is}Zb|UxHnY z7zOqf*tLjmU|)m17I6{m8?fsUhrzxDdwnd&;5)Dz5gdc>!QL3#9_)W$Z;q`6_5;|> zvAe;31bchzQm~)E-Wq!c>}Rld$9@j>3)nm3m|MSsy+4k*^&8lG<3@u04))==u3&$F zeK3x5`6t+|ah%J)z&?r$0Q(#4_P9U5?tpz9iTMZ-V4p@}K0+keCy`^oG_cPjyMwub zeK!6om^;{)Z~R%Qn)0_MM}DA-eAL8}75ih>2M+6Gn(%(`k8SaGo6RX>200L#4U23Scj+v;9m zrNBZ~Hv}sUmSs&{}U{7s%7py*5@hzvp8h{ns%HwMY zR&ooEuMt>@tuw$HgO%Re53C7TsjabKO~J};T?^I>tjxA}u;yUpw`~S%0ak9?_h2o- zDsH(6~ z?q~(}G+2!tpMpIDR(r=quufpLc9sR}3|4n%2v`@eIy-qDUBSY4@;thM)!S7b>{+k| zyRw0G2dls97FZ9kM!PP6^#p6Un;z-~)?_z5)Elhvo(^Drz?$u;3f32_>7MOi&w;hr zGaIZQSo6J8!TN)>+S>@3+V5w63lQTw zY%EyUs9(Uwfpw318!Qs++30*=>k-X!n+VoBn&&nNtXK3;V3Wc6M!yL* z1+34(24GXc`W-9^HVy2#L+in&gAF(|1#AXb|3hDc%>)~C=vA=i!3G|F8Eh8Vki+}H zW`hkrG7)SJ*svo#z~+JtJ@Pu(Jg^Z*V!-Bu4L>>rYysG)qiw(zf{i@NeJlbSbCmm7 z3^qEZCfE|Nu`!`wOTi*ycznyiB4c=b%fZIQupX=cn-If#uo7&1Y&h5|ut~8+z*d7z zj9m@325d^~1hBPWlViUHTL(5R_8QoFu&Kw^fo%YracnZ!MzHC}u7Yg>d;ZuVu+3mI zk85CCz-Ax&1Z*qVtm7NNwt>w(J{4>`*qr0n!FGVnKOPIV6KvjzEMU9979Rf-Y&Y0~ z6ER?Wz!sla1GX1zQJgo}KCq=Hz60A2wj{0^SQOauxV&Hoz?Q`g28#w;8P^)@AlQny zOJIkwtw9R^z!_cz!Pu(fd?fgJ@~bCSM~0b75PzK;c4ck&3>F|ds%SAiV|+YsLd z>;%~6_=;e0V4LEZt0%#>#xqyr!M4P+=9~iC9?zO{8f@DsE7%#Zo$Upqp zV7pHZ06P!1>(p_u7r^$OS`T&sY|klr<3+Ijr|6BB!1kT)2KF-8fzx%tE`mj!X1%%u zcJMUo)n%~g)2vsofE_-~dUXZt(3wVHSHX^+DGv53*pV}lV6TD2p6Ld54J_s?Ysu?i z$Ir5sTn9ULwhP!BU~y+_gWUi-ajpc|O|bZL!C-HKojf-e>@Bd<=Z1j24R-1r>(x78 zXV0--y$g2cJbmyU*!gqx!TVt6&W`~50PMo~4qzXGy&%N-S4~Y!O@;6e@%qH;Q=w(u zif%5(=y+@8rI zLcYQ)c4FDb4ITWk$=`zg_=lLYm_wZH=o|fxMmg@tlHI-|e4W?aU`OcSk4<-XWZ7!j zmSjiS63^&6{f;g?u%l3pNy2$76g7-?6pEcJytkvv&Uf@b{f=IAvZHg(cXaOFjy`q1 zqaXDH=Xb3=Di*L>U>8(>vwe7$&S8vzN7E$JMvlUd`G|Pb|hnscjPni-i|go zxXyw(!X|&qCc4gNzw;gauHR9-lO64FzM~!Yb`BivZI%r@93p_JNn+~jC2aK58I?(HbZ z`HtN6J93h+zP?U(giUu{7ceJa?%fhpRcQEsJTg$Y2y1FqlWsp^X2QtX5<6M!708@W zNo7JMHEZ;AVigTo?liKOKJxP}MGnjtnBU1UXVOPL=UU|AP9uBkBcFFIas{W6ef5zO zjgxeoSvwvW=Y+ZuSj}lLVxC@`sMx)M?~kedNUA{m_v|IE`%6 zM}E(>86594au$8$_g#xT!)fHK`p6%+7I}fw$l3LgKXfhfYNwHN>LcHBE%Fwpk#p-K zf9zW1y-p+N)knVVTI3^6Bj?vg{=}uoL3Nx)E})AneO!y&&1vL<`p7}9MegS`a$$Ys zVAmqQ6ZEc=%Kwx;a&gxpf8;cBF@59`u0{UdY2*_6$faD09K6TrIG55#9^qPK=YB4u zkDT~UD`|b^`>O}8RtfK|tgW4nMmc@t#V#GQwTIKl74(spxfXet({Zk(kG$5k$dOJX zSJ6ja=UU`BP9s;-N8adK9r=>e$aVCQ6W{SX zbmUi^My{uiocI>!p(DTHG;)1?J@(MjZQoJMZ0kNk>jnev0v$Sw7euecWZSErF%>my%vDY8vEjoelr`Bm2< zXL1_3y*~15u0;-V8o8rB@-^2YXLlO;8GYo}U5lK@Y2?oO$k$zqT-a&kuKLJtxE8sz z)5y>2Bj0c>vh#PxJ@k=px)!;v(_`+Xi>&2xEwc0XuzmEAbGsI~mD6K>P9HgsYmqxU zjoe=!Ij?JxdpnIhP#-y;Ymo;#jXYQ%IlpU>oqtv^R3ACiwa5`pk9oL0ask&OPjDJ} zq&{+(YmuiqjXYW(xu9#2=R1uYp^se1waBZSMjoe+T-de9o1I1;ua8{BwaCstg_x+1 z{FG~voxf|FtdCsOwaD>KM`Nl!axvE;zv%Q+;_3RxuDzqr?C$ja;7onwiY^^pFRxA;|_ z7gC<|`bBto-S+yz>npFXy}t4K4*z|X$jsT3GRscOZhJp0;kA~dmSdLV_t^2o*IKR` z^n2Z)-xmh`zA@`a z`}uk%?dNMT=w~zNmsQu#3;o781$=Qz?vJd zt_JZU4cL7BYrSpSu1GXh#>%D$k@Aiu^U}pl(-s^YvZod}|*kuFu zngP3Ez}_*~?}rBL6NA@&W6%b?#pgMNz*`Yku;x6Yv7CWC(44f^di=oe+s?~p;iSc86XN&5wbC+!#1$e>>j zgMNJs`VBPbH&owGo(vkBw2lN#F<`R{*g^xg!ho$eU|S8?9s?F_z~T(p1wHe+9dspW zJ_mh}^j;+BwgLMwDGPQ>8ZX$(fcY4(e8KtcbrVYo_dCG__4DC%JNPMsekBb0l`-g7 z!JuC?gMPIP`t?Yf)4}_bdMNmy!D|gX9&F(8;0p%ha?#-Ut{Cj&nn6E<`=a2t4f?%r z5bu^jzfbl3`epqo&mdMz&_J6%TeoB24nTT zz8}uxX9H&NzRPOxzRTvWf34-H%`54CZ9WG50u1^Yysxq8-`C)t#+FMT4=lf);aCi6 zwymf^zmf*WQcm9w`$%&ChJ94my%zUiw%QNggW2lqW8rm;b+6-j>F?*r+8VsJqrq#t z8T9LE(C;~eegh5q4b%6-u_U=~1dBB2H`##A&@+s|Y=iwSGU&I=px;`9ej5$?Z8PY% z%b=gZJ)+Iv9?@oS{j?ceKWzq|A=nH)L$F=czrVw{T(MoX-+y2!;eDX(mhIzv?Bm3H z65D4<`(^e_TEjE@88Djx%Vxmx7_j0=ug957Cmqww?UJ5b=BEvgxvRlGE*r4d^h}=2 z5~RPjfrS{bJV~#gSsu9dX5Aou_WHt{9iMg`!zWpmqAWt-nFzkPnuenuV|M_@-zbar$Kuo}yfENQU2fP+=BjBBY4+B04_$J`TfIkCepl6_W zpfxaiU|3+0z*2$b0xJjB3=9u!9M}Ty>IMgn4jdOaDR5fgtiXAJivyPjt_$20xIJ)p zU{v6tz}UdJpzxqZK|O-{1Pu%t8ZI7F;X1NAUjOgTb-EalsdYF9u%;z7~7~cWCbi-wOUT z_}k#0ga5Q@RxhisHQ1Wfn%f#?EoLoatz@lhZDDO^?QI=m9chiQPP9(7&a%$8F1Bv7 z?zTo*4_l8}-W~5t$$eW*xYSiHXmDn&1TDL%Vo=N zD`+cfD`_idt8A-ot8J@qYiw&_YisLh>t^d|d(Jk{Hq18K7HOMon_-)6TVz{iTWi~B z+h*Hki?SWE#oFR*XKXLnE+T(!**?qcnb|M1EpxWac`_HzTsm{R%ui?Tn)!0(*Rlj< z3CWTtOIX%@LS)V*h5LG+PL@&9IxH~1^yz8pC4KsE70;JRpMhK*%2hlRCVfV6bq!bX zB$xD=U|AsfBNyqj5*z&2VS^1{eo6oJ>{rG6tmHF$(x(ZR@Qj`GZjLTNczRTNw?G%~ zid@BGs?xg&S6g!xPk>7AHe4O)-9dT}qRgaBqC`=Zom(!q-7m8_`iX} z&5V!CrT=N~tJ420*(ox7T_OGBxrDDor2h#n;j1EP>11jrEdwlFrOy=vwc^1p>GL87 z2R~zxK9>-{=R0n|b6L{oO>V%?PNdJ**x>8N4S1|d`uvU!{F#XK72FWW4R|U_S_UG# zuMfB4w;j^gk6W{IE1qnUzB#y>o2z&nN%|Jy(hpp!#3elLBYkVKOC7B886D{xj#bMb z9IkIeGCW-)eOs|hTdoFi^=Ynl<|>|Jk-j~-gy&AAZ+~tW#8o^jB7H}4bqrTaW7RU4 zM>>bA99ZAQ*y=aYTa&)aC}${FAbwU#BT7d~Z-`|G4#@90ywHh*cXU4Z;(*Gj6 zyuuCmDoa{MA~Js#BmG|`!KP`~nh1sPjSGRDr7*|Vj zm80ujimPS0%F*>M$JO?%DhnZ&J`A;ks|P7ZD5uFTVsL`+Jht?{#MR4`S14CdBfMWj z6iZ*OUgzo!27QZ5?{MjTE`7+Qk9n%Uu-~s_zw>3!arG~*-odJmh9w_&E_rgv%q4$J zfRB}ug_4c)(GQ_~a&k2f4$>z-r6^ZRP%3iu8Fm>$iNHR5#$w6RpR402k(BY2ZIpc& z5-T2~l|I`sQT$1v^x4gwpX3HS1uK1GxB))_ls?C~p&SS2Hn#F zltPrk+**W7PjRUzmx@t}Q%X=uQc6)uQ_8SkSxPxdc`|7(BE(-1sd4FVN-X6KvI?H~ z2C)*QGNlToDy15wI;94sCgatj)TY#-)TPv;gj4EM8nAOi3jU=ZAsbVgP?}PjQJPb1 zCj0=n1&^;Kr4^+$r46MmL$%{ldrAjNN6OQbXDFQ@qB^A}S36U>@MT@O)D4npnW>$S z&vLapr4OYCr6;8qr8lK7bK0A(Ww%VU*#N5!^bGOQR^GDPt%R zl(Cd?>=MbP@stUaiIhp)Fqtxit5Ye{xH_FnGdN5$x%50`7G*YN4mZrD%%jYwETAl; zETSx?EMe!Rlx39VlogbflvR|~lr`+Uma>krp0a_mk+O-hnX-kQw^FuIwo`Ucc2agx zc2o91OwBmIdwDYZ$o4Zp6qgQAqA3R{hbV_BM<{OeB>w!akVm;1Ly3imT3kBDr8<<_ zTn*vUFSPO&${&=?l)>h{LNqg15@HnPPl(J!F`MfNF`cY4WhCV+WiI7eN-xSe%4mlA zj!R>?G=NLvD3iI`hf4#w)RRj+D8nedDKV4?Y?T&vIYNo29Hw;P)Y%F`fQ2gD$}Y-o%09|!b{WB?D9V1yHp*IV*g)Axi6&dar2|}A z$E8DD+D_R-*-BYY*+V%a$xF#c$)S=X+ z)MJ!zF4d#IX-#QEX-jEG=|Jg7d7APJr4ywy zLv^8a*QTnsX04@!r45AFC4B>{Mlwn*QP8q?~ zkz5+ZAsNl3F_Z|(SjsqVh@_0COrT7pOrlJtOrcC==V_Gblo^znl;cDAy>jQ(mIHPx*jyn{tbt zKc!se>gSXzlpo1nqkKZSPWd0@2IWO|IYIfJa+K^OC622fQ(l3{id?9XiC=Do0 zDJ>|iDeWj7DP1VfQhGwPATITx^rH-<4519CjG{zPA}Nz8(;%9SOEW36Df1``Da$A; zDQhVkD4QwUAX+vq?WF9X?59Li4pU+%JQ=r>l+%=R5G^N{E>K>kT&7&5T%)`}d6V)E z<$cOW5G@~3sQOr)GE}Sy=#*}81mXtP>_LQe7ohjWYJs?^_ z(B52qjxvBUm@Og_489VfP576s8o1Xf?RR6ZGIF{a3oFiu8H22oEfbpSBp_-I1>lf#DO)@#ir_% zS`dw9)i|75!f-yzrJj^Nlzx(Q8rRI*xGi=F3Mg?6otdC(NfwG3T>;Mpu|(oP|j0cq+F!Xz}huRf(hwjEy2Y! zu*TA&eMGrU;Z$f}Qof;lPw}GsNcn~GC*=;rO`~{FGEq1cZk!4?PK9RSQg%unN&!kC z3di29G^HGcWA9dlk}&plO}L+IgiC@6pGgbTOQx5l>9XksX}W59RhnMs(k9bpVTv*x z5T>=f?cPHfz|Q!DPnf2gW(d*&@u3#)0qw9=lNPi62oGqqB}f3vf>V%QSG+A)1EU&_sQ zw&xeix#D7WzI`w^mz{n*RG3+r&xNg^uv#3E(cJP%zL-mw9xqOh=1RG8*s2v)d_F(L z*7H+gD=e($>Z*>ErnB=|VeK4LM0+teJD-~_w~rBfEI+rHEw2=FCxlfy^|+iXiI*2b zqIJ)`Y+qk%I2=eOVzrU*Y9O6R_nl7cX=#ayDG>{{9Eb*MYnlThp6Kg41O7ma`QtJ0 z+n9ejvE#r%SoDkdjlSj9UE4%7c;G;!zcv&IB z_{|zWvB{O+uJK1S{>wCeVzVtD42R%XERjvC`r@eX5X;quTGJyVO(fTPT!7!9@e^BI z{!xuTqVan)exlixKdJFYH2%vqexk*dKcn$SH2wwVhc2SOl3PjszyS5*u*P53_@kPg z&gTH2%vpexlZuZ`1fA8h=0Y*-uO-d%(hnH2#Rj@6hZd!mj6 z5A#+3BqAiw{c}>6SA3R#IrG_{sO!%e&CZD8vz-gfSN)cVx&935_7$J)U(xu9ILR~r zs%~HL+5S!Dvp>@H=Qi_sycD0u>#k-eQAhG@XGXI#qWEfJgzstmM7?W&Ub8cz_-toM z<0l$i`(=$kqVXST{KN)V{t5F{yG9hB{rT9db%lhhP~*;w?1;vX=o6#SZGhC}6`vch zLF02oWxgFzc_OKZ%6vPbhPM*1ROC#K#{eulawuh;8F(6Aj@ z8MunifUqO0;&WtWz8zUvUPV^s+mV&!RRm?e7Fn}eWDVPqm4T%qC=Y-ZS+iPX4cn2G z?W+jN_6N0gjVM0%+ZBzUa3g5=s>UDD_%}6v!i}uq+ZumFAVP5nb^) zqBGx)=mgMZj_AtKh#k>cUPW}4w<9{+R}r20o7@Su%#mK%3E7cA*DUF|0k)Gv`Y5Zl4->dPH?g|ij zLE|rL{63AJbR&J_oW@_)_!l*PQbl_9XISGeYy44-pL8RAeYw-*MsuNDBduPqFs=q?OVZD9~8cL9iM3xgB5Gi*7h}sJS#SKwZd=(#}_QJsayGMYiwlIjIyD&uUg@NVO z0>JIn76wst7lx>|Fo=}907SKgK@>HLVi$*t~N40=1Mm4@2&?#_;Mt4gewF9~W?r3F8UlZLB zXpM^9d!id#uhpiL>DmDH8V=S z+06XSd&1yfC4TF!=fK~i^RK)7E}ehV<)7906E6Q6^ILMYuz#EQ>8X9>zb=2rm0w{# zxA!jbxxKYv<$v9&5bR75Kihhk{MY$2E`Ja6+0LwMr%UJGbNOd={(YB!P3OrBZv~fgCbK138=a+4MaL?wMeRIv-i zCB^ODhhfoD6zRUb;mcbehiij1!*#VYfxf=|;qGEO+q${tW>+GajE8E&2e%&IBWh0N zUU+i;@|D_PxHGn#ZRxr{*EG|;FBq)3Q}@b^*}(Cs^GD?B;jOUWc`=>Mw$#i^j<&`6ee=IZZsKF&AZ-?GrsyEJh2`CZxUu0*tMPgim*91L$6gI{em z8$!wKk@4>PlRL@JJvDc0(~05jCuVcK^869_*;IdcPdc=J>ek-!>cU7*A>VZ7Li@&^ zl}i_oZdn-b3>-c#Zyedyb1(ct`F#7lez5KFIT7s7yf72)>+hQkpWaAG z(wdIYa^K;J)ZKjQ(4#}}@6yHcmb%*=3jy_ z)jv7caQnpFxxH;S4sSg=cd5SZk(}%)=bPGAH3-OdiZ(A@pFr84Pw5WjveYDdqYpRZJE27TA=z8 zlWu*_srrtVE;T(lHZ;^RGk)Yu>r`uR&C6op0VKa?gUM`n`yI@~;rZ41mhO3s>p;OB z*G&UW+gBykGXdOf>%5x_oqQx|TyAZ5#-+J6Nb@<^Q-7yp@nEzix&iaHT%WrM+`nRT zwB>k5y5|05{mhMqiB8Oyg`Sb;0`)v!V7Kq~`9kvvwEvYo&bYOwYpMOA!nPB4bGt?m zUbsDCS0J!i^?NcmaPi6YBcT9-59Vckf9=LZ>v$IUj9$BxtKV50k3QGJ{v|di(*2!< zfv55Fbz6Jy-XeSbMtgf3f}z^xI>tdX9&O#VwI_Ev)OLPovZItZ&^kWF{gNI@i@Led zCx{Onx&BePI=BP%own-(PO~*VOQ-KV+1D`9v3Tj?$r}sJz0u8yx|GwtgCbhUJl(xo z*xs8veeBS_!UXyiel4GM#_bT}i*R!B(3Zl@Zs;F&_0!>nfv24}8n$=e9ogIV=+Ji5 zpKNWi>ltko!n2Y2U^q7g@NI=M}y;t4^8D&iW98A$<;rl@H@4< z_es;j&feMn#=%Hmu>W~2&ZHM7Pu%UF8eE-s%MY2#2U|`~jqhy>L;r|fUK^^-SM zdS~bQ$p2=pU-SpzKg}PifA8UKh(C$Cqm^+^M+nDFb(yZa{TDagJlxXxB5-tk&YfQk zaAubi&ZJvDcph=8cFD!(NPy;L=u9*Ejc`bQ_Zt1);rPAN z?YHC3yjSt-?xkL8*K=-p+F$;piRQ=0UTr&oK$%t!AOKyS>@1$XNCsM61Ctd4dlBg7 zE?s;QYF87gyk!FnMVvsEi7$Hs*;Y)5=A#eCQ%{q#(ls=od*{RG&zZ5|LjZhlDRc43xy9Q_1S0l-zbikohWxRHJ3XaK zPvdh(o`at^Tt7vG0`;XE^#H{Az1wdt-0UpnUf2WxcII-9d`4Sv!z5o?9p0W=$kgA) zLeMjXz@vc56Y((jH%$Z{??R?`)A_~Qsrl2XCtK~a0(@$P*xH{8;_ zbpD9lzP;r+JMbKH13*2E_w3^Kp6T%yws$W!cQ5rfK4}`>e)4WUbdm$_t6YD%eSUv; zZ>hVJyt@#Z*;20pQ)S_5T2KDc#Z8ZfDX=%#^0bf``-APnADV!(?gUKsQQ(<;p^S|Q zHUdwlv0>@FOXE)y`HI^gLgBw}9vcqY80_BP@LUgYKjdr(9v>7^Er{*d$eg{t{n4S@ z*tnzySBGwQQouOA=mwNV3K(*-n>PkLz7!A_-GC>QU)f`a5Li!?X#>$tI63Y1OSXo_ zJs$43)iG7zg`WbOy+9){i?AEm?r>nbXSWCQDq9ImH3+P}yJ{6k1@u zGqbuljtyAC*?`c(qnB^TM!gFg-UBUJcLU%C?$F7|<;k8Elpoj1>nnjfbh5c{8w={@ z!$~)ex%MmlyZMw|PW8L(FEq;Y?Jc$O_&#?-)9SYW(cI{!{lHVm*|;Dsy9>k3lMDUB zZIQnI{(5)(mpu!bS}^)_A6M*Hp}n1H7=P z1$k(aHfmHJcgs00b2;MB<-Jel5FbJvu6@n_WNEyqjDth}R(D>x{=>iW*!@FPzTGYN z_&@#N;`R%`U+AQ}Zt3_eQCy+=U+&hwtl_tGKD0ed2a&k5p`ke7_Wx9_Z_^{%aK;<# z4G*mcdil90-Bkaess85P(xp%vt%sp2ZvAO-r?ZR$$4K&$yM8nzfP?Xl{22Jl)qGmF zMv{Y7^0Sj9uk-63oUhw)qLgig{E#MZ^QXaI*7%9Zjgk1y-qh)fQtaB+le;v91J~Ag zI6QqYzi-!$Xv5}HGa@N!Bee+}P-`Rcxs+%T{WZbO19R9gK0eUWdc1XNXXsG`X>$4(!M z*Gkwqu=l~WfoqN(mb2|7#d8}|tpo6D>iOD8Vn=!z4URS-wKf%qMK|xco@fk&4_vRg zaAab8pl(mw`6Ck@XM#Hh&f|o7Hyns%vv-o%aBSP0?K?R&Hu~gPbU=j8A8T8k9}!{o zH<1X`4IJujm?XW#&4nGum0n;rHSDq+{1JWQC+7I16A#8qu|(y1M zN+;0XmHyE~Thc*w(!9{#a$+`JJJ3oe*uurZ^X(HNjtv>@uF)L$3wq?Ve z&e=&UoX9M+D*c=4P=&PUTm>Ou@^hn<7T3Miz@~y7c;1JrW+Cv*f z^7E)_S8&A4PuI4Po$1mjHhkNtf7M2FAropf^8>M@XX83?sC!8Dr%apqH5;?EL5&Sw zz=`!fjT8BMde`6<5i;__dqjvfy7u_Vq{4&J?<}Nik>A0%PfoSkl^{gHF}8cKD<2HF*}KND7&=4jpI7RcGU*?Cejqdj42&$;@oM;{G5JvetH?Y#yL zrRo>8fxk3&#FjtYa$*Yh!h6yYS05+-g_~W4U^<}=43x3L2J@bhW4-G`J9?LLZatN2 z)U%N`aD$V*%cpU&#|C*ecM+L{bd1`!w}5$`Ym(>fjd^4b`RVXgKZyoczl}Dwz+2)5 zGO4Axz9;C{u9Ztq5AMT;q!b)6^V7BCYP{&gT@Sy5N!1QIxnmrTwg&gO?K;fk#r+nD zjr3ETxTxY%IUk~f6Kz=0pF%3Uv`?U2!`R^7!NH0)s#|;DUv%zBrbET4d5(K3egsF% z{B$kwL>Y$RnnxT@WEMCnpT4*~Qn-1tG&Xt&;}Na&3&$TiXvkLhr^~HMw9mooc;nI4 zhOH;2F57smTnA#yY1OXn7urRwY6muqh&KZ&LpN|yZoz>Ana9ox^%G*_7-i&|J4^jI zuuyzhNVUNqg(oN8H4WdUaXQKeN6K7mLq8l={i@;xt^+M9uBv#315F~Zg|3@BA0n=k zejVfS#MJoHOLM>tq8sAtWODIRd+v1Oq2{{n=r7cN#Ku2maOmKr z+H+RvmB$(n-5A<>boTtH@*DUjKf7|5ns7j$NUiYvQT=oM^6lRHmwT0*>Yqb9d&*-w z?fzlhl~<@f#@(^Y8Rbt}`EzGeGcxCQ$9m<6_qt{yuS*B-Elzgbn+!3&AM|c-UL^dn zU7lxbm**qmls{fBVEs85#lvB>HKCcNt_#a)v2nbS;~?VY`O9~Z8BRSR{9&C?>l0<* z)H*S;7a3VSp@6tdiG_6SV>K@QI}zVAJr5^$9@^I~fZwgiSRwOQdg0K$#fi=m*3-mr zWgQlAg)_C@p?&>bB+v6ZG|qC|AFaUyj8k>Moe+}o$(gJ@$Rs=K8S^R8frF@s8~MQ5 zCbYMyZfj@h^xjPr->}}%`Ykpa`P+coJxY$&uN#QZbzBdx=dc%L93wG;8*miQ)d3j% zp*xg;SN!b4PWxayAhuB4m>=(%!aCh^7g^ur{xN!ajWTGc}XU2L@ zx*2@T5AQyrH?V^bX944mL_;Fb z>b19!dAhNg_66EL2m2AKXC%o97?l_ZY#C~MG(1^p-v-F>!+{k2&isj<()p$*=WtNGKN*TPD*SJKvac|X{!(!_5qOU4(c+90 zyOm#BMtWx=u$kpn#*k5OPltG)bEgX#c;*R&35{myaTZs&dKN40L8;(m3`0h#M< z&El*YAIGnU*tfR^yI79*gFO%Pp=BFiYQMPjU{v#m*Cp2;(O2j$(Ckk_zXBel5lE2yeieM|ZW9~lu z4bglm-DuyA`9kw*9Q$u1c01B>itn>W?sTsr&LYmI77@3Rz)b}9sP($%;jM`o>_DJ+R}HiqDU7Pj@%dKET=kP1^eed;eF+ z@SUjEU~9{@`mM)Ta(#h~X|;dQ=Gh&w!N0y^pI+4Gt%?uWrz=0~JE+*l;SK|>V?Fjd zM*IEoWu?dGgw|m4vD$Az|6%)h*GjO0!fg)goL`$D_D*dNe&NAKt0%^|GI z{k?*lgqjAKO}<+_;Q-ah?x1 z?Cebjk0)1;?8F_#`gu;kh*(OOj{;Bf>`_+u~r|(5tk2r2P*Jx+LNeBX`Y>(Ex7PbHOURU~!@O5|Kh4PKVPQMYJ z8j*jZ`JnK0a^@Crh#P?`bnd{p^^wYVO?DRYSYM~P-GqB}UZiu*Zf-B>om<%6L+2Xo z+jv~m{tr8X! z(j=XeZi|hKXIl5PdedC*p_Xo(W3a!X@kz73b3;b=Z}7IlKb@Bx{|cF5iW_P_vCRFr z@xl=012~=}xZd6zoqr|zWx&BRoqwC@4!4cx$~EwecsKWSV-NC$lu%aZ-XP9rc3xm_ z`vh(vB5zSQAR0XO>HL3+{m(USB7N*n^f--q;Kpe>f3*e&Jo3w!->QB4xc^H7$}gIy zj8}Vpk=;#p{6B|01D@%L^15-cZ6D=5>~p0vzic~|{ZY)FN79}jYM;~U_&?`9;e-8z zn#af5w{^~5!V_i-Ef{CJo%X&XN&6>T&fa&_lzD%`?X%@l)pC;M?Da{VXNG9Mw#@O7 z_DwA`Pb%|5?T@Bk>BbX<+jE$Aw2$U~bIvKS^O)_}`8LKA$5X~T?4-TdPW)H<9OU&l z{_nog-qM{PL!OOs#{2l)p{%~{j^f7r$UT;I2_P39pO5q%o zUATRMo&-XEEcs%tHt)R___43Ok3V!<#M&>`oVz^Pa`%>#9}1mBTvPcWI=AE8P5Yz+ z*eC6}wlFSa80$Q4lq91~+bMr~=2oN9KYOWOCZ|TabFH+_j&{yC`2e2ZT{Ab7U6l_| z`M>Pm?cGPlkE;CogB{0lu4p6s@jb&ebR!1#FhBct7tT-h^@Kyg+DPkAZJ@uur}joo z9qvB_8YpgW#tj}kFMD8nC-iG-_M~eZe>kqA98Usf)7N^J$**kS`Es@oH=eQYRr?6e zuTs91^G?n+#)I=zz2z+VeU0TQpNag&mN?>zTKD-Hd7#df;3>7PAwLOxVST$q>#W*W zQoKsyJf`La?F*^>Q%;_0v)cFC^`to;&E;sn+TX;<9_9<@dsKdf@@%cDKG=~>trfWg zt#+QP@Zi`{febwK~QQoZo(Q zZmtREdcJY)wx7;Fe8bnRZ}=+Y)Dw=H9G{ygFXidKo0apFdaywo7s^*}N!R)wP@!`k z_7VR6Q|Hy|^n~frLNMoRANT9SNj$kmc?~r_H@gLUwUxK38fR zEEFEBEQPJTu)n@&*xE0w5X+-0wRcyv6(3H|Ke#tjL`NMIR>ZcxQeUM_XHVv9Q>d3|mL>{)`g66{G!1F*lQ&ZA%x6h1GPa zRGC!hOHjFfI}03Ba7LE{Nh}H0q^s8RBl_yP8SPp@Sc9|w)L}){-^@_@ zCIpyff#4%@rQUuE$kmml`CK;)hVn3+>8BFMNdhvJtY-6NDyf}bn4!O+Vy?8LL}v<% zxy(|wSk7nXi4j)}M?R#kz>eT$CzcXN zSBsb!=#u%FQaM9!D#- zVPU15otn?J4=m2)9_41na?7Z@``IL2uW9n0Ag}%P-pdn!XOzFTFSCovn+i8i4TYOt zF8d8xkA;dXabu*&9RXz0#p0u~x5qNM#Wj1n;&Yr-XR_t2lHNd9%8Ghh4H;0j=VxYD zXEJ&3C6&7BRM`X@Sb?7OtfR>6BIfg!Tt`!O# zHLiLkJCC|-l&GpP5y=gOR&P@_W)~l4T&&u?RjJL!Si)PIvhH?*x(urdN@WbW8kCu0 z7QqondpJL%+;@gX^?`Df=7D=P1Gm)`Do2NB7jiSJ>bjn;7Yhry;#|%ZAaS6bx>yO& zwbR3J7Z&m}xg28SblFu}SuEl8KI)pM(~F8$P2r9tdkRM^YImYNDgD~oeEgo&u?O%53`McZS-7Z0=ZdGs$$9_~(Rx;}ZlT&g3ktT^*T zMI^>lgaFNC6Ak!uA&cc~8u2?blP^(Jz(DHJ2JVF%JN__)G7k%32&`DCTqx%3N*de? zxJ<=5hZVR!8x4?<`BDZ=o?Fb#kV3?6%Mwi6ouejIy}D2sWB{^Yk?^@emCY84({O}P zih32xMT}#uoCoUAXjRMrq#3SXlR6t$(;#xAc%@uSD&G{@K_qv%15d6^2Q2$uveBq& zt4t1V3U@OjSC#WP$qLONSC`6bbXicRh8yHVvIZ zXOe_fVd+I|(3I550%mVsU18Q0h`U%NORd`v*mZ$G2KQU?5I)zzGuH_cd4-sWe%>k$Dv4c=RX;ej8 zTM5=uF)Kg}QOD32vx_!b*^)Lll#&~)*$ANDp(?UR6%DTxBpJF&kDR5|$nKX_*Lfa9 zr(CJjD;0%h*1aUIP+AR&=fn_dC)?p()13d(NuIrT`Q;lM}$)4?f^L)p4;5FEW;eVQ>jB(f(MJP zxtyKWVhaz7>OtxmgeqP;yh%m}B0ur@#p-uysd)OaqB_XvD@; z@^s1;i`mC8b?sSqntbq3oA#7%-|62w(>_$MCgnJgq@A(axM0aeGx`^15WG9iVE6P~ZqRHD8#1fNeDPl`5dnQnbCgObxdkehjLr zn9d7pmC>f6*<)74jKb`!YEy%!hyut)564+V5*{w0&Tm$EvVzw_&HGnW3{onZbeKv*>I6oOcwExanb>9CVNBm#6My+j!!C#v!yt zu41o$qfo?h(NKJ7pSKs(ODu)8WpLhoMvo${5ZkO|PsJALJysysr~vIq5j*9Hd9WYQP<0Gg|4Ys_Zc95{-oU%AK(r>_oN zM>$wynJc4LsRPfYuMJ*BBv-h@j}Oj{jj6stTo4xxeDrRWEJ9NiuhYPTH&t?71|Cv0 zRdU^!fVz)ohtqw7XW^xR3m*+!cxm9mPXia88o2P)z=gL4F8uYhVJJOziB?R#4aD>J zC-MCKNj!gl63^eC#Pjzj@%;TsJos0CroVqE)0ZB;l<6C|I)>$e8H#RTdi?6y%#DFF zl&WHm^55pLfwTSnj!0Z7@z4Nf>gt@X!8UlFaGh*p_!>I`C)md5+4BRJhaH#3(q~31 zw3^OrNcmwqUw39Go$0%F&It-Jz8=dAVA4wGf>2L&DA}3~C{&cP?G4QD&<7f#yKK={LUO7OKXh zjP-1kD`^eoY>NAWl`Du>qBi20R)~)coVx~m+jw>^Y&<)c3eSmwVc^*t%d2=4cl>e` zcl^9g1CQd4UykCApGR@W&!af5^V~RI&80YA&80YA&4r%^E<81GDUMfLpg3O5r8us0 zDRxpE_w)SyNpk-FB%Z%NiRbT6;`#fNc>ew*9{D$TIejK`^>XIg*xAgL!E0miB|JEG zErlqD%>XDDH_nBP8|PBtrk<_{qr-h<5or-GqY~wbJ%&vYFBIzPsup<@!RWTJBI^g{jUS#0{QV#eEBr6{>=&!M zSlfK+bZ2jLW$`L{sx2&j9#|i`eCFEV**3aIvW_fj`IPv@sQ3l(OTZPK!#MjC5x;`< z0C&NVyvL0@>V}dUtXtY(^6*OWY4L01)@Kmp-IEvJ$ritXA)3pTdAx=7a(#&GzOOc^ z_o)vtQv8xQ_YTFd`Cmj6inG4;-$V26OdyjPg%&raXVVXEx%4@?!pF+akr1M#hE*H7Wj*Yi+q zc3ED3!{V<^vlo$B5(1DBE9RCn3lZ^mUY{Xgy926v_9KeNR05W620{Cuz1k?YwLw*D zBi`%%gG*Z)RJAm%R<^Ghm9d^qW^kg8h|hV=K+ZM;s@e<|54}=c+R~t^rLnBU)lC!1 zXk?0!K#f-&<+eJgYIWRF*DJ%NEe)z#`nXpbm$o#hjWnJ-rJTr%<#)qkAv3dzR7Hk! zws5ck>xvFKNJVTwfi#!_&O8dZb`(Gw6o7;cxRK+gGm%`41h)9hK*})#(qIOV@YIWI zM**Zk0jP))0G1wuD1bC502>|!TssOz*rb30U4gD`1tP0!NcjLl*N%b_ASsNurv?`a zRAi{Z2ZfXi1tJX!7+_Bg?%1b{W1sSkJzYC(Fpho7H}-VxC>X~+h2iwL5I8QS0* z>6AOtL>d%)Bb{uy0{;EvCLi-b-i5;}cIplhd%MkI9lkU-atf)NRwJ|xh!qhLfrrw<8q?I;+L(CI@0 zT{{X!By{?aK-Z3f5eY|qNT6$5fynA{IqE|KT{{X!Bpk)?>hPy)N5MGqM=_lB&T@`r z9QRiufu}wr?&y=a!A;-jA9Y8cNP_}~SVidR!omU`QUc<>+*hZIhoeS39QEOWuAP<| z@o?0K2fB6?jCeSTL2sPF!#Cyml?>k}zlXx$5GCR1B^4;pC``6C$e>x_mgH zYui;K4GKP-bh$Vo(xBkONtcTgA`J={cpX?>E+&XHDEKhZ?g3dejSP1m+dL>d%)BYn&r zX(9~@zL7rWjx>=51>Z;?b4Qv;gMx3QkGUgFq(Q+q(#PD9Ceonb8|h>2NE2yLz;HG? zQH|~f+h>%(&v^&*n2P`+4TgLOIOZaNNP~h80mobf5LvCz?Lz=v+Xx`ipx{G5w~GKG z4GKO4bh`*3(xBi&K(~tkA`J>Y1a!LyAkv`VLqNBS03rd%)Bi-$eG?4}c-$-}6BTZzrLXU5x>DnG?A`J={&L}cSiy7RX&P4*B^){);9e5%Q z`o4khaR;7AgMx41d)$F1(xBiQ_#SuQi8Lr+bX%P@)jhdpmI|dZ9!9jEkc2O!wsOnBbLWR@erxX+A>8d5O0=R-}8iy9&g3V=pLCc>8Nb<;`FZuc=|Uvf?6cvbilnPOI1cC-o+;r+RbUUl_t577iQAwf(qIoz!b7$0 z)A0Do0a8lAT|PT5_>LRFciaa)x^^lyg6}vG)~G!jOrJExV>gkY@9a);jv*r`j{|vC zhVJDf!FFF0T(FXgp(_A2Pv5yHJZ?ncae&IB;Np#n3^n-hcHG4qkp>0e$Wwz0vExRF z9S3OW8EHI~tRDPvI`!o~J1)#fW0N7v1+@4LQK#bmNxdh}1-Yn`=mKpr1;FfZg23vO z;SuRb5YKve%|fSQ7PQGMVCB^TqoM=aqyq$bb-<|TfHvs>EM6TjDmtJ|I>3up2aJji zXp;^gBekcy5nyiltw5w=1+>WuaOABSjEW9ulMbNd)d8cT1KOkm*m!losOXq6l>|OK zI>c~vh&I%d#Nc~%G-ObrNym?jq=pQlO*(#LBsF9ZZPM{0BdH;SXp@c~8A%NpM4NOl z)ZRYOaF8_PAc>)FK$_ZgEUN)`@xaaz(KT0#}AIA1`eVPI+O+V_(lu| z97LOR{NSJ@X+_5j4$6gk>(RhLg{FE43r-Fki{m%=O=wu5)TzmYA1jnGt+;B&N~$Yj zz0F^h1{X@FnyT`{g|euXs?2bqWU04TG-ObrsUANvD1ln3$BYb0nR@HdkU@opdMIn^ z(IJLY578zaKQbtjTG25hgL0+bdNgEEp{X8>wpT}k0_9FkI({fn_O#-g848py_12@| zfC^3Z_;Elv)Ji>O98lKOTaShVDm2yO#{p$hEA^OhK)F+IJsJ+E&`=NMOg%cpaOxr2 zq~pf{rBo|AW*krw)mx8-11dDtM=us(xu*dG$>G^sUANRD1}<7#|#BZntJLXhNDBYp&rVcdUZ4?P@zc& zL+#bka6oxgla3z;luoVqX2t;}P`&kNIG{pPJ$@WeQngZ#83&X`_12@|fC^3Z_;Elf z)Ji>O931P3Sik14N`nF=RZUg-p+LFRN>yekQ1*1EbIL>#BUi+4Uie4kl{`}o9@6qO zo0J^8L>qjeB&%0Pg9{a!bo_9k#A~Ga9nE3l*B`@xz7Eua$btaG`{&w;l~H zRA{OPh;W{;SWr*@)L`JeRx|)niq>QW7*W4#nl0vXnduZ8I^{Q{p^8$sCPP(~YmlPs ztx3lZDN5Q_y2=bGO4E88NeoAaXhS`en)T{vaG^qzjvp?RuC3H#h6^QVz4d5tp+ZwV zez;K5wo;E7E|h=u)}z6N3QhH3{JlCFI4Bcq(!o%Bbu=7MGS;Ny#{s2XEA27kfU>II zdNdqRp`jkioO*PK;nYL4Nym=^N}E=6%s8N&ska^t2UKXP$BzTbmsaXAJMDFTi!MNw zs>z}cps5ZQpo%u>_yC&fZ~>}lla3FdsSX#QiZt39WEvnZPEeQVthMiA+xd+ zsd>BKhKtNphY^{n4&cjMuM0j^XsXu%pZE91_z5m2ArR0p7?(Cjsc zr{r;;s^$ZJgDw!2rolshsy3yiY87qL0f4pXu zt==#bsreDVRSlHpY;TGZx?8JVRq+kJMrwY-Z&L%UIUAg!gs%q(S~ge}n!3ynS}hx# zGG~KRl<@V|qk+_%4Ng&R*Q=v})SUQDQErzbKJNR}{Hou821;`lI7NwGHh|lDHNW9E zpn=ew5>8RpcdfBk!7)dE%%Hw4&^cIsy&0qQLXqYo+l~a^NR$FSalXVRJz2A@)JxRmVKtB*Ex9m(! zbDlXxX=QImYCxnyQ$4_)>u}L-`G5EgY3MX3pHq};b`7mE9BM7UAq}OZVe$w#^tM6+ zra2>>QWT1B!;5z18~lbe44PBdDauZJ zE7$O5PF<%cQ(eKWQ+Ko9js`bUFg1eU=5(Nqf?7Pf;Ppp?pgF~zqKtOM&XnC3cyz&Q zM}s9PnEavm==d|msI1-Rx1*uboC;4-<~#1#DduM)wTJvhG(?iL$r%8!Vq}VpRKY}p zs5x1lqI`Ir;|W?+T8h+CXKDBDm5ejT&H3{brOUlKU{rL>2vrXyJ5?8HO^wvP;5Vb; z)0|RIsYjJP?rQj?LPOV4)?6hjI37~po%7eMq0^jXPfZVkQW`vNJt4Nz&qDt@p#6{&rN-;4%ck~dWiT)Jj>7QfnWM#HZ8 z?mFo#&rREz7DY}2)1_Kq1zs7GxLnw(GYF4)x zoCe@mx+)lagU^n3+aYDX?T}Kp9b7vc4BqIsqaoCMD&~Hd{ZPv_dwKX&j0=~^{zE# z^^<<98jMNZ)IfmJ+dvJm=G!JIx=Z5K(XdH{rh0%iqxb4p{L1@J`>jI7StralR#J4Q z#OsNMO7m@$6x|f@>Sz!&-!VziO_9p;(&|;+%H!YnThc&izK@ck+a}7=(t`T^dZt`_ zgf$z#x%c{{1y)iq^r-*tN=m!CqG*#2VCJcZ?x;9@Y`(jaqMIpR9Sv1fXsXALD!QXm zsRy)4$B!!Q?n;VilMW!_^-aT!`R+=JZlI`KgfjvQc+W7u-8WK;ALV=PX?QZS)NJLQ`A);fwCJRQlA65xTjeUUBHOl~aD9n6G;6hD^$QLncM{ zUpy{rH)K?y!DasqnG`V`m(4e1Qgr{tGeMAje;f&I_Sdcfid0Os`vFC_W-7It0Yx`o zysgv#Wxg|$qFXLr9St<*`!OlHtK!wsKx4k;lA;?YUL6fI=DR8>x=-TO(LiIqiPA|o zQTW%UsZ3GbWDfaG;Y!5rNkv!peka{M(Q8(WiVg}*I=)cTsoft@v`Ghp@2$s0Mkn1X zG3oe_(W%`ZQFKi)w=^%T=k^bH-|Kq7dx@7UPT{{S-j_oPcsk~t0ePQ1$ zYS)bR?eMnGc{z~nhYSsbE>eSV*xx{nm?}@vi%~Q8vZZ@?ykx((`>J{~u!NW3Cj5%1 z_Mo@gbM~E80y49z5Uk@LpAQK#1z0ckl*bLi52fh^{!kURSJZUWV?5vg9f-+gN;spy^VREsH&%XB5Ab88hL9mk?R&z0H*`aYX3N zfcyeKCe{1e)jR55niVqjEr3d(Yk9vW+uzJ^p zN@Zk~pH;xAAzO$dlxIM%(iIH^Uhb<`0*CRoDBa1~5yul0O8kO5gzMqMQ07}0g!RQ=AXD!2HPl+^149|TB0E5HB1CPcZU~p?C$zbl zN{4W>F~--{7-NIk^{VuBf((5}=*={MND^UUxN51c(EH<7DD(j-n#7i<6$pJFFuwo5fD|p(%jx<Tq z?LFvHY!%x`0ePj(jzZh~i;wZfm8F$(jy~E2!`Ef#qoE&;K@Ja1z%YUiK9^=P%q4Aj zYvFdWgAD(;GHkPT!!q=7Hu;mlCcj`E52I9h%I{W}VyAeH%>4}g+?7AQ<~8=SZ0zTR zwHHloqaa3q=~e%DrZ>~3UMB3kp+3`=!y=p5?|Cczi38aF-173ifcr_Z-fDF1s{I0c z_Nr$80Qy_Jj0f^pq-bU8(^BkW>N9FgCZyOc+Ne){Q;I!Y^4n7EW$JgO*vHiGOR=A+ zKa}DCQ-3VQ^Q`-)QXFL7pG$Fwd4DOzVdnj{6i1l)TPfO^`gfv}5G^oZkVO*kk;FH^NroM0*}#Yv{3 zQk-HcF2xH>)k$%hsRk)t&QwB*w5ln*QHnmMHcQdZ)D|huFx4W(S*Es0agM1SQk-Y% zIVmnM)hhALJLTVQi8stEYL66`nA#`BAX5jV7-H(66vIp%mf|u~?NVG}Dk;SXx4%=0 zQKq`27-OniimOZ=m*N^zm{Ql-ms3*QVBTpdZZegYVw|adDJGaYE5$9Q&P#EdDTIhg zrY=cwhp8bcGHm&>6nB|7B1M*|F?=?dscTY9Gj&6X8K%ai$T4+Gidm*6rI=$XBgH+Y zvQp%knwH`|Q#mOfFf}K|JX3io7MOY<#UgvPAVqiOsz;!Vro^2 zGE z-yy~SVcuJ$cnwo;lj19xdWRHW#ng96@zt#HPAR^Isdr29wM>1F6ko^G`=od+Qy-Ax z>zVpKDZYWJ4@&VmrhY(*Z)D3Kmg4nH{g4!IVCsjZcq3CkD#bT3^nffCs-o@0PNbzo_{!EJZF!dKwd=HPu zUrF&^=KYNn@8f#^PKx(4?;oW208{@Y#rHDxFH(FTQ~xH#_cQf>rT8FI{~^VPnEFpC zet@a}lHvyy6%kT=n5m!?A7QE%Z=j_GL%rnJUCGpkMED~kyke{?GMOqR)t55`9MelISzymqectza;vM z_$5)hUrphQKSShvqli28WhR_c(v`kQ!6K$b+V@4Hmk@NnnZtr>I#>Dr5zCpDU+uF< zEMy9<{;wsygn#bmWnRL+%2#Jz!ax4X1`Dm(!<5#3DUr%vWz9iwzuUyNOsg(`qRIZ8 z6N{OaE$2&417}#qw2N|N=u00gWgH~;n@}ut!~nB3J{83Zre@e*JxZxBNU@k{@NKcm zhoxB3ggIT(*+9IMoU?;41uoqlkBds_yo8hLqgCvrDReqUwQpIyblaUzT(RUjzN)FI zzW>CcrVXR*ffD7Z@(i8epYtZb*@kJ>tBzV{(0RROu>%G$*`~CQZLzFr-q^C-6ldH` zV7CR;r@7eNIzy>q1AVdUB`YRL`j8h3n&yvPF@4kOCF`wx_Uk3hxtrT{dQkgF*h^MT zp9IsevkrPm(hHq+#+rm3gsn3j?GKQ#mu5Ly$Gq#Ed6*qJ2Mp%^aiqKrF~xWF$tHIN zH4ib&>8M^)$OeO&A!azk68q#|Zz^oy>u z`Sgpfb9mB4U-Ix&ExgX*saklQ!&9}e-M_}*rD1`6Ugz*sZF!x;Q?>9qho@@cbq-Iu zFr5e1IXwAApAo-g^%?O?qR)t55`9MelISzymqectza+X&zgB%1kmJHm!(Iem@uTkr zvVbY1(P#en(|Igo3Tcka`XSAcq9s!;5T>|KLKOD-TZAlU+UNP?v>mKz2_R|v%Y|&x zv^FUr_k)HkXbQVk-#KJaQ}4N-KV%uxPQvq5L>4op{G5*^u5YOF4aN0+(wD=vDsz{* zwY+veyr{<06qXF%XJpSzgYW%pBg>gq;>yI=&x|FjVT$N|ebDMI#@K`~V*H@P5N_G(UY0-3RRt)6=cyLS^8GqLGh8K8lBN zSmsJGH`ZnE-wdD!Br9WR0HdiE?kW2TOBwl{$nW9_n8@!n zgd+F>%r2v29+eG|KMbNX!}O29yy3#JTrr=W&%a0w4oC13h+XbiAnnhS4rUjoX0k)M zau$=XTqr&cNB$fS?cu9J8c$eXuDjs*DCz|~UOAsDwG9>u4_21+&!axe*Hrb-eHyyF z>Km$&zl{7|)yk3~Kg`7bo~IkQ87@7 zx$7?=rp81=(J%sU6mP(Q)(!cqKAXEWQgooHLgP8SPpDb+5=_v^W7_d#0ILwUkF z;bIZezK1AZcqwf|KuNg)<&8xT*TiH1fnnRi}Vlgt~C)*a?ul2(SPp>_JAQsZ5gy&|nCrbeYT&D2$C%`kOc zS~;d}N^6#>32DtSbz55Zn7ZQ~XjO@{^45K7R90FK*x6}m%`-12tp!${lhz`a=A~6& z-UDeZvD|{RmYFI@tH^T8(kiiBNm^y5R;0DUrK{3<$h^nWT4m}L(t5;`OBev(D6KDJ>J8HRa<2EAr1ceC$D5?}f4E=1Ra&oM>f5FDl}x=^T3^M~Tc!2Y ztnqefeGOarPHBBD^S)bJU&nIqlGbaP_a13|JyY+M);BQqerdgqsqdB6H!}78(t15p zAClG^nEF9!y^-yGL|Wg(ypKxjo0<0`(s~n9ACuO%F!kfo`c|esF0F54>J!rXcBXz> zTHnD|J}Ip?GwNlnJ z-Aw(qwBE_o?@H@kO#QyJ-p$k>O6xsL{js#Zhp9i6)_a-yb7{SgslSxg`6)IUq>gG~Lav_8btXQlN6O#QpGevn7&bJF@S^FA-Fk0>f; zN$ZDHAH)LE`Y2O1()wYhLelyXmWxR1N12LA>tkFhrS)S>)l2Kgnc5(&pHQ{M8m0Ad zrZ!3ICz)!J)+bo5Sz15E)K+QzG*jE9^)pQEl-4JidYQC-mZ@FR`Z=cBr1kSm?UmLq zFtuM=pJM8HY5gL5dPrKo#PuGL)-N-!Lt4MWaw%#3DpNFm+B^zspuGNbC2QcTrlu&(xr_{(z}r zY5gI~U6IxwF*Pc!KjzY_()tsou1o7rx%8&A{*0*!Y5h4*4^$J*K29B}`?EMK$G#Rv*NPJrKJDOR zuZ_mOE{15m*HNqNJWGX@;&d**I9q5RQ{-TNF&B=#E@DMd-O2o7zKr)sZP9nJ_Av;@ z(d#nyhS(cpv2Toh6CJ7-mge)*`SSc@8GBRYw{V({eakadIe^;3v2Vj#?zngM5u57A zFDdf#xyws*)-9op-+|u&RNA&J_8N+)FO z?Xh>nU<=uqCdWOmU^w>OINr|`GDs-QKNi-A_6y*(`Jw6gnfhrdB20Z!iYU+P zpOYfSykC$a&h~y$3dynPm!+s<>Q|+x=h9!3qJgPjmtq4`_%TX?Df}3vku~sRl#NW` z$0(b)6hB7U%+w##FLB(%0RKQzhQ25CUL22Nf96d%j^ZbJ#TkU9Q;~O2@n6zjR{wDm ze#JvG`mbYFDu!glM)w?c^P!_iFcoB~t4bQH z^84Gc$~n>RrE2nv^he+|Vq5Jow-b24NMSWyDix;b2U4GkeKu-!$B<9h;M7z})y4h; z&8}4Gu$9t(Mq{6geO_35kn^jOm_=4&V6l9)Fqo%gz&tu?SKNxlJ{JdS#5EZYMt8-m z);JFOk|rT?DeC{8ndoir7icENLvbATKNZJeKj!v>jK@@zjmMECZ9@inrPMZu_b9@7 zH*=__k~@x02BM1NOj75B9j}Ym$E@yn17J`+#>geC`73ogqOLi_P^2NopSUw_kPpXk z5>M!3;-psMTVnC1cr)GuRB-}dTI8S7=gSZ2t=$Cz%mLuo{1OChpjDJay0wkpn{d$W z1o{>u5~}S3iw_IaSz2taW{XJJo_#c(Q)^E+PH)O|T;|MuIQ|@`b=69Ne!@g_P1?&x zyfwZn7JpfMw-i4lK8jfv-vi9LVmu(>_&zLEoE%g?*Y^vYFvis?DH%Tye?BJuCVtTN zEW1+5@h<~i*~(l#A>)VRM`H1vaby`}rE!S2;W+wq6dC8rB>7(>nIm-UUGdIXJQc^D z_Hr~y-GM#r7|p9d9B(F3i(7VKNydBC+7rhd9A&vvNT;yeY2^Fu`8Sh)h&d?ZX`UZ_ zQv5A<@)^unG~uu|ATK$_NNm(DuVm*b{s5qg#@{uOcQ4wCDQ6ju z7ML+{B4a^F#dLITdGC`+xo%$gIqX{^H#Ws_stYbIT` zcg5c+47ZQ27PBNpo72mS)6jgkuzK|K>NPsG2k(W;4mKju5`JFiS*q21%$IC7es!WpHi5*hzc{0HLk_r!m29SC4`p{a4d z4T+#}hVVy`xo4Q+{`Q*Kfg-~U0Sz!i5L-_aFk2H?|9@_zZG88}af|&^@lRuU zLd;xQ%oZQhX?k%^#y^9=iYWK%RUTuy);wyXk8#kSszr+4?!TonH)&x{b-BIvyWDHI z@g4vDMk`Fc_M6;me+1SaH(C)xuhGceZ^Itx%easJqRJI9gvx`-wmt3Ei(|l;XvR(Kej= z3ybO*p%Hg6RNMRi_1^NjjDJ4
    n`H1Sud+GW7sRrE@KF9hk`{Ebc!Q+cv;`T6;_ zung(1#BmGgJlOx~x# zeLz!#6wxYQc~#5lb?zj}EvFAq_%y0oPA^<14Q@GoHNr)F9-m&y(0i@$(jMApR}MFL zEC~Y*PJIP^#8N}t8I>uCN%v+(5)LMBVG7Ci*C|9xB-`J>)JX~>5Plb5;!N_Q3vabm zt5o0xyZ7pUy(?7aWb9os9fS9MYjy&U9nE6&8O@2Um5`-6i?&*Lw_9PQO#QWXp>4_Tn^2U*+<<$ilC z193L;3MgVqDkIfXbFr|HLwUR-;xzCe);^WuXsxbUH>mS7~^*-@Z?zgoK=pzYK4l!Q=rWFck;io@UlZ?J*Kn3{YQ^Xihf&Hhd2k0GjR9@8) z^c4XrKpW^!RVUEL0a_}USA%OWP_&-o?lBL-@8E7Z2cF-?ObGEQ@(9v*F?_dGL3>kaz_f&`+s(4cW>@C zce^{+xI+;Y6)8$b6hY}AAkq}2!y!nof)$Y_3Mztt3Mw{4QA9ieMG+KxSM0rFN9>LN zlRVk%W;Z+JX5agL{;%(mCz*VoeCNqCnVHO!EW1^t9EbBxT#jt!t5tJ3%ang7vb+l@ zt#e2|W4RVt-UCJVI;23u5x# z-r|r5qq7O=JOV|JIwZ>IM5XEZ7drNX1i%O4MuKRgC(^5cV=z|2R=^=u2Y7bkO<1T+ znCWTc^$d`B)*&&*YZvl*0g7I9h@;~LHUM5Q6!%0we~tP(q1V1a{asPoL;c-RdYk%B zN9kSWsX!Gy6$C$L^<86q|I6F0z+{ZdWpDZu%s zGHjV(_%|~A2k89kkYdL0ch0bYL_!4+pHre?$lhFmVY(Zzmsmyv-G%+OO)48OVG5hZ zjU=0bJkF*d3{6vqlmeTA5VDCtQPd$dbZnrtlxEngFynz&5&ONH)CzFRp3c3hpaw(& zqf}1)y}1V!90IY@SXK)>giR=M4`D*8B5=_fbfq767 z2!1w2i#ZVd?1xb?mu|Jj@MO3V8)-uQ!%-oeo1gs(DuO^rWd_~DY=GA+`@Nmi3h;>X z0BUWWeh@l}p@n;;dwvsmX555mh`L?P?==M-sJ{Z)bziRby-H1@@CU zOsj?~JltwX!NjgqiDeecnD^){Or}3 zGhl+By&+=`gvdE^ijlv> zf#7G;444DK&t@Aim+mZ0n^FHY$Y&e%FGuN7>R*Wxe0KG-pJzol2!1w+fH@HSYz~0u zn2_3?&8h!JRP!_}BtUO?7DDV%dLBaT@!Vd75POv1yVhway$XT#D8Y`t>v%{&!JE)2 z$su#eu|Ht;z7zRsV+f!+s^Be%`YCuDW@OKV{!R)Pm2-5X#!Q(qZQSt!QSffTdwHZz z!TWGF@vxGlg{gW}VnSQ=@P`E-L9}GS#}JW^r}!yE>ElU!4w3s<_9ZOE!XEyb`q!X& zzM=kGQTh&+XW`KwV0jiwKT-efc=Tsjo`q$Z8e?6AL2gxzm+CQ*9 z3mN>!dMkbzGr`YhW3cZ)@Utlx%rWO;GclNB&c&u*FvpyW&ADI>gw#HVcis)0L?j<} zZx6i#-$x@6SQ^IZL}IW6g_DgG!V(meieb4JN~J7jU0!wEs4|x^T%6Cr!snn!8N^LS z%3*0CKi>%4wI`qiYgZ;=^R=nH&c2&bIs(?CVWV|m6&fBr8djkpqAsjL!=v?J?FC8= z*jp$2ULHA)`ZwY!!i@HVD8c=y5+%5vwxYx?JvK3gwgSP=W}`62t|T@Eg*kR5v6(2I zV}hSeKVc4pR2#RX{ztKi_Hg1n5HQk_`X9$Km;=~}Qdg!Z-pNexvso)t%Q~68gkuhb z)J9IA{-;n)Z-^I1yYz*%q5M*ez@76MJbD&W6!#A%_}Nq@s@3=h+?%GMLE-M1(k8pr zq!vNEVJ;5|h``H!>Opvu%RyzM(htIX(M5O=?x?9qcm|k>=L9eKsR!X+KMM~+WLxS% zc>1~k4^Bxx2>0^~@!<5dgX{^fT)Fl1Ie*Nw5%G;Baw0R~)*3(Faa_gt3fM;>0*iIR zID6l7;sp4_7r6*N&xts3Uc&A{kxOzT7e_9In`57fX>5>;$2KP|Ku83BK^^$~8@Vhp z2bLa0E{8=ZX)#G^<($Yo_+V2pe$upAEJEsF%0c&n$ilqH{KyqP(k^j9i*t&vY``Th z@mwaR7@!}yGA}YKa#b|6ofa$(|H-c4t0SSvHEgd03qI`ebtrYlkLX`#>LlJ~rhu?G z_bF_}+k$Z~LK_LFm`WIPXvO#{tHx}ItMYlMlCKN>|7TpF#w>#tzR@4rQV1^+AGYp5a zqBF1vW*8zc!%&MA4aOpf=89}|$YJapO0kRMr`>KMY>m(lRyyTG9)xGEem%SPWnYdn zR08e~MJjV6n3&K9U>R7J(;<=W)Dvj7ElqC$Jve z0sNkb=E6)bMAjdy!xC18h?K~a4yhe^N?9}`epw1nAyHcwj0Osx&I3}Ny%2 zj-+4;A_7wohew{G{@3x~D>PDp2VaAOuRG)jc5n|K+(RRy@!;EV@EwO7$qv4Q2j8cW zvEiERTt9?^A4PMAI;0Le{yrZ6j7BEl@h{-`mkv3K9sCFn?xm5*c<@^|_?<(JW(PmT zgFn*9G(5Nu4*u+rW0*PoY_1cBUUs`-zwnGXcDrG-l$c|;8#XD&b4>8FxjD?S2Lm?! zg*g!XY!(c2?4H5qqA-_!&tQ*+@OTdYrVg5LGx>rOkJ>aEC`SO#3GvFy0 zIkgI)7zy~katV^qoF+VX;X;6DQyM)ENi+u%EgVuGNyMFj|4Oqu>BpW+c;j%oJWcNe z{wWnmz+H7Vk~k%uD1;{LLrb)$(Kbk;BarCikOoL1?t_}yGxo^C8;5S-X}Yo4;|sF{ z+`TX5xAthSG!iY~QS28a(T7HRVu$ww68#<0kdt83W8Bx6kYei=&1mT9&@*t!MhC(c z1K7x5AT)ygIG?)o3`ZOq9U2|R>JO*>-?811KGHRDyHKBF`5UtwD#f4`zw7gZsjn5j z-O*97_AGiXTzzax52>eL1MIPj83&$TW+3nJ>8A&;Wd9(^Ni;eZjWY#|Gu0u-p>g8Z zKAW~nH4Z#@9zgUgMkYQmXr}hqV8h73)6yJt7kD~JRd)il{HSgYjb4oIG8f3qbI9>X zCO+7(xw{lJ8@ITd#Co zu78e-ry9eh7qM%Fq1Y!wo~9d4GvCadC~4W8>ij9*&a*Lji{A}O+Cw+0)t^wAkCN&ert>9+)H?nvoy?#?_MUcho)N)XIlUk)Hy~UV;RgoD8em3!q zIS~Bp7v(XRZp&89sC5Xc`53CQ8Esyb2{jY#;wLeii*1e%LX(7bYKJw^P`=G~)8B5U_MSeW zFT4ik!~!r751%-*??jkKtT>l#l31dyhZ5x{(O4*!lNSrdY@4)&#mUnr#9{DtTeAiS zHd>5@W0WCaLTo*+o4}Wnc9<`;NqcB9ZYuuvIBw#(P1;wCfhhf034A7#j|}QT z_J$R!ksB+GmBD)vZ0HD5O`CK`dQankj&OqlO|_EW(-H@`mfE?o!(xZS2*yqvZKZuF z?>=VgGyv?59hnCJaMNR4DvQMsplITRoY>LOx1(V^rLnrc?Rl|dV#mVl#_PTsHW4*BC44beBq#?~|tS^@Ivq^VW(t^g$!jb_t>A^}`(%3*O8Dtaq z&?n>2&xGH(1@|2aGi35Y;AOn$hUdnH#bA1{L>`NO8Gx1c*tr$Cq-G2z2}{$euqOzt zGdh>lh>ZcyX4CjJV(_#-IB8!KKDbG=9L>^plQG=o1eo!QXnY6q4WzTao6F`d^C1> zY%bh&V)G)Q*!+X7)>pYY0 z32Z}}tgi^Rq%C$gv>#hnzEBkzi3$p;zj#FE-8#{f{T`Y!zqky zw#iT$?jJsrnG+(PVq2o&Gab?_w#FvIX?QRm*bWCCb4YVmI)a9WVChaM-Q|!LtaKy| z55>}_q4XJtv}C2QE_WD~!ZcwFrU_4ArK4zgIFf!9N?&tGD^?2YD@S1I9w>dwAt$oZ z(KI{~HM|FpoqRyxKeV{J0dCgW+i0zt4=G6riUTeHIxY%-CCMA*JZ@H848jiBG4^mm7}Wu?X?PqSgDpxO z$06<6F}VIOv&kH4VIZtiBQ;>HajW>H;`RFHuYlAkci2R$a|kqks6#r$uB71$Lu^&E zQyYp7cSy(ZW@=&VtpiUjYTR6t#1-otjhc=DSY3y7Vwx^TP4%IufkQg8xTB;uxRFCr zmhC4gJ06ua0%T){bYYi2d~wMLR>lseIf7dNxTQn7GVnZ`%%|ZO(bBEqz{w8j#xD~2 z`otIHCgRSVQ&7vPP`RB$PK#ZccJhf3FsCC{?gW)PJES{na)C`2+T;pqHRS`m(}P+q zP=Y(jB5Jk5OmAwnMyan&00v)cUZdeZ__qjW0O(^2%jAcet_S&26CeKKUo28S)HG%v zYM73bs58(RP^|hoZR->8rt?kiaiM z{6eUFg+ux={Go`y3W^pxq(8f4I-n)4Nj;NhjS`xcqNeKr24Bt2WSR~~O)H>ir9;k2 zXVNUmk~bp$CaApHAp;oxD8%0aMYlTSYz;oilD8xN4yb&mL(XCNV-bHh6s>p2Kn*_0 zk{b|zA5^~IA%hscA>ubd5o~%em{|g&Or1*jsd^sR;B2wU)$ly6yJ^O2XzHoDw-3by0o0VdAV)H(yJJWH*< zC_T?NQPMGi&ys1yohv3JZ6d)QM4cD&fX+)cxdwKNC!2p5pnVc%S*Qs)x{e`=Fuu;(m- zzp%-2n_N$=;RxAFtqPRBWt$Yh>-Gw2VGN-2BegJY&)G*U490WzQwsy=oL{MhL2u6Q zHd#q640Ch-q87%XIc$o7P4H3YKQ!$DYGD{zA?$Ovidu7c!-WBA%|j_x!We|;YC{BKGc=u@)|EZiC-gyaL*}(d(IGcjdbAG zNZ~0^bgDy!hT-Y!9(c2ey9zDr$b(Y~JBdOz%}oot@ZK+km&bdlwF!B2r`AI#^`zDo zlzLGMHxMg?Tgziu25%Nmpaf6gN2r{W7j!3RpM|vH22^;qLxwThU6A%5C>rdL;fywf z*VR_FjbxL*d|7uw*KpJ|0)QhOGJ@&qj=Ii;qR|c+$#mTZ`yOK_j-%F-$aVsyr_)~W zG*UPTYEG`oi$^1Nc^1M4nu@|{)Os5A&7gD?>YEArW;tY3;WV4vPp#+h_{Eft$K!B! zD1^Jix$O8xYQ2cZ=TbTokI#qW3mh_<9e;pY_(8l7&g@b=z8H=#amW~Ud=s_sn|R?; zN*ChsWpI2s?A*x6;WzQZm6TqK2XBCbH#%f213ySD{48F0Go?4<@mt~eT8E5dVEiUt zcn76-;X#OUD1<17@eI5f_H@RJ1$+iSkQd%ZE&Li@xRKKJh=Jj{5Qggsppe{yfJ$oN zx97qw)WT1}h1)3IfPhB;yF6nP5WrZ85(F?ljMA&g*HnCcATHL8J5*JlcySnyui`Cyz3>g@P;Uao zJr0@7p6e1l5ZMsxf?E!-`x@F!~FP6LHM+hm7Lp0LSIo9v== z7n=MJ!2juxY3xDlNlKr@(tn}!KZi_brB6}%6fZ6EM?yuGLuRnj-8OmJCeS<2+T=N# zKzQj6equ$GTK{qSMFlkALn#V7W#dr?VghsX!H`8ouxB=wl~B5yKF!J?QlO|TN}qPf z`QQTdS$?$_9SWtj95NFg3g`=1@o*?Q!XdL*t(UOYQBVXi02i=YuVSrZp{SliE@ZV{ z$65`c=s1U51hoi%iS-vXrgRV1Zwd#SIpkti|81<_5{gc6$R(`)(?}O0UbmwJTLwOd zQd`)j8>LfeAb=;`-X<@=p50j7i3W18xC;&7H<_YtHhGZ-^064E@?N5WC}w)uA#b(_3llQ(Gq zKa&(yzz*NsMT*X~$y+vg+a~Y82H!{$HoSfZC3pvZ*Cy}TK4JHZV^1{&S9!>E2JVAJBwiKyqu}}(I!7p`U75NTj0P}hsXnI2FO)(tL^szlVcAIOJ+} z5Z{D~_R;(Z9^4NHe{sk)>|kd^{!a59JoqOZ{L3NNvV-05;J-A#7!MwZgo=qnma>CA z_`za}=GWl&q~ahP3_0XFcCZ&7%%%A?@gOXRDu(4y%hAI_UChT*KZ%pupa4_k(*kz$w{S;aK}LId~^U)+cW@FBjq2@Q-!h0SPwL&U(mMlsB5 z+`uruQt~6eDvD2{0erYGKA8sa;l8-7O@5;Re7-MkNAnw@f)1ddqeE_F3Vu&^esyWK zbpGP5{1#Q*4REJ9T z-mv98k54Y{tAr!sB!1e97n?FoOkKyP=8OC1fvPhzoB=HLPMpC2JcG0049;=LYIX(? z?f(~2fD!g@oBTub`|#no7#>uM;X!o`n;%Lnv2CBUjys|FTt37Uj|RvXhujR~LjFL0 znH7(NqVW#7g^dgUrYpkr+6hIIQ4vHH6~ja8txQn`DuRiQVwmVy%M|@*lLIt=H1fO% z4qWVz+xX1391fEfW+$}IM(vjYVva*@XWA#A_IXe=-ywH^c6g~K|DiW6vVA_=2OIrZ zuoF5KT}|^AW4qVFp6FP19fdbkwkL1#a@!Z6`IGs`SG*E*u5!qo@OAg_8!6EC_j!9bkoYdnR z@r)k;$R>x}13m78-@wYF`KwVE%yJjQ`rdofCjna}9?ps%K`k(D7sI%{A$=0CRbmpb zcn4N~0xIux$bGDG$~w(PiOHYhr?B>Js146a_v>mmO?2RMSo?XX{enX_>S`w@W{O|N z+HgN8hWo(-y4rFAnHIl+wc&}l7@mkXX=*o4JPH@TgSFvNxELOV9|Tt_C_-0)Xx-wE z9I}~B8l{|g<0PAYiV9$=z8I$JAJR3KSRG#c71sV5YVUPOrLK0;iGPQ+;r3Gux1Wa@ zxf77wJ}COxAzO6h5|ewyzoLTQp!V+$*~%2OK?Q$7(ccc)rcux&sayX;1#qV-fjiYB zOhGrUpu~crfI}YDDM;A2B!?>~v7vUZL$)&oy-@*$qI`!urc;oxZ%Gstz)h_LZfcKf zES9wIWJxjBE`i#m4%xw)8-dN0Ls3nKJfUkY;q@i8PyyTnOW+>3lPMUF3XX)LIu6;T zQ;=}Kl4DRoU8sGmL!Q*|ZJMxeNdv46&;KRx{QnedZV@)u7>b%WWH&t5M9$~)=Oqxz zTLPiHPqSI>6pw6{==73SsPjaqeUd|-0i99U&J~8Wk~UD()*;VoPN8{XuqkPW3fe>M z4i0%va|+E9*K$c`tlb4_cXi0~thxHwTz4qy;gA>L6v$yQ_js*_OFnNW0=LtfG`Pcr^Fs9+$}9^{ahnS#?$!B8j~=8#u33R)z&>qt~k0kuat z~>%hrGp9EkPSDgrX}P z@-|a7i$BMeT$QdW$u*XsD){VO0-wF#VXBs*D)>lH0v`$9W!;f@_el++l{bu%6{rbr z#U*enevfIo9yQ$rMXMe1KD*9YF^7D{6xR=d61!!S2~j1DB)p3=J$q={Xu$j1v5M zOBgT9mvCd?#P4WKrX{cNY1@)lX<#W{6 zk?;n64@%$LKKN3%5i>u*&&=@G@Dg~(!D-`?UuXcQjZ1!m-;|ZlknTaf2`Br5KUSB( zJ6j37vwg)LvhrzQJGS>% z+#dI`tGvO%c(dSPNxGDxhJ4Ua;E-<^=>h{K$~Wdx2bC0pk|KwE%alZD0H>KtYuLUh z4d9$|X-(S~qXC>iF0Ezz92(ui-_1)82QW;2eaAdv8~5r`cv&okm&NayN8p@t>9Mx2 z5PkxPyIpAm_*o#7j)NZqLJ4AI3)#1W_)ofsl$JK(Ukpl{+P)$hz!~V$7BuiSn)w78 z_yDC7;a7*aW0bb00h}8yZ9@Y%{abnp{K60(g?#@@fzlEh#2JIqYiMvh zmMx{hDJU(Y!ShkNo(A!|Ug=8s**k3G1{%B+kKP17fQMykXmBo;-9m#`ptP0-uR`f| z8pKa`rFYUGe!44NM}xS3LFsxL#9^xRUK+du5%?_eiD$&p$IxpY2d~-TkbP{7fTQAP%h}lG5+;Z(jb0| zDSe9uKgY6nXmBq|@6q6QD1AVK!o@$LLHwvt`UwrNz4DeOzceq^Y09}UG&+D}8m?SG}AGA#R@hJ@SyNkfNW+21sD z1WNzX&`~HIprN{4s^Oy{ycB9!H2M;sZ>kZDgldEwvL9x^LU?u5$fePj@gPjh)qsh) zU)aH>s3Sr{El`Tl=xclytp*IVHDI9ql~rqn2TN)6BRp6J2g@DuTj4Z_LmpQ#;oNDX zX^lhS+pxW%MlJYNM7u_9h&gBB@$egAqsGik3FeK5#P_E)j;L`YM8Ma8Kqo(29)XJx zK=8ATaWDshpY4x>IS~A8ry9(G;Ab1wU=9R7+iwPQAo$s~GnfOx&vtCV90>ka@%0f* zu=ujg9NgI$26)H=3y}v2^1wsnL4rIm5qXdx4_rhZB*+6Bkp~I#z(?dkf;=!1d5|Cv zoJ1ZZ$O9{p=ls}GFw8T_;!DCrp7Ue-DvLbl$F`FadCre*fGqNyAKL<1~u_UXLQr`LVqkMV|9xE3PolB&#voEJ@@!Kel0?$a8*d(Uiz@er(e|k>~u_ zwtXVc`LR_{BG37;?a@S@^J5#Ni9F}WRuG9i=f~CpVV+4AUlSzqoFCiwK;$_;wuz6( zbAD{wDv{^>*hWzz&-t;=RwJm<%D*%5ipkL|M~@|+*rX-DKaKepG7$a8*dw;hq^{Mhz6BG37;Rnj8Q`LX5A zm}ipJnC;CX@|+)A+br^&AKR!!~u_b}b^$`LQiYM4t0wi+@F) z^JCkRh&<=VR{mn1NmgUFPFLhPKen-m$a8*dk*>&der%T!k>~u_9w8#n`LT7YBG37; zHS8kK`LR{(BG37;rKKXz`LTtdm}ipJn63I0dCrfmW*2$RkF94HdCre5?i6{>k1a(P zdCrfmvJ`pFk1eSbdCrfmrxbb4k1cH$dCrfmoWwkntj27aq{wrAY>lMIbAD`vqsVi9 zY}KO3bAD`np2%~4Y!RKvbAD{;n#gm0Z1I}NbAD|3n#gm0Y-t+inPfF)w+oTy{MZdc zGFZnSE@{%8uATRkb3G$L3ldLB4{=p^4OMXm(yyV9u$V+~FJGdtD z{=p?UF8MJD@{%8uATRkb3G$L3lOQkoG0AEo?;l)(yyV9u$V+}qg1qF%B*;tqF$waL zACn+2`7sIdk{^>GFZnSE@{%9lZ=;F4e{jiaBJUqug1qF%B*;sCOoF`R$0W#0eoTVA zI2bUl(?Z+g@OMXm(yyV9u$V+}qg1qF%B*;sCOoF`R z$0W#0eoTVAGFZnSE@{%8uATRkb z3G$L3ldLB4{=p^4OMXm(yyV9u$V+}qg1qF%B*;sCOoF`R$0W#0eoTVAGFZnSE@{%8uATRkb3G$L3lOQko@%N9W^8Udk ztEs$ya0&8peq4floFA7UALqxVSmL+s#FF5gve)3lecG4)#1>j?(P~cF8+_wTC4?`h zY!5Gthad2jEIDOw)4ct8u;kib274Df=}X^eI2Lx;;SXqdes}?sKBD1mD1Ac1FQN1q z4S$6agu2W?=_{JQ2Bp0;|9+Gp*5z@OzNh)Gp!6fn--psZS`a{KKMj}jJvz#MrQy?1 z`kjWy^G!s`{-ohcQ2LvOSEBSU4evtf01bb@rE(t)|A3N3X%M9#r9~*^P+9|}TuKi? zDNJc?l=3O9gHnXjx+ujcZGciCrHxQ3rnECkrIenAQW>RN={B%cc}+?mN9j;XccOF{ zrSD_C!zuj|B?x?Jibs#4`5jO?hUWLhqY(Kr1f}{ke+)_uY5sL69Z&P`K&dg!e+Z?f zG=Dcr&1wEuD7B>df1%Wh7UZII5-q@Oipo!>1*KTlmKGd=(y6qdF-q-e!AU4}qy?v< z)R`9aK&dM&=!ep2v|u1gJ!ruwluoAwlTbQ?7F>=J?3R;*Qa>6kM(Io%73~h7(I!}S z4vn@$X%LO}MrjC*o`cdb8l8yJ2pXM`*+?>de89u?C@PaN50*o2YeDyG)yK5^pM>61=M8V$r`Pg05C zg}}bECRHfEp{*Q6wF+Z#y#26otk8#ZEWk71MgvR@}-OSi{NsOUL;{P2n? zqQvPAzdb&#|1hvN)DVSHJW>EpZ>^>EudAd%RP%(x7zIX*>^ZHDjK4nA&{DvWD zErUHA*s_xTW2TM)C8ti9FmW3HJ#(lSg>|CSrcRqOY!d2(qDUWTaah+0 zcnQ!ahZ8>uz+Z^izHt!qa1v<^`wPNaOVWm?ZOJJ-JyoRbMA}}Y9atKLm4>7fuh*G$ z;b~Wqb`$AoBJD2H9wO~2($h&V;wxVUSU))f+V7o`?<4B<6=^?__9tgb+*yDdkdi+; zMb9|`H!!7qkSHIVQa&UlKQt*n4B8u>k{^+hADNP`NXd^%%Cqx6HzhwhDbLy)L(b#& z9V_^b6Z!E;^_ZRs;`qd*a)zHI>Q5Hw6j5(#Qay&BmXv3>>7w3@q;gj8{FMAmQE!$= zFA(X4BE2Z3{>7sF5|Lh-a(uQZzf7caM0$BrKhFgo^HTEjQ}iqlxP{`puMp`XkzOg% zt3AfP|AkzCpdcR0Fiu3`IZW8H( zBHb*~heTQ_(uYO5CCR?bzqX3Pxi1b5&`$*(J7U?G<{Zt(POr)QS@-IaCr6~VOq+g44uQ>jV z$bT!+??n2&NPiINk0Sjk>HJwg?-P1{7RUFC{4XN^t4Mzn>F*-_BkB776ZHI*lK)%O z`zNVAX77JR`F|okpdN?sOZ!k-};;c3x%SPk=7IS>x+B?k#8vS z$BFcKkv0-(W05uyX;YCl6ZqyLZ6VT@;`j-^R-E67B0Wi@twnmWNZW|Ctw>K1>8V0r zJCU{*X$O&Z6lo`sb{1(Dk#-e&x{35Ok#-kp50Um1>FFZvCDJp*dGr=(ACdMIX+M$n z7ww%X@@I*3fJo04={X`DDAGY99W2rzLeEfC9=`@i}7xia|{P`lCDbiUYy+EWFiu59ZyIABe z5$UBOoh{PKM7=p8yhs-kw~u;=~bfMVv#Np>D3~=Mx@t@ zbg4+M6X`OcXSqnP7wHO-t`zAik=`KE8%27P(6d^kYeagpNN*A8ts-43(%VFOyU=ro zNbeNsT_Rm4(z`{vUZnSk^j?u}5ca!IHfOtMt9lqrq+xY_M^Y@3ne`zBlSu z`rfYeeOT%Hv`77V4Jv(Kp4ng{0Ic%s;omUv{Y#u2-;NyL_vI^~0Kzrk-}&V$;osVz zIY{dIzVdwyrD1Y}Z?Eqgc$b0JNJrndgp{uWIp~8Ir6`d4!JO1j*^}BQN&W0bYQH(D zU%il8pjhfRN$PhuQV=F%+I^lZrIPwnlKRVy6h!QplKR(!rF_MTrT&wo4!DqFzYt!n zq)L)W`F(Lxe!m+jh+{G&N-9^9%5x(Xu4Ym-6iZP_ zD&LJ11e%$4U(`$Yl_{iRl9b~{s?eB}x9(F(6-iRXZlqx8x2dISc(GKuVyQAos@#oK zO=D8tETxhJY_J$4F9j-AEm4PO83_?z>hY)j*PJ=tk-|b5fpeGb*X$C8PD)WF)6>N?o&xMm!w*_k!op9%2W5Lq)w2eTDg&exPQ~D&y%ILDBX9GB-PrD z6f8k7CFRLdDycS-R9iPvr5r+c4D>PkuKDmPNFhlQ!5{|`tl zk)*D6BXx~Asij`J?-RwRu9Kvexsh6KPRi5O_o+hadP!=98>yA%q&!(lCACVDy1|Xq zjnz!*3&m15Nm8rbNUbp^<*EC=R!H3}N!{W`>Q-}7p1MyZwN{e4&5hLU)lBLe#Zq@j zQg^zMy33rDr|$bsA+=7Dy4#Hu>{($tczPoBy+Z09N$OrVQX9-kdGe`!3aR@fsr%hX zZ8Rt4$x?qRq#lr@Ho1{{(43SfOR1zbOHvQHk*YK&<;hZiDVBOzlG@@%3btRVR!9F^ zA+=4Cdc=*?qvoW%bf4d+klHRuJ?2L0adT2$y3Zd_NbQiMo^T_z)0~tiOR1!GNm5U` zk$S3{N#!V(+AT>v?MCXEY9?hXq@Iz3Wnbe_*rS?iv-?)+bwwg)RQb>I#Nqz4|$~<=1?`cRlOd<87B=wUUseRRKsoDyu zpCzgNZlr#xW>SYMq<)p8esd%ByE&;py*woxp^*AZlKR_?)Ia8=Jo(g-3aNi3ssG$a z9WW>5$x?NaNm(RL%JR98vi#p1>8sljY(NKUVN&HLduq;a@|PfnUkVk zNS&{c%9o@H+(<=?NqLIN^IxHmib_&3H&Tu9n#X3=vI?0VxYjaXwLcfVh>SRf( zjT@=9#-yxMy*T>&%8<}bl4|cps)ISHPF_eU-;gaC5o@_c#Ij$#HuNd$G%uvSR4mn9 zTB?VerFt5Z^0aP-e5H^&U6ShMM(T`eCZ&8CwR%fZecVX(t!7et6-)J#r24y&I@6rg z5HCKZe6F?5lB5Q>kviL$lr_)`Ddo$kHAs>g>_%#cF)6<%OR1!WN>anzNDZ%MQa>p^ zHA0da=|-x;oRpV3s*tTRutmPIFYgHj4){{@Eq+~>_ zlCHoai$%t6XEh5&c zLPV^mx0zoQQZgdea*K$ysyQN-sH9{>tmPIFYneyHT9xlnq`br>z;2pJTc}u9NK%X3NL^`6%G=B6 z9}20fB&o%2q?QpCx2pGs<(B(>a))b+-syiIVZq*h2$ zE8R$~GA3o+;K5Qpzv5FjN>Vquky>p`%G(wymO^TcBz3bJDfnry>U_xa1r<`aN>Xdx zNZs~-O6qn=>JB$jcbb#(6uBQ#EOnP8wa$&y-R7jcyg2w&QtKtDd)!FfYfj3`lV=L4 z4U*J-ZlvH>?o1usQ}-#6X4XbY>H#-Wo6Jdhd35nb6rXxflG^M>>LGJdULIXiNL5Nw z54(}tVou7-D^?1rt&-F>H&W(X(s`QTNFnv8B(>d*6#QVLsiS*Zo~ioONhv|AFrG!*kGECQU3)8jC!*sooQbH;%8K!Hw zh3Q&V2-Ecv)a6q`DlHkNYq^E#TION8-YlhrR9Z4j*K!NfwW<)N>&a3|NTnsibS<|q zUCTU7*PEr3kV;F2=~`}Kx|VsEuJxUl*Y>)~knp{{&HUhYn}J_{HoeVw2?6jmQb_$I zN$qnZ1wTY>NXpavR0^s6lGHD5q~JHP4M};L3Q8d*_Z_y}_8qp&_Z{~170cH|={~vd zu;sSzuw}mQu;0@TI4Y@sWcU5+)_wmOcc0(Wd*7*wr4GbN1&9l&fX|$ir?(k}RKPDu zS#G2P=A^uQ2Jv-Fwp1V}Nrl`<0phQ^*3M8qB8>y%{DNl~xRq4K%B;~k~Dl{kMX(q3mLaIoTDt04PVou6a_w`Un zl}b`I+(?!EpOTVM)&aLD>wtNbb>L7h&r`h>OVyH=I?T;dwaqQ%X-F8MkdoU*2i&%e z4w!En9XQI1rA8~Hj+T}>#?4Z7%`N5W>N`&%b*v;+&y7@lb5fqZIE+(B$Vs);1k)Qwa#b5forVlPriHJ7AXxRGjUOe)aI z%hh*@LP~~y2i!ux1LmRMfi_-9U8<03D=l@3o25=Qx0EMRvlUV@^gG}d`W-M2{r1*< zmnoz=N=tQevs7nuOL_9CISQ#Rl2lhWQr)VVl(NDoaGE65-HlX_Y9^(uFbedPq)vAu z)vKCG%~QJX3`wfD8>v3kOiK9)sz6^!s-GLF{?$xsfnupMC8@LANDZiFQVSJQXG>D& zxRDxI&7_p&nE@FjA8-qj510qZdmB8Jm5>1$Bp+}Kk`I^%$$KMprP6&eNIu{eBp;|k zki4gPbd{70k`K5A$p_4XvgoNzL{`s-Z&aGD&KV8>!39NzL;@>Ntgz4E+wcg?)lALFec?~zl6pLsg;t{DmPL$ z7?bi8x$jp=$tdf9TaFz%3#+ zU>*_cjnv5sDH#zPaEpiym`B8VBh^MBB_m=3ZV|Bo^N85Mn_e9K6ou3tdG)>JcJ;k& ze)YZUg_IiW@}4C1z8k3z%t?LZg;YDmQZmjn;1=f@Fpu*Lcp5xaQZmjn;1=f@Fpu*L zeC5Sb?G;OXExT{8Tlalq-hEy|D*fFRQZl46;1*IDFb}B=?DJwNmDJDDQv2O3^^3Ws z{s*Lfm85=iBlY|LDXBjssXyIF{bf$-pX|HuUrFjeH&O?TNdg*mAH{AH6|7G^xED_v6Kvd3%Z5B1C zr1~kOPLiZryOBECoK#ycmg=vNIz^H?)s0j;b5fojT?Qzm+DlR$+(>maC)L@DrOsAJ z$@rU48Uo#BPlK!sFqNve+QK|%AVpx`-PNDWp<4V0D|hW&0)}Oo5P^_HiyBByjW_KLh52^sY~1}b*Z_fF7ra_T!qveN$PSp zQgh8o&G$k|S)vkLAW1EBBXxy2DNk?6$`X~}B1!5>H&R!blUm}%Qe&0wlbiPi-8SzF zns440^s;%MUs*R3l$-Yj-8SzFns440TV9)l4|pLpMIp6Gl6ugM)Mj&1m0n0qRY*N7No{c>wbh)|BVI^NQ%K1@&4O-w zngz}GH1jq;HC-Vk_cRN-?P(S?-_y+7^x_PK)K1xbyWG0(N%QX8?WOyaAL|M}ElEA& zM(SB}QqOxKb%A237bK|{-AKJ;Ov>9gWR=v*lGH11q+T^974+17Dyi2bsn^{|yKjSwTQ^eQ8I$t%4Ot~6 zBRGO?5gbAD2o7)GkX2H0Q>>udrdUDqO|iUvLsm)2O|gP*n_>mcH^uVy4Ot~6H^mCN zZHg5%-xMq0=^L_2N^Xi3blVgwXuc^{@Lw-Og0f;e_@BJ`4!B%>A!2;>dD>{ruaFA) z;-o@;H&T{4DKDEiq>u_oQb9LTA#+k*whv1ol_N>nZlrRJNrl2*d`ekxAEJ^}z8k3m zV^Tp+kHaddh$IztBNa0z<>`4!B_(5BLT<4xA@f+5P>GlBQ+5Fel}bz1aI;jIxurZk zC8(ritV_r()+J;f>*DPxK_zvlv{WrOOC4rzDNkPPShd zjvJ|?j7fQWN>E81ElC~YMyjqcDQ`~+Dk&Ki6mp9S3YkX*g*-(CDcfCyWK>YdEh;Ev z9u?$`l(OALsIlz6CT`u=)VTY+J-R5{U4)uRQqA2+wJ;{-%~C3c zj?jsc)Jd61`9iHjCyU*5I}!{2BP6F@%?4XS?KgtxFJA%w!qX}In=7YJph~Dis3Vjy zsSP=WAI}N3C0ziqiq-W)aTE%o#24xm>MU9~9x5_b!FpRl-Szq@RzUsb%b>D9)CH9E z2=x?7P9%Q#4_MW!za`X1ucZWQNS2|{>A=m$xdnZYVZ8e4Ri2rJ%Cqz;&&fjNz|bIZ z;)(vL5B)Pjud_CGp*-`P&|r4vV6U9ekmUXu4E-~N+hJse7RH#iP$63wmE1xFv@nXd zaGu^QzW&=sNUc(Vne zMXXt-F?1zJ>|_c;SAoO~S`bOHAw7y^FnJt ziud%5yzy87AW^6sg=$f#z&!aj{kh$#Ct-AMcZJsJ9q3-YQuN$p2a2DWEziuxGmAS= z(s{r+YzW;4_GQ;f@({mC-U8qIrPZWa4UQ`^f(h48LRwy_Al{;)9#9>Fe+Tb7upeeLZfgOD%=eU zcU4j0)1hZH3ZFxTFM`77s;Ka#(96R8lkTSvg*HE+HCUS0k&eDnAcXhlSHzV?I&z18 zHT0VBzJ%(U4}~5!R!!i6LQ(0ft?k`6jC$91xE8#}419zZ{I(hR$k02Y*Akb-=Fod) z;G?uHe_#eaS_}Tj41A0h{D~R3t`_{68TeQ&_zN>|J*~CBG6UDww!GI2+(6s%w`Smm z+LpgJ10Saa|7Zq2UJKr525zJU?>7TC)`EXE12@rve>Vd+)q?*t12@z5^WSFR=34N- zX5bcD@BuS$OWir=_{_j3xU}^?#qXie3f!k`qQ8VxX5fxm z@F8a4PFip+GjL}uxV9O%ixzx@8Mv#~N$Qw6NjEL{XfyC>T5w%6aCa@Zo*B4@7TmxL z+*1oa&J29I7Tm}T+)E2?Vg^1#JLoqvbCTX#a0@eVA1(L5T5u;b@Htv=7c=lcEx4N*c#sy{-3&Zf3+`zK z9-?)UUS{B-+Ln8pfrn|qea*nbwc!3{;1OEzS!UpoTJYIs;0i5xpc#0S7ChJte6AKe z)C@dY3m$F;9-{@1Gy|Wf1&=ZVkJW-ln}Nq^!RMKQ$7{jk%)k@0m*fO9@I)bH4UZe%zX$HPh3tndizDjGb^=1ZJtOeg|2412C-)9ECS_|H22EIlM-ed;8Rtw&2 z241QKSDJyZ(}K5{ftP8WWSg0jEZ2e`H3MI-1wUp6UZDl=FaxjDf_IvMS82gdnt^Z7 zf_IyNZ`6XHF$3SEb&}`IoMg2Y{DK*HjTZcp8Te)`_!TqoEn4tvX5d@3;5W>`Yqj7# zX5ibj;J3}dw`-l`T{9=SLkoW241A{+{Gl26E-mgr)~KMGw}Ud-~P$Ww>N5A{@JYM2ejZ{%)pzp;NQ%^ z4{E`Gn1MHI!GD>7AJT&VF#}g>!T*_oAJ&0wVg}x#1^dmwTeaYT8F-r(95MpiIr=!J zH@wF&*|uKgo-9=6>Q%m-g~~iTtP9|J&se3ePD%imP4z54Fjkos6K3b@ReqF($^yO0 zPqI)M(X0F{3zbp5$}h4|8PoUpSH>#Sd)(2p+-s~dy~hjnEWb5Yna;9E&+>a?mFX;t z^(ueNLS>0w<-RObmg-gR&q8Gly~B%k?V%%tB>NyQx@dLS-$jEpvRvD$}o?!|dAf-h<1LLiG-NC?{a7(g#Z}l;C0eaJ|Y<7AlX> ztF*IFd8A%tUKT3r=vC4zR34>QS&)UwqxCAIS*ScluhPjvWnJynlT&1_GVPh@SiQ=U zEL7IhtE`cQ%KCbh#tWiDGQZn>Q8)%vC8!E@+`f|X<4WopjSB~3zcWs7vzg~|nbm9J%?a-m-38(FBlLa%a97AhC%Rlc2t$}9D*{;sjg^zrg4y~_8qP`OyI z^201tF43#}I180m>s5Z5h01I6DnHLc<+Xa1UuL0lsb1yRS*W~DukxELR4&uM_k3rp zGX1@0xt`?@#wydVp6m73_)o?v({B_j^elfiR+-LnrC#MPS*Tp4SNU5ODsRxM{38pM zH|kaXm4(Wi^eX?!Lgi|`%Kx%ZxkjtfCRwPwS+CNch00s>Dg#-lyj8C<$zCfr zC5&$=zuCUsV9Q|G!SH1J4h{G&Be1>BzFWknt{}ODZD-wilfALhey}Gbo9r!{?CqQE zomQp2dz1Zqk^Mr0O8d11mG+(`mG-+0>Tk365wgkttA#?#K-% znlHee!bu*PM%s#P_t_53A#zGCC9V0<&T<#(&h~n-3w3Av9<~cL4}Q({Lva)eV25dY zU2eYE>(>+K+!8%!`(+*H>1muxwVZqLy(HtD`9{LI1!>$#Tiptt+%lsTJmgd@xTYDn zofdqk8MwU`e3%)ygBE*EIv5rUlnC z19#Vg8<>H6Xu-#sfqQDfjm*HO=Qb8&Nz(Svxy=l~xbb#w6S#(YYn8O9nvy=+(>TFE z2{7oZ1)pdJ?xzK}HUsz1jsJE@vH?#q01E@Q0r~^9O4?OT$=TXo=wPmdb>%r)TXZr| z0^osK23-umNzOD#%b=Tq5@0Y`%fS41Ubs6C(JJX_z#!=~hH90ZVXowKIGy2IB^iEj zMj1#26Q*Q@R!P6AF&U{jv4quEqJaO_#&<0 z<{N;M40o}X!9oKiV7N=P;6-NOOSSZ`G5{yhpRHxE#6Stqzf23h#tb}13tnmlzFhmf zxZD6N&iy)|KToS7T4)q)=| z17D{FKWGMCrUgG_241cOKWqlRUJKr824114Mc(t`Jy zfgjX@_nUz?Yr(&ofgjR>e>VeHYQcY+fgjd_|26|}(LP50YXBCHk!<>4tCqn510?|7 zrUU2s%)pQ6?i_iR8Te5xIA{jmt_A0qfgjVJbFLZqaV@gUrBtwdXv< z4E&9@ zXrKgcwLfd=Pc{SZ*S0*>4E&3><>_YNU$x-#&A`8D!L!W3ziYu4nt}h&eXh$h`9zKV zop%v**Dtd4Z}~?|7hLTIP?)zo=35&*=Ha|m zm3cSq$Xf&DoAPd}%)5(c@2VT%#}B&H5+BF32IZ$ ztX1a9DQa6}uK55E2yV{}53uS7RW7Gw25qRHa)zB;>Z)4XXReuUxbB%Nr<`He%r(;u z*E@6N6t$;kuK7Tp0fG7f_2dR*uAXMHAue@QtphXHd?3|>D>BzjIkn-LYo=RlZ05=- zYDZ_T`9Pl?c@I|RJzSZ$tpQtbp|{KQ7inpKW(F?Sg1;~T zM^6oH$on!a1iLctYa=i@Id889{H+0)IH5;F+Xc7+7T3WTPiog2(0ymU&7nu0CXsrN zkR}7*LFmQPMB#BOok;^hx&)ZNLj;g(H zk!j^CS>Ga&ykB97B>Ug^yx+vK$?6 z_gxXLB?g@iBya#mAq$2bUrx9-fq!as%n8>$;2TSV@xu6l10+W+^ylP;p>p{OxCro* z^L*}mZ6H@lLg7x~&Ty#&$RXh_qz$xi1+l|jp)BZ|N)8WqgEDyc_3a8D66(mVBi}CW znfQ}Cx&H7W(YRNh=IWI_%)D}eN3UEEHTB8`RrAUPQB$v6;MFS^n0w^{bFVzz%qyYs z|3AEPf#Q|Dq*tCHy|TCT%0A{^**89eLz=?{<^vB7!(GY3yOMhAAY?J;fa_Mm;OB7b z5U+jW_Ry~?+O9sBw*KKW#my##+u4=jv~LL4rf54amD}K|XuIxU+J;m`+wy~H8(I}@ zD-Nb@SXH#GI+(WMRq4|kQ?#Xd$cUYSz^N@lbz9XGu8~JEtA~I&%FdsD*kLlwq|-Zx-c|ISfU3!pD|06!PkR&(0*XM{W464 zCuS>C?@7rmm4z=7Zwh7Mi`nap*gpk|qfo$JU@pzJNX7kIv+dR3YvhZAK^LfcOp9?mh$8A18ya0RG*BJbqy#VhAmtAzo*6`JS*z_k1 znQP%an7vFXCVeV8A+yUZO?J+wqM2v#`5VtNRdI~W&8S(uK%Ru+%w^Cj&6QJkVm3d-fODE{K8(|-(dNsF&??~PgDSX$~@OmHF5x#d@_#qh8!ds!A zlfN+Fi&ip~6Qv1G46kA)h+n$w#8+`D+q7=9{h)5N+t`hEAIyy&SKMf~xf|^^b|X+) z6*t_(4BH`?Ldjj-Lg8$BW2XlJq;JppdCllR>&LpORRlN-H|*^QE^7`V}k zRk?>h%gyWZ#`BVN%Dp(Y`R;+M;?elBq_`R<7iNFcq87nARv^fCEmc`?1~{bIs);}_F=@?v^F`C@tx zE~fYS#q@#U#q?>Wi|NbE7gI77gNx}at^0qYcmL-P=KgV9M)!Z-*!`b3cmL;&-T(RM zLEK+`Bm@qo?hjQ>-5;u&x<6E{iu*sG!TrC^?EYU%_y5+r`(wLt_y111|M$u6{~fsh z_uTz|Fm(U@ncV;P%&UbY(Ic64StyZy@L&c@b@?T{i{4JycsObPhaPF3X5WnVF;;wP#+u>Q@>TE6Xc<%!;aSuNXELW=CAHGdXpiw3?K#*PsSj3r zjL&FK)aZ=%sArVeX_a>xd6T?G_HgItPUyQsj{SFJcw?o3sys!!bC9P98k8=*2mOew z-9+=EHkf=;Nb_d&ezbq)j$B$vDfCx?{5};eO}>Y}AI%&Vq<)}!KYC8)R`#U6h`*mO zAXytXAX%HqfDt)f3?nvwv1nMYzREN6w?kU!)_Ox46=O(48cP~Opg0NzFbzP9TnrB1 zr_x?UKZv%@Y>HHamqw$>C-OnGXJ)MOMeqYRZ%#A#2URn8@xcuKfy>=3-QdZsH>4%f z;HAk1F9Cy>a)Z}!F*tlCOf&e0RWW!ZnjAYyJ~TG?hgCB8hgCCp*})9{VRUFl$4EDL za_bFgxiomqWP_K3!E17ZA0iF@rWjIgl|u@A-bpj~M^!O+j+N}-9~s;Gqbk|^qa=IZ zDo2!CGmR*R9?ae!xxC(|+dH}ShP0Nn_hHHQt_Ai!jN7}mw0GJNK3g}0f0D%z{)zDr z{z;XF@K2HqZZL!&elUZ7;u8LmZt&#R8`2}B!H-Nf_z__6Be}urxENeFgnwEU2TvKo zKQ;F7PpjnNpH|J_M;*-IpH^uIPj0;-Jz5(4m}G+=4F*4k8@#TI!F5CUXH_wH$`JmU zvB5v9lEFW#n!%4fn881*(h#29dP7=I8oYk8!Rvv+>vMxQkOog1!e{G-@XxDaaCHd( z+}PfqSIOR=C)wLz2yb{Wdw*V~Aw0SDhV(dT@8gs0eH_^Pcy8}TaeMpjCI$R$Zu}++ zpnyi(?BR&7c2Va;p_fcP4UpV1IzVY$L z03U`aCVwV?=K}U<-$D9NRlg6xvLIyx^qcC1F_+H}${YkJ&irhe_dx1YfG-v!b29Qy z)tq^DgSJ*9q5}RU1d_HC&n(`T_vd{aFwpoRk|g+N>^}|ZroK) zldkfj7+O|ka1|%oCi4j^uHv{2_u4_oas5W^G6*>?G0D_z5OQMV>WrtOjzUiKjLbC; zG73R6cV`AG!;s@Po~pwT|4Qj|l6-2F^r=;ue5$*5pGs;8e5!}^sh-I`)dPI0C-cN5CfW~r@ytX7ALItGVBGHYStu?&r@oBD&1K=x5c^CA!T*!*2F1~t znN2xVt?3d}+PadC;Dfr4l#^pg0~xM+9Pu9@4TvA&JQ6{@xnBO%<;Ps+$4v;x8%*(dYsuy;gABKu?xw}VmAN(I77%g{=rGg|3911pup z$mND+Dv6HFSTkG=W-3w4G*+5voG{ZeotefB1%+(Vn8J)K|v)cg1{;Zte`{_D8Ve|d@%xoiUO~? zPwF~zx@VT_|G)Qr&+qP?;mqmk>ZYoVD z=$w7gjT@6JZa9Q?N-VjJsXZ;0KQU9gcvzW{AhnB2JV~3{S2DL@R=dpY;z(%kwuJT` znb1zR$aI$>wA-=J&Wt6BTxjo2NN7n|c_2YL5?QFmn*9G)rIsM6z0Z=`*_PDaZ%OR~ zhSY9%liCO4v13kA+3`q1>?n~qJ4*b&&yEs^9gkYMIiBNJ%)|l;8v@Da&zmnIKJ-Yn84=R_is83fHy2i&}Tr zO(hXWR$3f+oN=TpsP!ipN1A|IUuAG)r`rTsZE{4}0;>Sk{>?E*o)U6oE#`=AT$#(A zegLx)HLl_=bM|2;wUniI>5{e18rym{HbBsA92=~0w1;s})2kF4hyPi3EETX*t@BlC zY1XBlQ&q|h{6-P@O^JXn6A%1m3w&QN7AW>L^8NW>DZglgH}}HTa#|A*WOFZk2MSZ@ z{y{mezZ!1C*;#~Sd-W;~8a-m;Ot$y$@)zn>zcSO<*Xd%Mz%20Zh5^8?FFsHjR%=MS zes&5I#{M$hEsi~isn%fl=(!nP^w9yqx`@1=S1jzFF|eBu54)P$^+Hu)Vz&>)(rRjB zg)Q8Uys9<1K}@g)F+ntl{nj8}0L2Me9m@20gQy)=jtOxxMj<#cszTdu#BJ-lFr_v( zp6S+jri;dNpeO>^Af5moEDE$n@t_?l3bed<&|dYwW=xJgaE^dZ?dtRpfi;`k$U-L0 zbU?KY*Ijl0>)c#~e^B4@jVt%{b=XGElmAW2*FVhr`fq}-f0*@jB+kIvYURZu6f>~4 zXkc&g1AB{g_x6jS$z(!p0z)tW3JHfhp=ieIGyVk(o z;|KOG4D3DD)BD!I`Wm8G1BqhWu=3Rvj;x0N1Ab&5u>L;$w?_7nHL{QSk$nUs`VU;Kd~aC*~W_TB`imuA%%YjTZAQ>CtpC5uN+OpshT(*eTR0Pm=An5 zv3iFjHjfTV!J9=mET!JTXrxb>FD-TcrAVEBedV0ydm{dCEKYyRbNUr_R=x+_nTRk@xZIBX@!`uQYTc&xz#x-|V#ly&tk$0Ut0I)Am4nftmr zNvk5HTkI~)B(A&a{@-|A_8UX~^p#7v4%=LQ&R7zDmY47|K&G>-r{CiYY^rQGCT3lo ziUxL$9~hKT0j`|C@`35F&4IDA_h8--|DXK8UOaR zAK3-g-{1e%$o{cdc99?1KQOY3tfx!yNA^|`gb|PIGC#7*w7-DzFO4jqnj;H%xRJqQ z4Ia>*yaA}YRALFR6+5PZ6x}hUWe+S<_8zSvjM8>Y(|z{-x$cxJBJgDs0e^oy@Z~J-IIyo^bH~I!R=D+=GvY{H zprQ!;Rf&LqFdp`mMc}I@0{)?R;B!T^ubv3)=fneFLj=B7BH$m12fnri-l16P+Tg8; zFxR12VkLDh*Pp9mx!R11c?Uq9Kd7qaG0WHq3yP`pWwt()I14~_U7#W0>k_q`FWZ4c z3(|a9DmAM`rk8#TG>$E@#mbNY5>4EZSfG^?u5~_y!~(6D_)X+S&eui?-=wKkcImW0 zGjWkBz`2D8=Z-@7PMjsDS`f|)v|J%f?E22vB)y}>e!eEIR1I6qKEFLY76bJt8@y-(4tsdEY`*eXExRri#2gUb5sgNT`W7l$yXHuF-=rkEGnY5 z@H(}HC+I2TDQ{_wwMxqWhSs3ECKWP@K*f|*%s*4##QY%9Ig3E&ECQXg7 z)oN!Et8cvWpa<8JLIMMj^~s zR!hW(@gjaJi1;uj;=_xgH=l^7H%FKgMlGjL80BY}C8J!l&MMid6Qnm+N%lRQdh<4Z zmaWoyi#dd(YL#^T#xQRc!;Fc!ud=zW{A_Yv`B}vEF;~uYz9$kGYjJ%X&-Jmu^>K{r zw-;px##yn`xdRgzUlbW~R_t`v*bLlJ6lh!HN#um0K+BH@?arb=dodogyUYSbsWl&Rt9(KDG8R^=cl2d_riQOS_;28sTYBOIFs6Csca@0;EX|{*Sk8qb3mW~i2TINy9-x#CAIW zAhYVIH=_GfxDKJZOH#Do#}`(GHzjE_$dlhC!I|1>N$PlYrg|Sl?_O0uP(SuXz4g59 zydB~5e(y5x3d=A5kCJv-y^p19tITOOon?A1M}LxJMDmPaUKb_B`iVcZgP$hbjr4Zv zP$dnu8lgLKqxS;PdtDJzp3>&ES7#M28SUohoUxe!>b%cpW7Rz6o- z^7-_Y%ctJ2DW7XB`CQA(=NgdDwM;(OS@J0@j2ze~lF#SesCv2^RHZV^?ps! zeZdml7kSZr0YvvjCc4`b6x~9R=)No#T^^MLME4a-bUlV5JP7i(-%Sy|EHktmiV(#p zUY6-JWH-MoyCn(fw3lU)855&;*_I3CpeYy1!N6War5%iwi@lay9Jq41(EByz;-DoL zhj_U-2y$_V$;GP)%Ee)kTpSh41&>Msa&gR*i=3`j=AVP))RZRUSd5Va@+Sx zN(njKcHG-OnTP6d+uz~cqJ3H&37Ys#@o^690PNG!#4tg(A`kq%ggo&5l2S!39{2}| zdEon5DwcaZ9uH~1R!ux4>eC23@cmLL;K?h!PKEX$@W7>apdd}MKVc7ipJXT4#RLB+ zF%SHJ7Ln4B^T1Cg=7As3DoG)VJn#duAT*-c0hXiWE+JOr#l-_ZkTBn+SGpkmzF)E{ zj-_?4%yZg zD_^elahS_BJ(ENKBZ2Suc{^A7#&V2;_Vm)231ViqcUKR*O5L`&X zojod#N_?RRCYeG3`Eu;;W3>mc*VVp1Bs+)auT%lLkTYj zhh(CS(jO1WmMye!911Ish}Yg2DdldKQhS(Es`dyb8(wIS7%7FH3@Ht!T)C9;*Ex}3 zswt(xG)_w4u?7!dJ_OSflhR;NB&C^RDdkZ~KuRMPDu+OId0=ua04asND^YIpo02Qs zu;jccGk*}5-_-gRfyw+mEelL0D+>hS%V5@(vz70O1hXx+(vt6H`AvAN1)PkZ{Vo z0f(hQBLFB!u!d_@#MNyu`wvT#U0|4H9K#GNtA$d)4!JgoX;2_(fQKtC=@}FGIxM-d zgT1^J4vdXa?p9`4cInl$_RI`B?ONZs;qtIkQvG%5E)10a(!cQ6Zmas$D^Pz8V59`g zf+glvZc|DH%Yh{ZQ&N53lydcbk(8IWWbZvaQCeN*CVNLDn}SUCD#pr_%dPP-o6JXK z=iI1UDUhclvS1Q&k&ejB73je}IuNYln!pS<-TQCnlZJ!2u1Uk}JzjW@NVd0Qgy%^7 zQomlMwbEVb1;TSi^{Of0^psGmsWmNO+Dg-NdlgNX63gp%k;2PLnIa_VraHwKiUA@? zKEljInv&ZKB)J-s6 zb(tmc%R~}i$CP-r9@tl)ZIx|q691ND6=D*9HI)fNb=G%Po&MW-ad=CTff6GQZ%Gmg zX%Jminkb!=E*9@=P({*3!uuqf1Bmc%F~U<70(-*228{4|K=_8b3Q@M11XZ?)2-@h% z7fQW%!%7d*@{`O;59aX;Wn)+<^VmY!#AKcFs!{l2p&RSo*4ha5J7ZllD48&Y=gr%a zFk=jF-j*28u^Sw3GXm>FTxbzr1^$?%q%yV_kI6D~kh^fKD6{;StUS9eOD&YH zN_R_^YEu>5T_Q_R1=qCYSUL??-|v_uPTfJAu4CfV9K@-+E>3D|Q*o%RMdH-s%Ed|V z-4v&umN@m|#i=KVQ!ge?y)AM25KKlYPTkzZ>3BSG>dRUoiRzbtL>-siJt;96k0%_u zI4(OTLVIY!ec3iRx8l$C-#}h-kyql(;UZaxv2}LyRIPp4ei5Io5`ZMvO*3}J$ zcy+VHtD8u?ZnT&#wVF;y5;fV4*%W4{&xYcyamCskbcXPfDvF7Vge!dQY`8t%%*IO;tH8d7_s8bHkzazZx zPQ3X#oMYX{Sk_r}aJhN$PCQZP?S^->Q9|zht2XaDh+?(-2LB@`Pj6$BH?@G?dFtxZ9=-nJV zkLzF+9ivQFW?8H09rT3yb&0K}8S_{j`f3TBP|vW}U}!}HE3c#mXTkp<@=}G_b9W#_nZ#Tl9kcmd(MDo)QvoBYEk8|NNn!4m?@o#@5PJs z&E`1yfQXa+wLC9mH{`}B()VQfKmVp8eGg4VnyIT#MVhIb;8dJ*0Z_hL0bTfhU6&oliI3*p##;*TPtqK;+9;m*>aFtgesy)_rgh z7#P>XA3FQ;vE<|kn@v{fl8^bjdxMJs5Icl}=JQh5`OE@z>^rUo}?!wnfLfe=t)^#LDT%;>XHS) zHGp&z4E|2x`h;DQlajqJE**#+-2h^&BN-eqe8lH|pyQB|{I_FSu1D)vR zT(aK#gq6}TiptTs7JSzAy-&5`&@KPobC%)=h)D36?1T*pbAKj@F5+z7&m?DRf|~&U z=e06uAL%nmY5?0`X%^F9ypY290;|uosQ68qS!|K{Gfcj1u=Z*Yf~LhOUQiAyufgX@ zF)yTdVCL6{xwVo2Q3qP^e{y$H`z)OPS}J3LEQYr(Suc$VgYh<|VgJo9;)!n2+5EQ2nqrX`g;IXG8o zX_>|JekyoC&Ej3=TI?AF*{<|JP)ql<_@xBa;x8pN&gok01K7Cu#g_@M#a}x0h|5~M zC&9J&mBiNKuOxd(oQC@fW3~-UFTs>j^eDtUy214S&=g|!x}JRXB}lA(H!s~oqEfmS zq{<81>7|1EK&m_nmD2t2OqbFF@GME8QhE@c=~8+Kp3yy|mZtQnEk)AzswsWGhCnk& zw@7=6K>EIoD}B1d_a=04gkRdfl|-GWnGt*&{*K`m(}emKuc+V3JOFCX-3G8v zVA$6RVKeg-zbrlljcwU)v6Mp3tXd58{;qLc2R`6m?-v9=DhPgB5d4zw=R3)sybltc zf?vbmw+R6nE*u@E+;_60JIECIE{=7JYsh{lyRwO~`+GF6| z0o%U+Q7eVz*|giSJexk+ABp*BKS|AS7#HHwPm+);<0(@2KS}EtS@QZ5wv?gIzYMyf ziRveQT1_DMH}P}MXMhCUozI_Tij=N3Xkb4}c)$jBkr&pVwH}FRs-I&7#`d7}F|xTB zyzFpCevup(G4kN7dxnyEyncyud=7eQp_Rog#Fto5SIGspdxS&BV*QK6ZHrl6e@WP{ z`UOkNHn4yUBhZ`XCEikSl%l_Plov{eCgmp`NP5*5P5vFltk@fFO*#kB~!aN=~Z}^0?*Quw!*Vic$Sv@ z6Ff_UXTIe3;aNI7%Sbr{&obayAY}_Y^T9I+l%~CA+SF;U32f?+-?XX2tCURIC>FO)@>0Hz*8#n@N8xSx^e3%t0WVauj_*qUl~2Mf+f>LOuF=!|UT zM5h4G#0fR~K+%SZ+oLpW){MvZW;|OEDhW{~_`NjG?K6@Hmk%A9KOXX7l>4rZQ}#Md!1&&m?>5i`%q*4U=G zFxoQkXL7S3Q~^^;R$do`vB;8eHmqzAvI_fo@ai1#t5g;+SHsFnlGpLD#5oV_d@K0g z0d$_{tfmQJ#~24^v|!>dpOy$CcGOQh&9hJ?-R=xk23pYT2jHI`nX~@8OqHT&_wTZ} zIJHKPz~9>mba_tVR?zrB2v6kml5^~AmmMN5p=!43v5fNbGVO+jc3z?@@G5aGPWXV= z6Ezr4I9uiqt%OhxTvYiVlC#Em_WvPqRYSFSN&iDqshg=&eX(-lExt^LHlkrKOJm^P zBvl6y6aJ98usqE3l0_ZwgPmjZL$q@8Fn`y?r#86|#_#L`OD-a#d#*5eHt{Uk`?E-q zn^2v^A~$~}9JvWyomk}NFUh*a4f`*ro^f&9ah&vmZ1RD?K#<7@hJ@;if+1K0FG#X& zxDdw$>|B9py1L>f#^C z5@XG0H>LWIBuxh6|Bp<~BXj=murg1m6`A#wi5v%h-d@zo3Y#)*mdGM_QKov3lDa5K zjl?U(Ka%^Rv6A{*Y7ts05srpCSGWC4gKJ{GM zkLvH~HPk=Td#itCR8%iy9994J)q}qc>OcNr>fio_>cv1)^`F44>feE9)Jyzke5etG zTG%#cs4;|EJn4{{7|MfZ$?1@q7-|B~lG5LWXHDT*YWmCYtQkB@$(Rn$n!~g7j1lmx z1w2d3_y?Y~glE2t@8DT0cm^S+&`oCOCUlc1bko`l-6Z#fC=+bPCshEUj!ldUwRH{M zpu{+jD%rZwH{)GgY-rU-sC$`<&AXAD(uRg&A2QS)zbPw`0Sh4S?*ZJq#0Y^GPd8AJ z69+94-#~?z6WlDmkiuA8sIe~h+MvjceM9zFPw_^zU)2fJz=gl%EBV#n|S;FudFvr z&$A>cD6IUL$Ky%!cs<{HJl>w3B&L^*^pnuFphtDR+!^$!$2$XbE4^tb**g++E4^tb z$$J8x(VK=+y}RKVy=e&2Pm(H_`ZlS8NZ)oh^{wX+BtOxdm2>X;wr2wRHZ`ukbtTcC<`g3le@Km3nn9NcaFM^;B3*30KdFB>W~*!qpIDXVH@Y zhfN8mn;Mo*@nMPp_iv_=E)XtA< zZ)$%kYd;XZp{W} z4JoM^2$j6(y-k(BgK zU?4e?5SnNpIYk@D?V^F)fd*1svV0{lkm8bCF+>9?UbKNs5DnxmG!XLNafDm}M@Z2I zGSOU|Que^&1U1#XtzHUE?nn0<*)~gPYJO-27$F6r`wBvHc7z_KOIB{l!q8GhDG03q zD`+bH*DCX0*VBJJZTu^Eg8pm0@vl&6`mc@Vo43(_K^1%*$~*L5`RreP^FurPnh4~F z_D~~4uT2wrCDsP?57_G;KpVeG8@~bS*HU?zS|+Rp{4&X|STI25B@tLxEA9*(cC~9P zxKP#F0XM?#x8dQkiiRd!Bgr##+|}c-;Jz0FH_N%K>pMRtTr;l!foojff_pLsZgvb@ zW^9^p&5-@4t|5C1?pHBzi#h3_=VO>~jjX)TH?CQE7Th0V;O4OE#T`hzh?k^KIO^xY=_Ukycynr zY5Kxdt=eT}L%ef7p+a_ar(2??ul0V+{j+8+S$wsrjxw-+AcF<}T_ zz;L7~W+p7@OBfzxg2f(TGV|K_b4YPt#Bjs$;PS8&dfA50Oz-we7+jGLm8kj=6z=^( z$y2{kI;y`ZeZV{&;z?1)doq-n@cV2}rt+aDqSp3gsSkRx)h|57JdHd#p81~Qo*z8= z%25D|zy@%C&Vj4rRThK$bDlc=%0+O0{-ExU@;$gee^U2H?G4ZVf@i5}3wU;cI{oTq zc=k6uOIMe{vwz@OnkN~aU4&;o^;dXy37%zm2Een+@GRizpp?@Tc;@$PSJE^Uo`pQC z;Tb(nAN0bF=b9Iug*|`3vm|&1FMC^=Mw7Rd;C#B4Y%S6E8`fVB-IXwt;|&i8>{I6H zIPB9>VP$q4Un177E5$9oR_#@#hnAwWLDx3FA&e-)d9(|Cs%vuR0MGFFN zynuZvEd;y)fl~&Wyio>AfjQI0Rxu}o#MR>sMfGK?=) zIZ;J0J1a`~QpMy8AHV~wm;X=CPJNIj3#(cz%uRfDR=e`q$&;99)veiCgP)z%fxI;s ziEE04r4AC7GLkY`2?$FW*#Uo%u#^!9OD(6c)M6v4YfWN-u+)a~t)rW;0M>K=r-elyq$w=dSi;gNabdak%7uj|G0|vs zNctbqy70n6YeaToB<^aF7)K|y?g>RF%V`sZVR;mL#ltPk}a^5~7Q8BUqnNh}&fNExW$}?V4 ziVOrBcLbwIXRvWc8Wb688A4-4hR`UBA`S^0laK^fkd$~7Nnizm1det};Akd+V=W8? z5_o$oxh*wx=f5g}I_9PX-epPP#Ka|Vk~NychBMVpm%t#)=VM1@^z>Sm&xhn{O6)*+ zxvHSlRqA88s()p2Rnd~G`XE=8v^-XlRGWw8(JpxCsU%55f@D8>NKN0N#7U8!O47>3H{dSBM@Atb z#oBtYLb{chwy<}xVqNe`+#I-QQS+j9k8=*(!{)$DOKJpm+r6=(Vak6 ztOcyHltXf^lAK7Rlry)Q^*F>;9H+S*Q_;Mcd*!wV-xJa1S+>V~-u9RWgPqR?yufw9 zrWWF5rLxvUDk^xum5Vmug;zdcz9*tBvIe}EAMhd=>|!?HCDwqK8=KKX%>5gtcG0~{ zo+^?g9dtqw-s~VGPt48Ho+=!9hFCLyh)5omTI3mIAYCK38qb_6n)t#LZKYEh`QB@2 z%M6m$(3bNgTL$D>&PevSNiy|1{mvn^6p##+-tbhF5Q^r3au=eaicZk5o0x7WBe1IE zE)<&PuNSCsOw+2ed`hI>t4gc-@WigFNeU7=@3`_*wOOr}GFh#b60!OTi`9b-R@cO= zt}1h%VtLJVuhi658N9Bkt>$^X3iu2+lY;_$(&V-Bhtd533G;GgH3lJ5%#|g%l6+fq z5W$lxJDA8HAAvW;*~GE4FV|*?a=>JXazMnAr!1BTW?!x*HVtAq!uMW7d)k_P^d5J! z5HUQ~G`PVXII`B{h9oF%z+x&2_c1$#u1|i0kVtt_vn2biYE_eJtC#?qMRXwjY$Zjhp>2Y1mi#{lMA*a#u~~cG=)Dh^WGcK`J(m~UTCy|A6P!b@ z#fI+J;b>bZ7fL(^Fq2jI2c2$P;|;c!Gz--`0Pp*~nO z12T-M{rP@=#swHI<~@H1-P1slf#gds@cF?v0r$tMxZzm28%UgY>|`~N-p|1Eq=6(Q z-}h00Ps3#LdKz#NY2BWth;C2QUbM(m-5}GSammy$9+_g?&xVp(e9Wf7CQw7k)hU>e z4P|$u@nsr34JApUzNRjOY{(JvPgBV$e~Ogcc8icSqaEZ;T@aU$jieSE2r4E^Bgq

    B592{;eF}^T|8%wNlRJ6y_ zSZa+6INVq=TZ9~LEV=g*bGWfA9EB{C#*#_`blUo%gnE#MR$chpnB(s}i@)vizXso?DW4T zHC38xR|{1{te4W9b#9s}yQt}4nku`Pu~2APs9lU;6&%1=NR6YUJ!wb#d8^sCgW&a6 z@#wJ4%#@c5W0YvSd1Le?=w&zSX^&})ssoH2lP{1#f-2H_I?Advfco(7Ls8z25>deF zqodRxy>A%P&&&#dR7uj_t4E5{x%a&N5(-K`g|55 z=zCcNeJO-K^~%VK692Y3ZNFuX3cQexVdYWbIAV=&9VMOdHMIkVJz7&c$lId_fawPr z;}4nI#j_Bu-T?c+)89qAVD?`li-ft@qo~}jrxQD~BP!PdHri`inH1jE>?EmcKuZW% zr3zx&iBC`Z>f;_72`P-vvUQRkT7^imSvwh)cHF~_k{+NPUoJVOtvLAL#7=xa06tRN zN#do8GLrUL7p8DyqNV5hfu1|e^jv$;b4T=@LbbHXIkmKib8lIkYhai$ zP_itJ<2y-K?GDx%$8O>ziT#f$H%WGQ72FGl{X0n$`v^|Jw~OaFwF|*j7hC?5?8%^d zERuReBz@a|onejig$NQ2oYY{)?gAb4%}R7k^8t1`-QKK>J$v{7>s>cTYUsTi<_NtW z0wO0z1^sEqUHW@4ZhsHP>+eJ$Ngto5D;OoIFxgwN@n!tjxf(qjp}kwpIvbHrla$Sy zj_8E$T7$jvehuptpMJ>!SN-~EIlgWZ#d zWYfWIgZd#>F5Tui-Jte@sOUM@)!=TvW}q0>DFwX!m|`*4P@$n2G8jID@LY17VFPVF;n&c zZM-mzI%6^W(pAjNqqXSZ@*GyP15@0Y3SCLha*sn{ZuE25=*t3Xbo5_YqyL(X9?*@M ztcsek6wc78C6*}OZ9gl;)q{$1s7|hq*ADHw+@0Df`1=+9PJ=tKwIJ<@4Vf&Yo z>=!MKJ;;jo*vWZ#DCB^21k?oPuz}$COepg&RZh z1CmNINPuW0LW=DOH|@MZsklKYzCp>}pk!`PLK_tS1_cP00tdEU3ODapeMh*}E7i7# z+vvo7G2Esg+&&WSz~~5c+MZsK`=wJPe69V4k+9-uWsTw%;n7A)j&={L zylG6o@L2nCF;KDQDrQu8r@o?wG+po7l1 zTo9h5qfC*vAjdA6$_&)W)JS-mO@u}|d1z6^;-olTVZ!&2;@k>D2t=D;g-JUKr-!uE zRL=iU?v>T)s~-tZxBJPn`zb+6uzqHe5?nte30N>@KPmiW63TsXx|wBnLrV~AXYLFE0OS{20b6yp59W}WFcy@$ZpbFZ^9%e)rALU zE|>BkD~V$A(PVEJo|nU-v)BL*qSICf&ha-@#@vTc7r@*lHZ|HOisu{z#Kv=u6VIhK zo*j#Z=fkKAz;l_6XD1F%dnVe$w&?i?e+!5;6T!FPYvqMuzAU%#>i~UK>rHEF9~p1panPqjf2BNzVH>t zm4$pA70Q*}HV!?Z|7Yo6VR)|qhecW_aU2%&)pa=>79$=34*P5zXwjAb(7(d)Aps6c z`191^ICNNw`m%Yk)F}_I+BiTJfZ(t6uQ2?k0EcBok%#319F{wAIBeqpr4WLr>0e^fH%{z!n(6a4x3cyh8zz@t@89(`=%FcA9xi~bdcKNH}v z+KorXGD3BRZW-{7h4Q3Uk(*+mlt0&dO!sY19~`6KwU)Al=qXla%v4(d>kAzgH3Nqr zSOR38cCHX#+Q{7Ms*~MWyaut-X7L)Q%zb5(cQ}A5PX7wS-wDXO)=lQPRhpR^`lWW^ z(JoflI;5$AKHuB)85uJ?q~zCYl{q%qBU-Oj;GTof{$P)2G>oPa{VNRrBpA^KL=Z!{ z$pz*aCy#!%c{C;wCO_+xl3#29;}8IS4qF!my3%wbA^_0zSDU8eV`wVY3eO2<3Y$k7JbvMm<1x!9?<8T-Be>43n4F4s- z;d#x+$p~h$P7t~Cu*FH@3pR--xsuq$Qre2RZjWs%niDWKGco{n@D#A*$I!pR@MXc+ zw(-?jT(mA7U#veDR`LZJA|J6}d?Zt`Nj(iv0VS*rEX;%r0#|mw@Ly_(7ZF-hBbmuI zsqb-_8*;{NM>Gd$DK@kjMTS$K%3>_#)qrqphSpOC59lzIfj9ef3?dtqjlfKq$h{DdM<>Sd&OL9FzU9g7{! zEi$EEL2L(T#cWE=FEX^fh-3gQ$A$(~=^ zafr+^KuI$WvDdd@mv5sAkm+xZL6)mpq=M@sYtsXj!k|^u65{Yu1mLX*% zo#u)fC5;} z05E|qOUg2V?MljW0$YxhGX)mnie>n`2IZJm4Qv)cGDm&zf-1%|Xen%f?q2}Vl3Xg- z{0+|L1Dwa=?8^oCgb#o%E^1Ft8o}f(Ps%e|Tt~`tw5UKTFj_d1jR4{;!g2YUMl!qG z1b@mVctui?b<&emU3bGSe;ex&)OzJpvreT|Sb=0e79r$}LmjBX7lI~(3@HcUI(vtN>1 zlUdFt_w!9aahdAgM(<%~lX+Z|y%{eQWb7!&D74nKPx$b)vCQWZ00*|k0+P$orW%19 z1$~!9NE;|y%2^mdn-yl~acHyJ*>H{7P)M6+oJ}_IO1Duqz5bo_Fis@1(4OXo$DFn^Kl2p; zk+LUqUq7#zV+1l>lhkBr93VA0KGh<%7#jX1d;LoaGGEnmbZC)tPETaM4td;E=!}dd zfL5E-X3*XwwK-^YNF5GZaGDM+#T8mI2dyrt%b*=0bvbBPldE}X6Ln}B)E13J&frJp z(Y@)Og7oA_rV*#5b9l3%02dnC4vh>*rDqcZ15Vfp#f)?HNIizuyQCh6R((>Rp~W^D zlh6j>DcLbKv;?1$T-A~JK_v4-TLo<~7R=0#9lM%8O6~>oH;-hVv|((sVBj6gpW=Y{ z#0K#~EEO%an)y0MMv#sMqyZz`XQTl~xQ3*mNjR9N)(pxIuGZ2Df@>Jb8<9q=mIhfuQ@klwae(Fv6%*$afhqfckkPkJ0cH$>V6)gfy{f$Y&TUzp>!DJElI}fow`3 zdk=KjPoyaavKeV61ld?@55hvZ-&#_B)2ayH%=|f$$%S2C(j`!+;hK}?49VX}a}LQC zqybpH@ z&k*^OwC51%Kss<}^Gl0<@XOQyw$A>`o>zNpaqdVuGU$JkjvVxB$TbYQk)I4=--&c$ z?_DIFxc9Cl*K$}y6h^tuq%(ar;vt>6SG$ldHb|a}zbATcx}x8mDj*zqR@e{wU3Sut zPc8?zU4*j){HuspryO-?U$H6Im2_ppOeS5qVRj?k>|ru;Tt}{B@1>IKxc9n~?)G~; zInK%S1s&u}{I=wD0UG2v$xXm?kVfp44sxHa3gml0=TXpgY9wL=S1Eu429*MLgJ;o? z$M+A}=n%etu&sPCvq2_%kRFV(KGK7uY){hDow9#O?$FBE7K!+6HXpLt+>7*LodiiQ zu9M!Rx7`V2b05-&y{C~r+FEHT9~Y&E`tX~zh5Vp5`T85eUt`>2u&@O>n50~kRA590h~Z7y zwPH>sd?`(c07W_2jz{+r+YNTtYwYct@Y{56U-I^B{B}d(+jxMNB-apAEF%21n93!s zjSw!LPV(E7ExEqPZ>>ejBSF;X1-H-VGA}MWf=ZDJ_PjV=RA{Q=faYCcLwl#l&{PMs zNJSgkdrV2*NN!|G@+xv8rz8iE0j84l-wqR$ik_Yhw1B@RoQOdO?S!JhE=I;L7ni>riBz?T z^8p(e?JyF_wI6?Ea6tE!ZYDP~w5yYwIkX3nLEPdMoCruH?Me6b^D=r5CWBd$q=Ak4r#9-bl9C$7tngh#!3;H3 za$hK2{QXUw?~5;1MSBAPS!{m$Bc{BLBqJH68j+D4rACoaJmrIBFcN86Rj~lNAT7Hj z5+j|aiIslLJV<4mbW*l8w%PW%A@Fu;zZD8{OMg<+9b14AV_%g9F22-ZS;1+2FET>+ zK;5>VBW;W*Y$VdwM(Ar!j{4c!m~lqD=&J!q&BsUwUaSKWS1q{7uq_h=Tyzp1 zu#l7s)Oj(1OkhLnO(t+dyOZ2$au*95pt(qhCh`X9h{X5aP&OdTjlRB72pO4#^wnp? zuUZ#e!|=oKn&A5TR-d!gKZm?`0&C#1% z8cO6wkvhBCQfGr~b@sixPP|nF1WKo{l$=Oplnn$<3_!TaC`S!34z3P03PY(`%!P9w zr%_xw!dK&i1}(&F;6o(vW;5}dE@yV30ky{r*7M9$(*zEGA18k%>-O(K&RSI3e` z99Jik$+}iycM=vxj2h|om4s?GziI4Ob&?zR+D#!-7|e-e3I}s4nTlXeWi_WG5$>4U zj|j{(0>N5{HQYm{abWHycOx*cZwt2?Mk4pxy!#n}xrf}tz|1E1aA2mB=?Kh22ADZE zm|qc?8Ds_n^9Y&2ftg8WA~5p}Fbixjr$KezWRiOpnZ=+iBC|Ls_mX=Nlw}MGcLSta zf=^yD9V&y|_mTS;%*V)m9L(8dHiG$tf!Qh>GuUATrEWmEpWM%&JW1~7pgcexKv34k zU{=Z@TWkUwFdrljGMMYhgB;9<$U_L`Mgz0wY|PF9W)%SvoXLnleP9}W(97KC%^`Cb z$Sq_J2l8R^Faycr*>Hz~CHYZ4a}mU}z<1Fc@FV0A25B34goE@bc@!ZB+t&EfOtlQ} ztx=HvmIkIf5TTGojvSY8Gh=acyi(o1AM2WbIWfG~X}2Gepp zYs@*yWuD10S=92ZS`8N%+H14t4}E4N3aSD#Za7fJ=`3o%{uKiXST2j1sgMmhMK4v=h(+e8h%Iq3IxTCw!0_-joL^u_ahT z?5|=wu)4ISP(ExBTi#@T+TpCUBOol=0|jaC&t<92kq>!0isg$qT&o&vg1TEo*x0SX z=A-+YG_)HJl~3cLQd3M62PU%0NzCm2ocFA1N^Vuc)UGLWX<+}sI6g<`MXEk8Xy7l9 z0`lY4Q+3RPHjpMle*)pm$S3HbUzolL?H_KXi~_ zgl0rwN$5}i3L}3DjL-(W?{6BRA{-i`JuDlChK@|~$Uim?*>IXJi~beDF)?>TxDg)| zh=)UCWZ~I3G)4m%AIVZ}9CD!lV)U;t3rcdi8smAq>1*O3H&&ZCn3-jqp`_BF*QbIB z$?EV3jMb)20LHON3ZP^n;?xY$VrnGI&eqA$>s`gcpgHnv0DzsXlc5*IiUXhpI(!ZQ zGHih^m&g!WvivyP$;fIpQg|6|B`G!wm_qP@Zb|Jf$bzYa?m1Fh z%fkO)z+~m{7`2vcbg+IvPh^$i=LdHJF{`Ydh|jPIRp4Q_VW-suCOL{uwqYmM1#i;P z`u7>|owRQwt4fSgI@C6@`rHn5hKbok(V>c~qKGI}Vu(UpfSRc;YEe6;1y9Ddl08pd z6dnAIG^74Nt^@x4|;HGta^!xitxI^o_Rhua#%y-WNy68m=2 zTV~i|@HBavEe37L)7)aPhODs{1Jt+d#x$S3E!K*+D;%c3z$|F*IQE{^&R!BL!9=1* zoU%GlVRH&b2dReV(eJ?W5k)hEuDkf{RtXZigKU>dUv<}#wT#f$khL74*O7JZgzg|$ ziF6%bPu4St*OK)d#0_MF2%o$dNXvW!}n)CQ~?)B8rUk@eo4Y~*@>jyz|M6!M3-6_n@UH4^8} z=(>k3XVqf5-b6OBu6vP9T-Te)X4bVHONLQ5Wb0E_Hs7_Z{JtJ|$?C0lO?}!Lde@u} zLR0@@t5&GjB8%Vl1g_=1Z3xR}8Rv4TM^p=@&h_-KFpKUEyM^UDYZ)NyrbYT3PY8Mw z1{JvH$@7dBH<9N#T5KU(I3aLmn{6dqS(}^5R<6x9vW;)UWt&026jNsR@x<&Rv9t_g z+MC)Lb#(OQen?lRA2Qe$gsT|^;98yrvFv>6CQl(~QTg z;rsx5#vh=4q&cGr8lXV&_j2-Kq)qT4{!l!nk0iW^&51q| z^~FrW+ki@Ac`EhM+6q?=Cu~XWg{G0LaW*YlB|?k7j=iX?+id`C5&>|%6To;IKszBZ z`$^7w;Q{xPWdAW@LL5HpE}oeEB)OJO*b?Uxh&j<7N(Y@5bdP`vde&qRkLu_Up4wlM z=MC9XZin!ojU@65hD)HjmmgYx$u({CTD+{Oj@QSE#frbg9q zdmGeR2l}D0$?nY12j`%-Ebj}ar}Ymjj|nN^o8Um>e(|fwTn4E3iC;xtVtB+bzktuqkbBf+=%k{O102tsnmXmdl4WLUR0B&;H>PA&-s&Ts^0Q#aP ztyriIM6q0mrp?gNp^IAgB15~`Nu8NCw4Ml>U_Bb-3@;kBwCUh_GXNm#bU6v~ayD3U zgbmNQ!O~oF470RY9%(G%KK!;U0S=rd*qaW%4S#4)bg)E{@jl7mgf+!r**!pL$_ua^jND74l8qnOs0O>L-yS4i^MmPsWrrr4WDIa>}B-Rp|K?}hRj1Hp&3l( zp%TGoL7aD;A~%$24|g&ew*15PoV_7t(DDNSw>pRXhz)B1f+d*$!<^dDD2z|%|3EtJ z>AH+>KvRcH&gateAkM4Spn=#_!E4=ciD^Y|5Y3v$Pmke}AXyd^SRc@^L6`(9WCf(WiZ(dU>L^J!!A*3q(8mHA41w)B3vIVCal?o=XbvRTiW~eW$ySX%c<$wq z@$K+s_Chg8UnsDM6p}(FB9D%4ef(R4)NRGJ%9@gnPqk4->SRJDtW#j5vpousM!|WL&~RGA;ojVFefkdW0Jk zHx6EraBtYdhH=xXjua@@j8hKdiXtXsCE-9ut4P)pwk8?sCMGYFmzkKXCNFbh@(OuH zASSoR7n7`~tnu1 zFN&Dl9$!qJw#8(aE+$qoIh3iRMLn}Nz;=z5waF)@JZGd1M6x#7P(}!#;QEqwf$c1_ z&SWI3z=kqPhr(?|QBTP-rtU=X5qksbPP8!v8&ErRkaPnIBIKbO^sg{WaJ`ax7rzk! zsc5kGLgy^F7H9)vqQrUhwSl)$^3O!s;Rc!sfU-FzO3rA5X$`B5C?#p4q!<5Fr^XWqs=?5OU_9)1;=mpyWIcA3r^+Zu1)JvD zeIS~?C*@d#m2F})kRLupyGQsY_QNM>lZ0=wm;`LG`KR!+d>T8VD~@77d}c4%%Z#K? z$zIM#+DG;=Bgt78UY(Y3$aQL1`CEu?#x`5wx}CN+PMPi}`x$bdll>fW2gm`2oL@f) zp7phUSU1b4;z}ckV`=oqJga(;9Ar?wBL_JshsYrWoybQ>*RF?@(g*M1NjDd1A#mr19GN=eg#<*OptGq zHyOx3$(tO=!{jgm`A-bUS&l#s-2yQNeuNxhATN<49LTrGTRg~YPYlR=9f!r~{)hqc zZSpn+nVm%5=0F}LM-j-h7?AhzSkgn!!FNrN$H*}TGJ_oBKprQ@5y)T+$k~nq&om#- zgn5FTU@$duf`j=Ec?ZFSa|rb4f3|&_Oa{%GvcbGd-eq8N$h#bv_sDw)Oi2SwDc!iE zB7Fx4%l#%Y@00f#lqh+hgYp6S06{4qGr$L(A`OvhgAE^&4;jdc}5|WY~ zXU`vUaF!qJ$K+!MGnahK!8}P$BA9R$45OJ{0W0H98q9}3IBcIa73MN*1cI*mgnYul z)ghm7;65dva^UEDpOMeldsmasxc5FMpR@O34&*;9;rbWk3kIq_`GN!WCHa!;vM?L& zm|-KZs~}}eWL(#WkPYU6F@&$kR}5Zr@)ZZ~Yw|S*j}GA*@(p{hCHaPX?_2UM8bTzy zmF-YKas?c%G`RU4`Hr=3L%!qMe^0*W`YFur5W~&6yf$$;pAV~|eH)hH0>hawOPkMQ z{+OBIHZ_vn(ME8pJ`pVM3+%U*%>T;n!uem3?5>7K^T_s$dw_5q^Rs)}J>?c;_b$l3 z-s*56f4;{=*rkgBsEeEIE{q$=9DUpjeMGXkWH;lgG0t7ncLuqu&@7ymq5Lu1cU$JT zP%qoag`>V3>?Z{J(7(cLS{24Ed2qSgg?cVS9mNfmzvwA@tYNc6vW}>NMF?Z0;J@izP%L!Ck1T^DflvsRo~bKy!dNqv&5@_H+S(T<=14A<%uI zfjwvq%-9d61bPH?P!4oFh_|d(OEO-46D&zs%}*z`-q!^Iewc^!WMYt>bUet#d*%c5f-Y^m^4WW4UYMqB62VfISFs94=?MhE?Db%*a2 z9UsYl+@8&c0Ga*tuQ2;b0YcC7wz7F@k|T;3p)Dvm$wp`kim3rYPuU28wGsH8{uO4g z6CkveH#m!g&^DAiYa_G`Wl;b^>urSIfEhiE{uO3JH6qsqA)nU?MMCHWLb4`zW{OZwQ*d9Mk-Fx8`pPn(sxY8=&{lFt_FqfpH!CLEaT$q&x4{993%xKV#|X zLyk*}3xdS0IjXS21%|S}G($36O*nK*BGvuc7&n;o>TNe}9(ImM8|c>jM?v!=jtN>2 zg0g!tmv&`CoWSm#AJOkFBYKO6Nkvc$@hq0& z0`n*@OAIFDo5zg3m`?;VGvvrEWyPr{&k9+V*Nf!PI3MI zNPd*}yHM0G+}G&5_}NpL_idnG=4ZO_fVvUZipdjkwE;9z>`C3Oqdwtrh)uoiMiXjl zyMlSv1-GiabxP+8Ej$)09?y$l=DWbm<*h{q^H(>RJ47&Fa)DXRxh8~M*O|Q{n1@_o zR_9^TNCL>=Pvj>i53iA*IC=P){A>mZ;lwU2-DGcXduqt?L#?<4ycUJM8zYQoNZ&8y z7uMSwS6L5_v&eK+I*E>^Z)}mL(Z`G-XdqX_s){D_Io_? zH6`iXbOWr|QC3;bw=!u6TtA3g-YDFQDt1ENa-y&$+93ASlx zerKe3m;BC=;v6|=l7jNm*SxUUM<6v;bPz6}Ee7_mK8w*Y3QsLbesACga4evjnU(ec zZ%dBU@%z>AW_vL~XrP9$AfvQa*(JB>;EVE&B7oAzp3y<6=5MhHQ>bJHX*)t9SlIWEWC!a6=2{244@1v ztkb{HZwNatJb_x4=6%K>wH>Y5S=TW;`ADn#VHVHTECI^i^(gkMzVy+3yLSv?B|(=^ zlM>K5O}`;@lHp0RNh~_ii=8!ErNA#KtkpUC4Yf*zC#hyD%;j4zY4h6MVq?s8Fb2k z-ZB^_m+3czi4UImOgi~*M@1a1j&hP>IrT zk?+gKW_8(!KOQBeP>vRZcqAumn_eFnCY&2}bBejN&9U3+_aFfPnrOwQd=Lf}WP>L3 z8ya*7o`lRnryYenV084@(?pU<1{dh&l(0KK$&iNsqh%^s#~J{KG$^2UDf$g{9EK-h z)Nv$-E7|a=39MLm16U?B$Yj9E&~FG>1fE1VV4(_;oU*pS={My7M96>25!LR>fo*bg zO*XpB0mb(sxMvK?Jkv%CC~;m;1c-w-q^Zv;WBWkExQkaVK}pp}3YB^b0O^c#X!5}uS~&>$Uv;nvI;5f!ge ztW^v84Yeu_PfDAuu*PjIxugth+}6@WLTgN|U6oE0Fo-hjTG4L^J1Wa%Yzk4O!)}gG zLpR6gK>BV@J9`g}w>a9!jua!iu8p)76T8@*J8h+z)$`H4;yE3(RKD_p2MVU$D_rmW z&24GN_bgi@g5?z!eE!sgr>*2#c5J@4m1L6pn#PRCte)>2Q3#Ewt>jYBm;qTgFz2-4 znbJ<4i78}eyM%|{PF5|%96D^j76-`zo?+y~<<~Mc2vUhU0zp&(;Nfq99yrH|V1yS!A#(&D8t!IzxQyhQ=-Y0h=#QfTkWcse?R8Cg(! z&(%Qb&4a@x(lz}4AIq(1suxqcU;lv95ReMV`BR-G*HJ^JEjxw?jhMMn7IbM@rf2)o zZ%EIUgD2(8Re-J?tVMZvTAsD&N57#K72rt)y9Gu{TZ~P@T8jAwMDk-@U%K> zaSQ#1TGW6iHS88VvRx#!;9S)%F__+EU|JJk*JS+;rQcA$wctrDyI-S4ZFpLnwHQXf zp%!)ENgcZdk7?J$Fzw23qA;4$@)dMajpd1|JgvuCjH2IAi~8`SzTE<2BMw`jH3hSbh(Xk%!!Xar9iu@+p_)^?N)0hWe#ecN4o`qeWAA3N{7I$UEpa)S?+YX=b_L2Lt0+L#c*?{KS%=IJFn2#;*0Ub12* zcBr+NbCnLl#Z#7ywgsHpGJL1fZwTLZ@T6Tle0$4|+ai2>C&v5U{8kQ|PjGgM@xDFa z)1KjaH~ofi?Ep`}@G(Py7VrD;l0>=1Aa#VdJ2FVq={E%F8hCOIgJk%Wv;YaJtK{h? z*&|>_c=&4>;cZFTLKHmjr_~W^90r%KZ47Y5g%i;kDR{HB1g=G^emvzZ|GN{=qZ6am zO!^Jc>RNbmtxa`$c*BC$pHF|Vpml~8of))y={E$e3q0v!L(@$;s12gM#-b+9xcnixLA| zVfKJ_Js8Y~={E$kCp_uN!DJRYPX-8pK>*U}`rH(+;)I3LO|Ej>3%c#aPL8p)ZjThIr&Qma2e=+B@pqTdj7>bl&3pu;8%WtRnW zuq(zlLc1Fo%q8?2f=Qj50SqS7x-L|>#Z}O5f_67Cn9Jxl1e3Zc18taeQ`4!*hf280 zG%j*Ihsv(uK$?80tgb(7yirftc9DiAtjUKarpbr8(&}cQ$IXmZkI`?4R@AQ=Wb+il z2rG?L{jB@-_>aZhTV*tRpL;FKwu>#P9Sr>pW;i@Szabo`r*;d%VYLNqn5#q$ffhp; zw5RAd1daM%Lv8MZMA13GOX+Y|n72Z^TN%tX^c#XnJ+xsqOgD)dDYJ5rjEsz9MW7oU zJR@aZlml*E$!hPEy^*pwwgaxD#J_ECj&#*0!+~cTg>484J+cd zgt5NO73(p8*%*fPbMza+nmV&%Ijm!?h%v4($3eSs4CZF~4Z)<2>g^m%WJSPa=Ssu5 z+Y@6GwkO8AO7wU@U_3)^3;l+WqdxH+HgazE#5jo-}P1yNkhmfqp|UsdqdP!4%sQ<6WsW2_Q^j(6`fX2s-tYCnM-id*TjP zjHf`mDGcTg`VGOP-t1HcQ)Ev}a22^}&~6%oxr=^7FsavhH-hP0QSNkwc@MO^hrxV_ zenT*+|2bU>^DbAIGoalJ26GSnhG0@ZZ6*iPJtn_j9Fw2ORwg@Bg5}O&C~y>z)?CV= zwL`4TPd%|C=XHC7`CG%`o&_k)V(7j}zaey~BY3ZkuF>K?czPdeafE(DEvR=j+iYQl zeD8;+_p=sn({HH71MuVlvjyJrog&H4!dt#mBq2{Ocr}I1Y@2#|$l*c2;6aAnG5QT* zNBypc7R3H&4he_=@o`A+$axnMHjM8K#3S(9BMij* z^cw;}9id0fep#vqZ5KQVUEwEu4SS*C63t5X#=r`1YIzWre7M8RvhNp{J zi_hpc)PlML-%y{_THj#yY0>N%c=`-$afW_FEvO0ntl7e%*+zJ}k+t}penTy&`T3mP zf~VQNk}OG17VhN_C|I8BCV;z%^?9CtLw!>7bhFu~HLmC3>GQ0`pY$7QL2bq@W(#Xv zTjA+e*5U&FhFVZdZ=2ZyFJZGKvVfPc*@>v1eCR2kVet?BhOnTv;R_}f7Tzzy(-&Eb zOY|FRLG8WmW(z0Y;!HIs-u;EM7%QsT5_is0R0V)j0mZktLcbw=sV%v~#Mc_(PI$VL zweZkys0FnYcbP35BMd7K3K4emYDH8z#lQA8!LepHt^j5U^fGvLBAp3)Lz|#fX7sD@;vAS z&NR!*(DG#lRiob!)K}oiD<%)XRL~3Pz&Q894|`doO!^Hq+6PbeAygof78geHpu$L0 z85A>XNdfTv0AN1@UYveIzz@Ka0|r0O)J=zzIPL9rrKI)5> zQObnNWWi_GG6TyDhR?^#Y%a6a7cF~r*|udn_@d=Tm%F>%4ES7MZb!L7U$p$G@_(1V zAQUGY0#w9*ZgMpqgOpSvo( zS?MkK{Ib%SO6PphtNd4$zN##Io~@izIn@`fT(WZY%C&saDtA`7zsf`K`EivWtNh}N zR`pbkR4oRdo2u@qy4M%YP0J;@rF_v^HEK1l)e1iIYaOWdsxMl5MC~cH?}pDOYCm6l z8+`sy`>)#n_@Z^RI_2sBUUeGPxu#BMU$pMvx_8vQ%NMPmUcW^B((qZQe(U<};Pc-4 zi|a4*MH|#>(5^v8U$kMUVcCWie9=bUMp=z=e9^`qH9pn&XJ0h0Mqcwg=r?ad-t4>w z;q#@uBY8)C(I%cIktTp=la5XLHMtQ!A8)d`3DB|0S53|~0s1s;(6mF-PVo6q(-lph zfX{cDe$(`OU$oiP&Du5tIybw!+1zFee9;!uTg-2<$QNyUsO|f0KZ4Jn+g@s?`l9VC zw6E8`p)cCuc!#e#eCvyLysBfvj(NUl=gyr6biNrrS9RXfIo}uU@m!DHJznuedu8>i z)T;`7-r8$ouPN|(qSx2GfFHfD>piIV5coXY`;*?E`=Wh5=<`FLAAQljzP_dUmhnZe zpLYGD*U$Gw2R%EeaL^uKbm;n_JB9+^hrKxL(6HBi(Geev_+bRRKkCA0-{_z(I;Py1 zx?}45q7&Os>^rePe11Lg_lbY_qLZpl%A3>-KBrB3bP~Xubac`elfL#vCzqdm_2dTd zdFSN&Cj*}+zdre+$)EV5Q%X*$J_YzcW$ct0Q|^V&f+?>}c@sYWnHrd?`Jz+1O}%;Q zE%3Q!>Wfo%z~`B1-f1bm=(G~ks!gj2pF^kJHEl9{ZlCt*v^U`M(`mm9<*EFSrAzO{8}(>!OR8s!RN{an-*+=&jSnIUGRY~y729V zpD+B%7hRmOxa8s}eAZpuW^sG??7w)_;xX{KW%1s{2Yk^bNlUVq6o=1QOO`EJ;fpRU zyR`n&M)0|1>A|J1!RNP2FD$+2i!Qr&+45y8ebMEC<>i-Gg3lStmn>iIi#~SeV{;yZ zdHC2bE4(WJuN6&JT(_bpd_KS8z=~IW(Ur4Tu2>23vhtmkKdc10KVI(f29Gy}&%Te3 zetax^o_iwWiGVNq^nj(q6{))8NHed_vB>p>3IH(uX$eRudgx&F8HXMNEP zfeqz10NxvBZ&J& z{&Dj!zUcEKp1pCGTV;@qTK)gdhX0Kci)-0_q^}S?#_mTRSvc|*c$Dk zgWC@7G>09!ap<2zx6NUP%N%ZSxUo6xNYIh|M+%{R>B!Y1ADhFDW;E;j$S-^ z*&KGP%&`W?(C^snW9yG?M0?}dKgaO;H>xmI3BF$kZ#YyYQ z%xGJk?0XV*`Q(g~Yfi35d;H{uldqe@VnSjH#uPzYH>N{OXSA=yT#vzc#oUSwj)i|? z*To);J%aX9>}RnU_c$46i^Dv|b&4ArHv;YDxG&ceii?IOGYSCRT0>?}T~q3as$Z6L5F_5_co7icmy; zED^oTIT6$xc3XHo-k^3TnhV?(T}0N$dAyFt{MZ9K9Jl4P$o{yG+kWg3UL2VIB1dxU z;J%$`J4CMJ+KGKfbWgJG#9>yXo5+)#=i_)z$-X0Zo{8mOFOxaJ4;@8#a-W*x>7~fd z?w`8+DRF*|=a^zUynia?Qc*CaPSt+82{lCqi^3_nSrkp_o!bw9H7Hef?qF~+ zK@?Ao^LHGBR677O&m6VP`-oT2NiXj>uh4h#KisC4;|ec#bwtV38Xd#%l$Shj#<9|3zl&g}WLRuL&M~kJYgYvjDejL0+w^b6A($c6oj$E2MFvnYm2BJz@ z8#l+XOOpp@FLZa_KCKni(&7+04r7`gYO-aGE~I{}^R+frN|BTv016 zkFw)Pr}+c@kI}yKjwD>vN$aESIO6HzVE<#(?|6KYh)55k?l|)4=79fW^zV4SwWyz7 zM&EG;($zsb-q__34b#(jJC1p}J8<0%VQ$ely$!wN@Tbdzx4$FAJ^7v6@{dH*^f(KS zGm&mj;Qm6?JiX3?<6NZcleoV?$>ySEdY%%;X-W4da{ttbj-qvXpBl&M$q*-Ve>H2H z5$4Bnjxx*%=~8KwUBqlyPH%@fPh$m=2Nj}b!VNcNOj$?gG@jW6Oiv@n;x>t0|sB`K#uNnFz?H3ZC z1qoAHSoFxqQ|dUa8U92a9+qI*#(DWq!T%B;mZ&LuX7qV>oa-mzWbLVZr#j~ly`O|B zcAVxX=7jB^+9qC+c`eQ%`aUVM?KtC4)JfalIV8@y?+{+GWiHY0Nt$@a$$#Qb+{ul& zwq6W)(mdekgD3LjHT(BTb96Hoj=IQrrZG2%)0g`+prVBiNrj{dkQMk#+J{$|Sl`zJLZcYZ`>zv+}0#As!b zdq1qR8$}I@!>b7P zH8?u^7+PqevQSbBIoe1Kkb#X1tu$F#$=FJcc2a}n#7+j5sv@Q;OF6fcqpj3H{fDhM zPzi73@7{AySHAjhUpab94c7nhmSO3dsVw&YE#_!5HDC{5v!tbLw({A7`OML4YS13g zYX+sQftaf-_u!Uuw4E9_7i`CY^W-O`_*;}ve3|dtDd8j28e*O@plb$nG@%+i7fr~) zbNtA@tyrM^=eqwKJ*WooAw2jW1#yuw|d5Evg#KM@VuGB>%HN?SdcItWf5BbaOfyR1N53FenGq;n6)=RIE}y zeLOyO^r{-v$K+MLU$=@i%CC>lua2Ho1N#^~%Yn5&m3HUXHjTtOW!=YXT}S(>!F|m3 z<>1U>3FRMXLCNJy!?CCBx zD?cZnpB+7|209r%t@E|)Dz++LC$Fy^y{!g2nZ3=y*1a*TFSaX-C%?rVZLS791#E6$ z^&VoUvUeX{_4K$BMzx7NxdwwXG+2o$ELjEh?|Erkc1~t@S9!y^=-{VM5#PU8+qcGD{4~GNUm^F z?tkZq+hitA7I8u)PTENv$K+8HlZKM#KN2WLB~aQ*Ajc$9lat1h$Y~;li8z%=X)lo+ zlSxfb8cZf<31!MAPOF4Un+fHZRBDpaXi{;K-1#lS-H+qMQgK$LRN74`$Fx!tm4?$Q zF|`uEue#G1t_jrITDsns2YFd`mz1=9qJ8;?hyhCC$4FD(}))-Z|!;n!I$Ddr9-}lFGmI zmw%2qs3tHS=3rtT;<3X!pIbH%msK97&pdR@MKy`(G#B;x*igKw@-hA9qhn60iA={i z$%(Z8ZKk{bl>FN&HPd%$I;N+Z%ygcf1}R!aysJ_){imp7nyLxS0BOnzz5m<%_f?)| zj68MBRW+#@Bvd2Y}3gg@Xe z28o+$;-8#}zi;w2FY&FK{3mPj?=yhtDZW<*c=8NzzX@`SAC(E7OcU6Rz<)bLncxYz z@8_iJ|8w*59m0QeDe?2YpH)3bIz;**%gF1}%N)j_2=e(r!FLZ^e;8> zt11moc4?qPSRN8SK9Hrw@5&I$5cin^PwD-sOrcC+zn0i2b?ir?_O!%dT`qV_3=0Qwz zTbPw${@*~U?TN|c_^xP?G^q=dsxc3m8uu{M;Yfqjl+KT%+pKyDf1}vxMH6B z4fLf9PzF*4a@9a~6Y+Lg83-l$VFvI?e@((CIs6k0nwQy@@aax}8K|m}GSkB*2Jeel zk(7y4MSAFpoU|%cm%*wksjBp_Rf(^&S0-g7Rhb^TGSNs5)d|mk@^SKB(yFSHs!oqm zog6EaGLx!Mk5r+ItJEtpld4jxNfj}y|JWkKk^N{-kkS8>6Am&FIB(v7I_@&cOPu==pb_`lPQxu z4pSM$uzwz}Or|cDkNsk4H=H;B{TTd-NuBaT`*4{@l{Z!1>`qCJ)?VV2(Nu{`wh~9< zn)C(kPRUFBYga8~xTZz)yuTIIiZm)dGc&hTHSmmRkHT$hzxGbuQo+^6DS>%jj+Y6sEpDKJQTKH&2 zHx|D;H+}tNaaI3R{WGdj$<6FFP#I9wz!a~6G`GV|U`bU3RS`_?g6Ay0y$~uBszR75 zg^Lt9+%@HhY;=hE!!T)ypJJ@9=qJ1yv+fkxZ#V`2Y4} zFO)ad8mfq@ zBAU_#@#BiLv;ST5iW;)9GOsdkYMSw3_I=O5ugj*& zz{$El+Bfim5Ec^kPo~6dq$3sEtQd#kssDbY2kJE%sfN3R%TXaP79`d zlw-JO=s~irGPE-EqnIhJy!M`{BV>DJYGvxQV$4T9j(f&#E;}e=D`P*Zq0-Xp@0mM7 zc2ee6=1xoId>qH(Xz(t|;L6~S!>4#$A)+tM6{gCXlRnO4x@Y(Z*;^T28UAsaE3L-5YkFB<_En}=rcbLzecZ=& z*Z6qkwVyJ+GXCQ>SXz#E&-|~;0m}T!{At;&$#HD=5@4qsq!K_SKysKYt;f8V1QBwG zN&=MxY2C2Nb$s^{VWAwR5#J!gcgX9R63@RDYgK3lV81E%SgdC+3LM23U znk~J=zLyluaK~lnW>1`j5Nita_iAs|6X5f@M-g}9%NlsOXq7o&gjF%qwb1zxK<#d%SDp}H_ ziBs~JnJ~A7StUzK4!YV``YcSEm+ufSbAj6;T+UP%m-IP@D)&-$-s~3`l`!hxE=d>v zc1da%2sd72Oy-2^%py5kU1w6ZUZwW*tNlOxeBXQbUqH@PiSzISsZ99fjh+2QO(l-H zQByZ+E_fi}hRwHB!VOzlIZs`8p1j(ru9uHC=k{xlN*)*Ljxyb&nJ&YOA3rd_^#`9B zcwgex$81Zu7A=tr)U`-iB*WM|1xw?-4-z8eB9%lciBu9joCoeDQn*~A66xUvQJGJT z8$Doum!uLY1#bmZ@@2f_b9jztnYt)ty!ll*o3e9izc8s}QWqxm)zKq*!14K?rgDY4 zKBa6eQ`e4+Io|ebluD>atWPRIGG>CfIl+8 zQ;*35?stAyap$KMqvaZP$ucfKsw}HbjNj zrdXwI>dvYC+NF|9UAxpF-CM84a)Y{rrEa-Xmz#_^{=AGeyz?iDHmW4cn3JrM zH}&V$e!r-a>@nXfD08R2xzoxVI6SAjSzXMOFVc!HGvaaBFK8;!)CEm_0FsOzaQra8 zsobirYwEg|5w9m{>74A>HkEA2Sl80hgsWpoy`t)Ped{f^tLt0pO@&H>Cui&(Kl$IO zlJ3cwc$MU-JJDCu{ue7d(}CDOBuKf<0LY z=4`(2|72y4y6!1kIJ1i4$>R;jPg(Y<1WcYmR_0aX`d=Qf-+-zFR5zgN6PHx*fWxOR z2h>GS73=>h)@obw`NMu8R7sc$MNk<#`HY>O#@6vunbGo)x*jT9q$m4kv}3hj6ICLr zYodB{n2H{7ye@{zBkH=Su8SG%T9e++$9`>8$(V|DF};~a9dGg!ZrvY6+ANQ$OJwql zv~sW-S64h>zf7uxRF_Hh7BUTZ;QqIgQR+IW>bWcRT=h*pzu2#pDk;-Iom56oKBK3n zvDLk`jF%_WB~v*gJvlg|AFKVcsS;CNHq~3qG~@v{FYilU0nrJ6Lc5uaQP)j%-OT9M zqV#rt_G_m~&NNgv)0>IZ@g`4Ucf5YqmvQR)nLNX+?5oE0Q9QtFXyU&UQ$U_pNvh2B zC}vWxO+NqFZ+2CZs+(Q)K~Wm@K*IA0cRw^btFEWXR}a;-Mvd*Gdcb~7Rf(EL>*=FT zuzG#QC=za7CUfGKF@KAiSyl*PuBcrULih-qFo|$s7A4Wv7NbN%w4Fty=!rH`j27e3 zE)-+LDzq<%vEn7P?}+i5yD(`cv=+2^&=x{l1#NA#ZP9i{I|OYc+PP>Kquq-31+;Nu zf}6K6xn&g--Fl!MDJHocKpQWnx`zsrdl50seG=LwVut%6wCBZ4_b<@?DQ0=p5+;u} zVz$S8v>U}7kDF+3iMgI-g~_wNc+PVP+KpnK=No9>74yAv36ocGvB0Y<+MZ&e*H*NL z#3HZH(0(NrdlwWY?{Z>^cOSF^#8U4)Xitb`-ru18Q7kuMTujx(3e#Y;Bg9J65wvH; zD$_4${}iizaK1h*#2TNeXlIGFK5wJ_RID?H2$MNftT(qu+goffuSB~}Ja2v#?MGsx zZzf^#EhIMiwnN)bZ1z2l_Pp5Q`#aiy#8$s@!sORLZ1bCgcBRIjW`~(8SMcP z74!+(8zMS5w=e~l5hsE%KEYGP$>0lUKNK+`zQPm|C}Kkzq3t5#LRO>QBTj{UiS{>f z#@bJuwSFv2*3ZQ`nWFW1*Au4P z9mIFJ=b?RGe4qO=+Rwxfc@7Ixo)^WBdDn=a!dr-+!=D$X@I&I4e3*xP--=)J#|cyZ zH^grRgfJBd7QYubjrJ|^N1=CwsnA#A&%*Fc;X>lC!pP6Uk>c;d+t5ade+u6~`>Xi3 z@W0~UBHxHxMgJE67K2BM)fBgjA%}}Cl%m+HXg`v&IOe)|eyJ7jhjy@ZD}nJUQD3^3 zXpFY2^eFKf+H2CYB*wesYUx#~z4R^}D7{Ko6{gb7rKvQ$Q+k~=mp&(bOJBnBC(`e! zABE|u+cKcspVCskw=k9OF9XZ3M|(g9mH!m&k20jfYtmXVhcH#lD{U3w`-=T!rivTT z9+a6YBEKvCDMKq26{bp+WR^I4?F%xzD(0%{Uou~{!opOogv?(J=Ur{I zEKn^5?HO6H+P}h7-69KB$N5!nE(=$mh<33oQe%-US|b+im$GQhVX|1wH__gb#cH(? zrdoYv@!ETYsrCt3BEl+65m{u(h!$vj%2M^#3RAs(vUI(xXn&AT)ejS<`XyzV`a{r8 zlx6GhM|(t;tB>4j;3msAcnWO=S)svbv@>PJ2B-xMVq~QTH__gbl^YfjriRsIm4*Y* zPLfp{BBvXkmem@$OI_*0p5)*1OTh$_8!n z2~(R2vSFL4Xcx&wZO)*5Q8sQnOqklvkWJdc(`{drP20YT_9NM>T^3<#S5!7{*9YxL z*`i%E+Bn&=-QU90-e0zAUm0yv*}6S^*?ysH^E7hh=?=2()3ed8mF=E>1?^SYzQZzM z>aasT-5KZKxti?Q8Ry@5lI+wO=im9X?A#gW-$j#Mx>P~iOm^)u7wvl4tqc6y<(ll? zEkgF_oj!2>SKK?80H)4)JE zWZ<82=%7F3kip@?G`PGRHn<H|3; z(qEV&v&o5(gXE;hGjj51tDG{rkDNOCgq$|UUrrx`JQ>qV&KR>A?H)OE%yqQi%UNS< z%GqNvu47lrIb$!Py&~t1Yb#9SI?Ct9EkwIn&Kr+|*^p1u+7HhFaVd9?4#=o#?(jHl#@89n968Czt` zj8A3k%-r(S%x*G%=JWF0%xm(MS*7KxvxdsoW*v|hW_!qsv)ju{v-il?=SX>ZPIdXl zoTc*3IbX`R=H{1g&mAk@ox4oSHuozn`*Q`fu;<2UIi7o6%Q-KvmTO)QE%&@lTAq2= zwY>9lYT@&TYx(AXqvc=lj4&SNR^WbN#YL^`irT6!rYOD>_YOeiOtF_)utG)g$t59ITK^+H+JGacw1G!Mv_VG?XoHUx)rK6KtPMSOO&fN+v^MmOFGi zSShgZ&_!US!SZG~1ojkI{w(Xj%7Ep|)(osHSix)+!ODRZ$lf2UJXqoEZNMsk6$+aN zRuQad*eI|{U`29-fK>)79(D_?3Rtlm-NCAYmCVrytQuH}9Q(nlgO$#)8mtCbshkmD zHNnc{ED2T%?5SLnz-oh)%k?Z+9k8;wqQUBdRmimkECQ^2?pt8>z$)eb60AO0#XQZy z8h};FQwgjgSmivwfi(iFmgi%z#$Z+R<^^j4RwJ)JSW~d-d5?oN1FMyH6IgSwnt8tf zYXMd#@9SVK!D@#O0&4{p5#AoGHCWy73t(-)>W3c#YYSE{{8zAcU=72sfwc!~kS{0L z(_oGB`G9o*Yn0y$tRq;{d_RJ90&9}LI9O+}=J`Xxx_~t+FdM8ZSjz&#z`B98DDWLv zcd*t4-UI6a)~cWl>>04O1qE17ur>uxf%O7wUvL*#Z?JZSvVrvh>rluOtS{Kp#cO~) z3)ZQ4VX%H+9gCj_>krnY_i z6s%{7FTjR@JyVinKOC%gNsj#puwEq_fsF*~Te2+JD6l@IMuJ6x^()mCY&6)jWwL{f z0UJ=p3v4V{|1x#J#(@ngQygqO*uXL?z$SnVDKi;tBG}-v^}r^94J%s;Y%HoNjuU@O38RbB_S5^QeenP98H=2X4`wi;|+ z<;!4ez@DqZ99#>wpbB$v9oYOTi@?@{EvhmWYy;TBYD>VL2U}8YJlICC#kJoD+XS|( z_F1saU`y*ruq|LKYTpFg3bwp%Ik0VDtLo+g+YYue;&ZSaU~3{Sf$aoaU9Te8F0gg= z@`CLKTid8B*b87A8Z`jh1Gc`=L9o4G8yl?!+Xwc1i#V|TV4GX)1Umq>sZ{~6gJ4@* z1%Vv`+tO+b*kQ2kt)2lp0=BIc^ZY2-&Q{FxV_-X4KLd6gYU{PSZT3-W;2HVs6 zWv~-qFSNM{b`oq~o43JY!1lJy0Tv5(psfik4s3tBg5}JlL_GtaazWj`n^D>^xXh?}K13f*tS6{k{ZtqA&ORGFWtff3R1;V*337 z_A1!Pfn~s61B)A&1MC7=?5L-~E`psNRU7OQ*r`#!gS`%RcGPvS%V1|BtAM=$b}lkM z*qdPSkvxyLz+Q~xdAtpFKJrtrcfekbya4tt*h{0!g1ra!>gb$c?}NQEnl^j&RV_pIK1nliG zhrvDtduyx(>@%=;$NUBMIoLa6dw_ib_Wsz$U^l?t8+#1wORx{eZUp-Z?1OQhU|)k> z9s2{=O|UEDih+Ftc5Pf{uy4UW8aEp3JFx5HdVqZo_VKuv!F~Yyblf4ZAHhBu_aoR( zV4sis5bS5L&&HPo`vvUA_^e>Rf_*W6KG<(yU(JsM`yK4d1w58Nz;4dxvHS`4^&-}d zzrem-#Jce}*f)!&f&Bya{i1)OV%LNv+ z`aD=}Fzf1FV0pko)~o}|3zlikWUz2B+nSqT`M^TgTms7vmU(RjumWIN*JcMR2$p5- zAh1GU+1IuND-4!xogY{cupDcD1S<*_wrK=dF|b^l+JhAb%eiSgSP8H^o92R*1k1gd z=TQnQd=t;3G+5rv9OtLN@^9uimjTPS`3zWDu!5Vnft3R*u%!xEd9cD;a)DI)7zU5W0DqzL7hJsZEE4k%guxel>w&eh;4pw@b8(0mnQrnt? z)dVZEtqfQ#u&1{D3|1Sg+_rbY>VTEq&bh1$R$)8mG6Jmpc8+sBuu9uG&h^16ZodT9 z0IbUPLtqWTD({E@YXnwpM`5tWU{!Z418V|SW5;N)reM`~@I0D<)!M=HXbx6$=L)bE zV0Ctm1#1abdsi*6R$vjk@`JSotGkOHY6Dh(7d_M#tlsV@uy$Y#cdr9$57yuXFR-V< z8t=Xd)&Z>13!i~?1Z(=jOJJSAn(SeIbOvj_hxyS3tl6ILz`BC9-17!lH?S6aCxdkd zYrVH8SP!sPdzl~4fVJJr{OAeRW*^777g+m!9OvF(?e^^h>jTzd-x9FCU{CLN1A7*% z)4m&E{lGfzZwuBRtjqpNU<1H9AD9X@5UksQ-e7~kx*p`Y4F>CRfaf*@toy;%U_-%r z9;^U14D6YMJHdv7^*%TcYy?=ZL$|?3g7rQ0DcC5mK8G8FMS}G^ToP`^H25%TMxGAWHYc0U<*&K2YViD$;l~T8^IQzd>w2P*s_y{ z!8U^}jVS`Q1#Cr(1#BzW@|ezG+rU=E)CAiOwlapk-vPEJhQ8kkwmQ}ZwhL@s%wJ%; z!Pdqe0eb;#L+mQBJz(o&nX7xjHpVhn_kleh$C|SrY;!DY&H=DZaU;MEf^Cg!4|WJ_ zOWaprhrzbTT>v`*w(V3_u%lo*<8Ff;1KV+m-Z&1n`xLzq1-9$dX|QOpJ*T#UodA2` z6zkPVuzjakuVTRVo@Tv@1v_w>^(qc*|LNUer@#)KUI2C)?BMCEU}wONoIVY97VPjD z){=OzV`o@P&Ve0069;x4Eb7c=uouCOpIr#{64;5e!@*t#i$41;*ehT$XD@@j3U)G{ z_3AaSxOmp93t+MF^ua~2)A97dC9qTRZ-c!Kb~Zi=>@wIHAP3hkm#pYZ||E6rMsthx79jku$NE&#!m||If$gH!N|x zkI(O+SMZ8eSoU*62Mab?e0~=eOQ%7z`yy1S!z=R5jDzoYX`c67q|j!xX& z(MQgA^qGD~uQ=J!CFeW3bazMJJKxb4`W?OMWJg~*-_e)$9r-PFzN0U7JCa9@cjPz9 zz9aK02iKV~N7!UBucGVxwmIL?*ZLiubh4uj&Uduo?v9Q+-_bYv9mP1=(SGMU+JARP zFFN1RclsU0I@!@F=Q}!ecSm13-_Z~H9i4Krqsz{BbouU%{&v2jpY%J5ce10OobTu- z`;Pp5obTut{f?Ye27eEyJHn=QQ#^`p9Qpi(K4k zfg@LU8d=jvPORk*9J!9u$nN^ciN*VY zBlmY2*;60+ifc1C!f9l0edMdIMV{a^vX4IUN3KPl=`^yhKJqo!A}@9t*d)V0WaoJJ1ONB+#E$ibDIMz-l9OS5Z{+c}M#SsyvjwaDF_ zM$V#-9OPQ$H-q0YuKZcD=_40)E%N(LBZuiD7jrH0mrf(+)JHDiQsj`$PRBX7KJqZv zB0KkUUVY@mcUnp6vy;05YkjAqkxw6ap-abXZSOR40e$2pu0`(cbes$6Bd>NX@(`zy zi|8Y-aV_#Br;&^4Bd>QY@_wh0OXwpfz8`vEZ=7%%xs*O~;v1j`j(o~#!~Z8o9haa^icM2abHnY2=Ff$cb-a9ysz_P9s;=M|N_T>#T0P z?=*5%ePk#1qRt|J;xuw~ePk#1qRt}Ua2mO$KJsg><={6?BiGhPzTjHqU!6v-tB-uq zwaB-eMy{uie95KAHZP}<8|Wjy?pkDv)5wkVkuSRz+3GZM6Mf`2T#Fp$G;%Y27SLas{W6+vp-|*<6cU(`n>(`pDT`iyYxJ^3(drVXj4P z;WTnbedHXjMeg7^~&xm}Aq&}rmn^pW$p7I~P{ z$i4KD^STx}(rM&A`pDs~MV{(3^0WHL`CN-U-)ZFj`pEfRi@d^VLV9%E%F~u-w#IWBbRk4awg$4 z@)&*Oa;`=8avFJ@K5}{2BKtaxJV771f@_h3oJO9ck6h8U$n~6hV~RdPcY4gz z^pWek7CF{wMkfVW%4A`2$wRiiiz0+@>0gDQZzS}SQPQN$<7H_~_ zHeeSG*qa9Xeb0bhHF)jk2K{at^!wSM-ya73ZYAv(nL)o*2L09>^xI_6Z@WRi-3I;k8}vJzv|n)5r2T?x8uaU6 z(65U@zn%vD`s(}1*x;c_>qzhz12)-!%`#vM4A^o5w$^}cHefpq*kJ<}t7qO_h*k1@e0X08$z#wjzd^sk2K|Z~ z^ebb~uYy6p4oP!5WLr`Xh3qnTt%1iw3_KnZZ7?pe2FG{CU?1lV`n_V%@1jA!Hw^l{ zW64YQX+Z%B<3WdFlNuW37G$EZBf$F^HGTfEnDASc@9O zD{sK+>Y4WyYonw&W9?|bdM5Rn_1UCevkuhH2lg@CpkJgxzX=BWm}>CanFg<2oz$n+ z4F>VH7_glNY_9=3q(2s%Ta-b+Sc86N4cJQt?1BM%!+^bOz^>?-d9U?jgR%Nt-w)^U zwE_EH&k*ltgLr=!^!q1iKbuV2&*ov!&&Qyjzd^rXeLwFjw#<5F-fPQd@Y-Ak{lX3U z71H;^K8ouZ_EB2*THJ%#%H4ktW~*%Qy6U>u;a<&FN8b;ufu3QXO$=V!+Mr*1gMOV2 z`gJ$x*IVBY$I{<`4KZLN4cJ&c!+A_J*zXL3esc`^Ei&l0%%I{ z9)o@cpM}_t8uW`ch!>}Se}{27Ym2wve_$!$eW2}K+k1D}dx`fXwkrnx{!UuMGr1Ws z9|IO(z^n$$;Jzo5!F^ArhDpyYQ`4l!oT-(;K2963^Li#@GaFocGaFocGh35hQ!{6E zWLXx9-@LDzg7InB0eq76s`KJ0H1$c40tQxgMg0%ZUlTA@Uz9&lEsqWQqB@#X=@p7nSuBB zivrgK?hA|xj0=npd^zx9;G2Q(1zrvOJn&}V&w+mg-U@OH@(l_O3Jb~|R4}M$P^qBu zK~;ll1=Yj5y52#9f`$b}28|1v95g*>PSE_IWkIWg)(340+8(q!Xn)Y*;HtqjgF6Iw z3GNx(H+X39nBd95vw{}{FArWDyg7Jh@ZsRt;Pb)n244xj8T?o9?GX2nEFn2?2b({n za7gixG9eX0I)rQs*%h)s(|!rtv_4;u>NC{HV>PR&EFPm%WTVL%Vi6<6|xn#m9~|$ zRkl^P)v-0OHL zMcd+#Kkp(p{?6o<$tP1lCTpfVneu09n5k)|R+&y`I-l7qvtMRw=B!!P3z5kxh5I_c zR_1}y+B+z#^y}!^N&0o+DxNQsem%L`m#cUvO!^Jv>JqNvNiOL((mYf0M=sKDAvRc+ zVS^1{eo4!6_ABihE&0rz^sCJ!JYy$)BhV!nPmfC9dg$U?f~$B;Rr=QEYD2E#2~g?V zh^qs9n@QhZl!=r`%67^kh|kYHzexVBOPT}CL6SeblIDiytdc(-!1JtJ!VeUr`7DC+ zL3e46#^IXrb0%p%h4AK;+<<56rFjN7;0IOGybK#G7jU?l@R7N+9QS=uTB6C0k>TqK zX*tRzd?g|+hp=SBS4Gm?%Cm_yKVxny{mvt(6%Tevzc>yKe#RpG&LV){4Q{}5S<>%y zZotn@q~B-U@E14Wu`22JBRAk@BGT`7Z16X61D=YK=AH=e?~bkfZHM&t>0h2*DsdIh=t%#nST*;;;riDg!_zg=zX7{6#ws6) zk^U{X+M26)jz#)+YN5QIzwL0AET?N^?qQh`A3AD4-i&8_=E7lhTLM4|5qXkTQfa9Afdp1{)sGkQNWD zn)_nE77O-fv2p{RuaFjwkcFdRi{NS)Usjl1N|E7l25BiqR*9@886HHC=4TnIDmOIb zh8Em_=Ln>w36?A!xQZ|TrDYJ8@MXQU^y7v|uHqYZX_?F=d?PL`<9TTP7>@&Cna2(I zLR(tqb9E6{@h!BpEavK3uHp+`X<5hB=ede+Wu;{!SGRB#U!_WOf5zKNwgcg9_!3lF zc3O5x%O1;KY1z-E!?Y;AX_S^DT*5bl(h`HtneeTcw47m=bKHQhvZQ$cBJ*c4(()1+ zzJ!vNi%2lbCCVFq?$UA%Vs4H7n#W?l<_UZSe(oa8Q@MJ98?KV!M<3FB5k58Jw|vt4 z0k?j~4fxH5G~eI`{Ps?oZ*oH$Ot<+DOuVl<0{D8cUw`!T4Zv345U!5ks+FsmxjKQX zpk{Jby$e!i3dN)YFxJ3{$cxtbXV z>6ev~m#g_HCAiv>UHVXlU>|-%v1IPS)nSz3lo6D5l&u&N>j?~r-+I;|{G?F&ZQ{<4 za08x#m45rU0Y3qheh0asCH8^<`3tTl3@j(vFBgxc5RWB{;)YeQW*o^(gh(xdEjir4gkur3s}er5VNM86jkI9$yPeOG+zBYf2l2YRjc| zl=hUTDIF*sDV-pq8l?tTJ5##wWnH<{4dOG;vx$)1x!Qx$hw==iC#4sqH>EG-SxP@j zf64&LK*k$H8O+rol%bSil;M;S+&Yp=qbQM-(UdWiv6OM_GM-BlC=)4@D3iHi3S}x+ zr%|SJbq1Gaa+qduX*OjJWiI78ZkR`zPgy`&NLfT#Oj$x%%FfFu%PA`;D=Diet0`+J zYuR}nWj$pB<$20R$|lNY$`*FsO4&x)PT4`(N!dl&O?d(0S(o#>hbOa_Y##&c=h6Yn zLCPV@VagH8QHmQqi9gdTYc*VSw|L`Fz<-$_~mYvQ?DlxVn?F zhq8;Zo3fX(hFwN*X+LEjWgBH3H#|?-NI6KhmP-e?w4O_cxwM_KiL#Zlf${?75M?&| zt)|SPM1Xnk@ZJeA`3X_Qdn?4}D}g^fj?V3QMr|l_*?A6SI#;JsI&$ovrgUQG4wP1u z#gx{RC6tAf6?m=ZMbAsZ^D_Qey0;g_n_{B)c$2@%6$L4UD1|9SC`Bp7 zD8(ry*tsO76s0ufDM}eiSxPxddG5Rdr6Q#gr81=oqg3TmHA;0#4N6T)ElO=l9ZFqx zj^I)~N_|QLN>fThN+U{RN)t*mN^?pJN=r&BN^6E{Lut#^c9iy%rzssM9l5m=mpW6r zP`XmOQMyxlu*)-C>PhKE=}qaw4Sgxkakrp0a`RJY^$9yn6>vCgm;4%am)Bk13y1K4s?{lnY$_igJna3)vf#FDP$Qexkff zd5K+4QhuZyBa5ZPaP>3FMTk5hPYTT+;#QkdpVEZVoYIQYmhv>E3#B^+e>+)d!CdM? z=|>qz8A2IO8ATaG8Bdu)nGVrxT$)9hOPNnuL|IN*MOjCAp0b&;4Web`(oV_?lzo(g zlp~bm6rPM*EafyM9-@VD=|##blna#CDQ{BVp}bGILb*oy1fu2P(&v;fDK{zKQ+}fS zO8JBGH{}+@T|%^cTym#)QG6(V6bpp|=FZc152a+It)%p+S&SwUG%;gq>^%G^0+?mH;EDf=N>!qIc0+&NM1F_beD&Wt-} z#+@_c&Y5xN%(%Y?(JFH3Dut8c&iQcvn!>4Y|Aq29{QV|~8^sf%@q9f^6n{z}g(K-1 zO5sR)=Ah)Jgi{JY<+W3x!tJ z{-NB4xM>s*iVr1#5=5bkH8Ynu0dBOi8?EeCkW!4o!FJj_o@H@