diff --git a/el2_ifu_compress_ctl.anno.json b/el2_ifu_compress_ctl.anno.json index c98106a5..61e5f096 100644 --- a/el2_ifu_compress_ctl.anno.json +++ b/el2_ifu_compress_ctl.anno.json @@ -1,7 +1,35 @@ [ { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout_rs1", + "sources":[ + "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout_rs3", + "sources":[ + "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout_rs2", + "sources":[ + "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout_bits", + "sources":[ + "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_dout_rd", "sources":[ "~el2_ifu_compress_ctl|el2_ifu_compress_ctl>io_din" ] diff --git a/el2_ifu_compress_ctl.fir b/el2_ifu_compress_ctl.fir index 93f67165..2cf00dff 100644 --- a/el2_ifu_compress_ctl.fir +++ b/el2_ifu_compress_ctl.fir @@ -3,7 +3,7 @@ circuit el2_ifu_compress_ctl : module el2_ifu_compress_ctl : input clock : Clock input reset : UInt<1> - output io : {flip din : UInt<32>, dout : UInt<32>} + output io : {flip din : UInt<32>, dout : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>}} node _T = bits(io.din, 1, 0) @[el2_ifu_compress_ctl.scala 401:21] node _T_1 = neq(_T, UInt<2>("h03")) @[el2_ifu_compress_ctl.scala 401:27] @@ -1256,6 +1256,1258 @@ circuit el2_ifu_compress_ctl : node _T_896 = bits(io.din, 1, 0) @[el2_ifu_compress_ctl.scala 355:12] node _T_897 = bits(io.din, 15, 13) @[el2_ifu_compress_ctl.scala 355:20] node _T_898 = cat(_T_896, _T_897) @[Cat.scala 29:58] - node _T_899 = mux(_T_1, UInt<1>("h00"), _T_895[_T_898].bits) @[el2_ifu_compress_ctl.scala 404:19] - io.dout <= _T_899 @[el2_ifu_compress_ctl.scala 404:13] + node _T_899 = bits(io.din, 12, 5) @[el2_ifu_compress_ctl.scala 257:22] + node _T_900 = orr(_T_899) @[el2_ifu_compress_ctl.scala 257:29] + node _T_901 = mux(_T_900, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 257:20] + node _T_902 = bits(io.din, 10, 7) @[el2_ifu_compress_ctl.scala 238:26] + node _T_903 = bits(io.din, 12, 11) @[el2_ifu_compress_ctl.scala 238:35] + node _T_904 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 238:45] + node _T_905 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 238:51] + node _T_906 = cat(_T_905, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_907 = cat(_T_902, _T_903) @[Cat.scala 29:58] + node _T_908 = cat(_T_907, _T_904) @[Cat.scala 29:58] + node _T_909 = cat(_T_908, _T_906) @[Cat.scala 29:58] + node _T_910 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_911 = cat(UInt<2>("h01"), _T_910) @[Cat.scala 29:58] + node _T_912 = cat(_T_911, _T_901) @[Cat.scala 29:58] + node _T_913 = cat(_T_909, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_915 = cat(_T_914, _T_912) @[Cat.scala 29:58] + node _T_916 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_917 = cat(UInt<2>("h01"), _T_916) @[Cat.scala 29:58] + node _T_918 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_919 = cat(UInt<2>("h01"), _T_918) @[Cat.scala 29:58] + node _T_920 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_921 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_921.bits <= _T_915 @[el2_ifu_compress_ctl.scala 226:14] + _T_921.rd <= _T_917 @[el2_ifu_compress_ctl.scala 227:12] + _T_921.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_921.rs2 <= _T_919 @[el2_ifu_compress_ctl.scala 229:13] + _T_921.rs3 <= _T_920 @[el2_ifu_compress_ctl.scala 230:13] + node _T_922 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 240:20] + node _T_923 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 240:28] + node _T_924 = cat(_T_922, _T_923) @[Cat.scala 29:58] + node _T_925 = cat(_T_924, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_926 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_927 = cat(UInt<2>("h01"), _T_926) @[Cat.scala 29:58] + node _T_928 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_929 = cat(UInt<2>("h01"), _T_928) @[Cat.scala 29:58] + node _T_930 = cat(_T_929, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_931 = cat(_T_925, _T_927) @[Cat.scala 29:58] + node _T_932 = cat(_T_931, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_930) @[Cat.scala 29:58] + node _T_934 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_935 = cat(UInt<2>("h01"), _T_934) @[Cat.scala 29:58] + node _T_936 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_937 = cat(UInt<2>("h01"), _T_936) @[Cat.scala 29:58] + node _T_938 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_939 = cat(UInt<2>("h01"), _T_938) @[Cat.scala 29:58] + node _T_940 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_941 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_941.bits <= _T_933 @[el2_ifu_compress_ctl.scala 226:14] + _T_941.rd <= _T_935 @[el2_ifu_compress_ctl.scala 227:12] + _T_941.rs1 <= _T_937 @[el2_ifu_compress_ctl.scala 228:13] + _T_941.rs2 <= _T_939 @[el2_ifu_compress_ctl.scala 229:13] + _T_941.rs3 <= _T_940 @[el2_ifu_compress_ctl.scala 230:13] + node _T_942 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_943 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_944 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_945 = cat(_T_944, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_946 = cat(_T_942, _T_943) @[Cat.scala 29:58] + node _T_947 = cat(_T_946, _T_945) @[Cat.scala 29:58] + node _T_948 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_949 = cat(UInt<2>("h01"), _T_948) @[Cat.scala 29:58] + node _T_950 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_951 = cat(UInt<2>("h01"), _T_950) @[Cat.scala 29:58] + node _T_952 = cat(_T_951, UInt<7>("h03")) @[Cat.scala 29:58] + node _T_953 = cat(_T_947, _T_949) @[Cat.scala 29:58] + node _T_954 = cat(_T_953, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_955 = cat(_T_954, _T_952) @[Cat.scala 29:58] + node _T_956 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_957 = cat(UInt<2>("h01"), _T_956) @[Cat.scala 29:58] + node _T_958 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_959 = cat(UInt<2>("h01"), _T_958) @[Cat.scala 29:58] + node _T_960 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_961 = cat(UInt<2>("h01"), _T_960) @[Cat.scala 29:58] + node _T_962 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_963 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_963.bits <= _T_955 @[el2_ifu_compress_ctl.scala 226:14] + _T_963.rd <= _T_957 @[el2_ifu_compress_ctl.scala 227:12] + _T_963.rs1 <= _T_959 @[el2_ifu_compress_ctl.scala 228:13] + _T_963.rs2 <= _T_961 @[el2_ifu_compress_ctl.scala 229:13] + _T_963.rs3 <= _T_962 @[el2_ifu_compress_ctl.scala 230:13] + node _T_964 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_965 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_966 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_967 = cat(_T_966, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_968 = cat(_T_964, _T_965) @[Cat.scala 29:58] + node _T_969 = cat(_T_968, _T_967) @[Cat.scala 29:58] + node _T_970 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_971 = cat(UInt<2>("h01"), _T_970) @[Cat.scala 29:58] + node _T_972 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_973 = cat(UInt<2>("h01"), _T_972) @[Cat.scala 29:58] + node _T_974 = cat(_T_973, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_975 = cat(_T_969, _T_971) @[Cat.scala 29:58] + node _T_976 = cat(_T_975, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_977 = cat(_T_976, _T_974) @[Cat.scala 29:58] + node _T_978 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_979 = cat(UInt<2>("h01"), _T_978) @[Cat.scala 29:58] + node _T_980 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_981 = cat(UInt<2>("h01"), _T_980) @[Cat.scala 29:58] + node _T_982 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_983 = cat(UInt<2>("h01"), _T_982) @[Cat.scala 29:58] + node _T_984 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_985 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_985.bits <= _T_977 @[el2_ifu_compress_ctl.scala 226:14] + _T_985.rd <= _T_979 @[el2_ifu_compress_ctl.scala 227:12] + _T_985.rs1 <= _T_981 @[el2_ifu_compress_ctl.scala 228:13] + _T_985.rs2 <= _T_983 @[el2_ifu_compress_ctl.scala 229:13] + _T_985.rs3 <= _T_984 @[el2_ifu_compress_ctl.scala 230:13] + node _T_986 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_987 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_988 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_989 = cat(_T_988, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_990 = cat(_T_986, _T_987) @[Cat.scala 29:58] + node _T_991 = cat(_T_990, _T_989) @[Cat.scala 29:58] + node _T_992 = shr(_T_991, 5) @[el2_ifu_compress_ctl.scala 267:32] + node _T_993 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_994 = cat(UInt<2>("h01"), _T_993) @[Cat.scala 29:58] + node _T_995 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_996 = cat(UInt<2>("h01"), _T_995) @[Cat.scala 29:58] + node _T_997 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_998 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_999 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_1000 = cat(_T_999, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1001 = cat(_T_997, _T_998) @[Cat.scala 29:58] + node _T_1002 = cat(_T_1001, _T_1000) @[Cat.scala 29:58] + node _T_1003 = bits(_T_1002, 4, 0) @[el2_ifu_compress_ctl.scala 267:65] + node _T_1004 = cat(UInt<3>("h02"), _T_1003) @[Cat.scala 29:58] + node _T_1005 = cat(_T_1004, UInt<7>("h03f")) @[Cat.scala 29:58] + node _T_1006 = cat(_T_992, _T_994) @[Cat.scala 29:58] + node _T_1007 = cat(_T_1006, _T_996) @[Cat.scala 29:58] + node _T_1008 = cat(_T_1007, _T_1005) @[Cat.scala 29:58] + node _T_1009 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1010 = cat(UInt<2>("h01"), _T_1009) @[Cat.scala 29:58] + node _T_1011 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1012 = cat(UInt<2>("h01"), _T_1011) @[Cat.scala 29:58] + node _T_1013 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1014 = cat(UInt<2>("h01"), _T_1013) @[Cat.scala 29:58] + node _T_1015 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1016 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1016.bits <= _T_1008 @[el2_ifu_compress_ctl.scala 226:14] + _T_1016.rd <= _T_1010 @[el2_ifu_compress_ctl.scala 227:12] + _T_1016.rs1 <= _T_1012 @[el2_ifu_compress_ctl.scala 228:13] + _T_1016.rs2 <= _T_1014 @[el2_ifu_compress_ctl.scala 229:13] + _T_1016.rs3 <= _T_1015 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1017 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 240:20] + node _T_1018 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 240:28] + node _T_1019 = cat(_T_1017, _T_1018) @[Cat.scala 29:58] + node _T_1020 = cat(_T_1019, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1021 = shr(_T_1020, 5) @[el2_ifu_compress_ctl.scala 270:30] + node _T_1022 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1023 = cat(UInt<2>("h01"), _T_1022) @[Cat.scala 29:58] + node _T_1024 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1025 = cat(UInt<2>("h01"), _T_1024) @[Cat.scala 29:58] + node _T_1026 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 240:20] + node _T_1027 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 240:28] + node _T_1028 = cat(_T_1026, _T_1027) @[Cat.scala 29:58] + node _T_1029 = cat(_T_1028, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1030 = bits(_T_1029, 4, 0) @[el2_ifu_compress_ctl.scala 270:63] + node _T_1031 = cat(UInt<3>("h03"), _T_1030) @[Cat.scala 29:58] + node _T_1032 = cat(_T_1031, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_1033 = cat(_T_1021, _T_1023) @[Cat.scala 29:58] + node _T_1034 = cat(_T_1033, _T_1025) @[Cat.scala 29:58] + node _T_1035 = cat(_T_1034, _T_1032) @[Cat.scala 29:58] + node _T_1036 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1037 = cat(UInt<2>("h01"), _T_1036) @[Cat.scala 29:58] + node _T_1038 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1039 = cat(UInt<2>("h01"), _T_1038) @[Cat.scala 29:58] + node _T_1040 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1041 = cat(UInt<2>("h01"), _T_1040) @[Cat.scala 29:58] + node _T_1042 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1043 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1043.bits <= _T_1035 @[el2_ifu_compress_ctl.scala 226:14] + _T_1043.rd <= _T_1037 @[el2_ifu_compress_ctl.scala 227:12] + _T_1043.rs1 <= _T_1039 @[el2_ifu_compress_ctl.scala 228:13] + _T_1043.rs2 <= _T_1041 @[el2_ifu_compress_ctl.scala 229:13] + _T_1043.rs3 <= _T_1042 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1044 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_1045 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_1046 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_1047 = cat(_T_1046, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1048 = cat(_T_1044, _T_1045) @[Cat.scala 29:58] + node _T_1049 = cat(_T_1048, _T_1047) @[Cat.scala 29:58] + node _T_1050 = shr(_T_1049, 5) @[el2_ifu_compress_ctl.scala 269:29] + node _T_1051 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1052 = cat(UInt<2>("h01"), _T_1051) @[Cat.scala 29:58] + node _T_1053 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1054 = cat(UInt<2>("h01"), _T_1053) @[Cat.scala 29:58] + node _T_1055 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_1056 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_1057 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_1058 = cat(_T_1057, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1059 = cat(_T_1055, _T_1056) @[Cat.scala 29:58] + node _T_1060 = cat(_T_1059, _T_1058) @[Cat.scala 29:58] + node _T_1061 = bits(_T_1060, 4, 0) @[el2_ifu_compress_ctl.scala 269:62] + node _T_1062 = cat(UInt<3>("h02"), _T_1061) @[Cat.scala 29:58] + node _T_1063 = cat(_T_1062, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_1064 = cat(_T_1050, _T_1052) @[Cat.scala 29:58] + node _T_1065 = cat(_T_1064, _T_1054) @[Cat.scala 29:58] + node _T_1066 = cat(_T_1065, _T_1063) @[Cat.scala 29:58] + node _T_1067 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1068 = cat(UInt<2>("h01"), _T_1067) @[Cat.scala 29:58] + node _T_1069 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1070 = cat(UInt<2>("h01"), _T_1069) @[Cat.scala 29:58] + node _T_1071 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1072 = cat(UInt<2>("h01"), _T_1071) @[Cat.scala 29:58] + node _T_1073 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1074 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1074.bits <= _T_1066 @[el2_ifu_compress_ctl.scala 226:14] + _T_1074.rd <= _T_1068 @[el2_ifu_compress_ctl.scala 227:12] + _T_1074.rs1 <= _T_1070 @[el2_ifu_compress_ctl.scala 228:13] + _T_1074.rs2 <= _T_1072 @[el2_ifu_compress_ctl.scala 229:13] + _T_1074.rs3 <= _T_1073 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1075 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_1076 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_1077 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_1078 = cat(_T_1077, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1079 = cat(_T_1075, _T_1076) @[Cat.scala 29:58] + node _T_1080 = cat(_T_1079, _T_1078) @[Cat.scala 29:58] + node _T_1081 = shr(_T_1080, 5) @[el2_ifu_compress_ctl.scala 272:38] + node _T_1082 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1083 = cat(UInt<2>("h01"), _T_1082) @[Cat.scala 29:58] + node _T_1084 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1085 = cat(UInt<2>("h01"), _T_1084) @[Cat.scala 29:58] + node _T_1086 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 239:20] + node _T_1087 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 239:26] + node _T_1088 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 239:36] + node _T_1089 = cat(_T_1088, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1090 = cat(_T_1086, _T_1087) @[Cat.scala 29:58] + node _T_1091 = cat(_T_1090, _T_1089) @[Cat.scala 29:58] + node _T_1092 = bits(_T_1091, 4, 0) @[el2_ifu_compress_ctl.scala 272:71] + node _T_1093 = cat(UInt<3>("h02"), _T_1092) @[Cat.scala 29:58] + node _T_1094 = cat(_T_1093, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_1095 = cat(_T_1081, _T_1083) @[Cat.scala 29:58] + node _T_1096 = cat(_T_1095, _T_1085) @[Cat.scala 29:58] + node _T_1097 = cat(_T_1096, _T_1094) @[Cat.scala 29:58] + node _T_1098 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1099 = cat(UInt<2>("h01"), _T_1098) @[Cat.scala 29:58] + node _T_1100 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1101 = cat(UInt<2>("h01"), _T_1100) @[Cat.scala 29:58] + node _T_1102 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1103 = cat(UInt<2>("h01"), _T_1102) @[Cat.scala 29:58] + node _T_1104 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1105 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1105.bits <= _T_1097 @[el2_ifu_compress_ctl.scala 226:14] + _T_1105.rd <= _T_1099 @[el2_ifu_compress_ctl.scala 227:12] + _T_1105.rs1 <= _T_1101 @[el2_ifu_compress_ctl.scala 228:13] + _T_1105.rs2 <= _T_1103 @[el2_ifu_compress_ctl.scala 229:13] + _T_1105.rs3 <= _T_1104 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1106 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_1107 = bits(_T_1106, 0, 0) @[Bitwise.scala 72:15] + node _T_1108 = mux(_T_1107, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1109 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_1110 = cat(_T_1108, _T_1109) @[Cat.scala 29:58] + node _T_1111 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1112 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1113 = cat(_T_1112, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_1114 = cat(_T_1110, _T_1111) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1113) @[Cat.scala 29:58] + node _T_1117 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1118 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1119 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1120 = cat(UInt<2>("h01"), _T_1119) @[Cat.scala 29:58] + node _T_1121 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1122 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1122.bits <= _T_1116 @[el2_ifu_compress_ctl.scala 226:14] + _T_1122.rd <= _T_1117 @[el2_ifu_compress_ctl.scala 227:12] + _T_1122.rs1 <= _T_1118 @[el2_ifu_compress_ctl.scala 228:13] + _T_1122.rs2 <= _T_1120 @[el2_ifu_compress_ctl.scala 229:13] + _T_1122.rs3 <= _T_1121 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1123 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1124 = bits(_T_1123, 0, 0) @[Bitwise.scala 72:15] + node _T_1125 = mux(_T_1124, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1126 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1127 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1128 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1129 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1130 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1131 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1132 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1133 = cat(_T_1132, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1134 = cat(_T_1130, _T_1131) @[Cat.scala 29:58] + node _T_1135 = cat(_T_1134, _T_1133) @[Cat.scala 29:58] + node _T_1136 = cat(_T_1128, _T_1129) @[Cat.scala 29:58] + node _T_1137 = cat(_T_1125, _T_1126) @[Cat.scala 29:58] + node _T_1138 = cat(_T_1137, _T_1127) @[Cat.scala 29:58] + node _T_1139 = cat(_T_1138, _T_1136) @[Cat.scala 29:58] + node _T_1140 = cat(_T_1139, _T_1135) @[Cat.scala 29:58] + node _T_1141 = bits(_T_1140, 20, 20) @[el2_ifu_compress_ctl.scala 285:36] + node _T_1142 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1143 = bits(_T_1142, 0, 0) @[Bitwise.scala 72:15] + node _T_1144 = mux(_T_1143, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1145 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1146 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1147 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1148 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1149 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1150 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1151 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1152 = cat(_T_1151, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1153 = cat(_T_1149, _T_1150) @[Cat.scala 29:58] + node _T_1154 = cat(_T_1153, _T_1152) @[Cat.scala 29:58] + node _T_1155 = cat(_T_1147, _T_1148) @[Cat.scala 29:58] + node _T_1156 = cat(_T_1144, _T_1145) @[Cat.scala 29:58] + node _T_1157 = cat(_T_1156, _T_1146) @[Cat.scala 29:58] + node _T_1158 = cat(_T_1157, _T_1155) @[Cat.scala 29:58] + node _T_1159 = cat(_T_1158, _T_1154) @[Cat.scala 29:58] + node _T_1160 = bits(_T_1159, 10, 1) @[el2_ifu_compress_ctl.scala 285:46] + node _T_1161 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1162 = bits(_T_1161, 0, 0) @[Bitwise.scala 72:15] + node _T_1163 = mux(_T_1162, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1164 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1165 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1166 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1167 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1168 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1169 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1170 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1171 = cat(_T_1170, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1172 = cat(_T_1168, _T_1169) @[Cat.scala 29:58] + node _T_1173 = cat(_T_1172, _T_1171) @[Cat.scala 29:58] + node _T_1174 = cat(_T_1166, _T_1167) @[Cat.scala 29:58] + node _T_1175 = cat(_T_1163, _T_1164) @[Cat.scala 29:58] + node _T_1176 = cat(_T_1175, _T_1165) @[Cat.scala 29:58] + node _T_1177 = cat(_T_1176, _T_1174) @[Cat.scala 29:58] + node _T_1178 = cat(_T_1177, _T_1173) @[Cat.scala 29:58] + node _T_1179 = bits(_T_1178, 11, 11) @[el2_ifu_compress_ctl.scala 285:58] + node _T_1180 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1181 = bits(_T_1180, 0, 0) @[Bitwise.scala 72:15] + node _T_1182 = mux(_T_1181, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1183 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1184 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1185 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1186 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1187 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1188 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1189 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1190 = cat(_T_1189, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1191 = cat(_T_1187, _T_1188) @[Cat.scala 29:58] + node _T_1192 = cat(_T_1191, _T_1190) @[Cat.scala 29:58] + node _T_1193 = cat(_T_1185, _T_1186) @[Cat.scala 29:58] + node _T_1194 = cat(_T_1182, _T_1183) @[Cat.scala 29:58] + node _T_1195 = cat(_T_1194, _T_1184) @[Cat.scala 29:58] + node _T_1196 = cat(_T_1195, _T_1193) @[Cat.scala 29:58] + node _T_1197 = cat(_T_1196, _T_1192) @[Cat.scala 29:58] + node _T_1198 = bits(_T_1197, 19, 12) @[el2_ifu_compress_ctl.scala 285:68] + node _T_1199 = cat(_T_1198, UInt<5>("h01")) @[Cat.scala 29:58] + node _T_1200 = cat(_T_1199, UInt<7>("h06f")) @[Cat.scala 29:58] + node _T_1201 = cat(_T_1141, _T_1160) @[Cat.scala 29:58] + node _T_1202 = cat(_T_1201, _T_1179) @[Cat.scala 29:58] + node _T_1203 = cat(_T_1202, _T_1200) @[Cat.scala 29:58] + node _T_1204 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1205 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1206 = cat(UInt<2>("h01"), _T_1205) @[Cat.scala 29:58] + node _T_1207 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1208 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1208.bits <= _T_1203 @[el2_ifu_compress_ctl.scala 226:14] + _T_1208.rd <= UInt<5>("h01") @[el2_ifu_compress_ctl.scala 227:12] + _T_1208.rs1 <= _T_1204 @[el2_ifu_compress_ctl.scala 228:13] + _T_1208.rs2 <= _T_1206 @[el2_ifu_compress_ctl.scala 229:13] + _T_1208.rs3 <= _T_1207 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1209 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_1210 = bits(_T_1209, 0, 0) @[Bitwise.scala 72:15] + node _T_1211 = mux(_T_1210, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1212 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_1213 = cat(_T_1211, _T_1212) @[Cat.scala 29:58] + node _T_1214 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1215 = cat(_T_1214, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_1216 = cat(_T_1213, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_1217 = cat(_T_1216, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1218 = cat(_T_1217, _T_1215) @[Cat.scala 29:58] + node _T_1219 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1220 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1221 = cat(UInt<2>("h01"), _T_1220) @[Cat.scala 29:58] + node _T_1222 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1223 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1223.bits <= _T_1218 @[el2_ifu_compress_ctl.scala 226:14] + _T_1223.rd <= _T_1219 @[el2_ifu_compress_ctl.scala 227:12] + _T_1223.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 228:13] + _T_1223.rs2 <= _T_1221 @[el2_ifu_compress_ctl.scala 229:13] + _T_1223.rs3 <= _T_1222 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1224 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_1225 = bits(_T_1224, 0, 0) @[Bitwise.scala 72:15] + node _T_1226 = mux(_T_1225, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1227 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_1228 = cat(_T_1226, _T_1227) @[Cat.scala 29:58] + node _T_1229 = orr(_T_1228) @[el2_ifu_compress_ctl.scala 294:29] + node _T_1230 = mux(_T_1229, UInt<7>("h037"), UInt<7>("h03f")) @[el2_ifu_compress_ctl.scala 294:20] + node _T_1231 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 245:30] + node _T_1232 = bits(_T_1231, 0, 0) @[Bitwise.scala 72:15] + node _T_1233 = mux(_T_1232, UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] + node _T_1234 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 245:38] + node _T_1235 = cat(_T_1233, _T_1234) @[Cat.scala 29:58] + node _T_1236 = cat(_T_1235, UInt<12>("h00")) @[Cat.scala 29:58] + node _T_1237 = bits(_T_1236, 31, 12) @[el2_ifu_compress_ctl.scala 295:31] + node _T_1238 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1239 = cat(_T_1237, _T_1238) @[Cat.scala 29:58] + node _T_1240 = cat(_T_1239, _T_1230) @[Cat.scala 29:58] + node _T_1241 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1242 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1243 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1244 = cat(UInt<2>("h01"), _T_1243) @[Cat.scala 29:58] + node _T_1245 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1246 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1246.bits <= _T_1240 @[el2_ifu_compress_ctl.scala 226:14] + _T_1246.rd <= _T_1241 @[el2_ifu_compress_ctl.scala 227:12] + _T_1246.rs1 <= _T_1242 @[el2_ifu_compress_ctl.scala 228:13] + _T_1246.rs2 <= _T_1244 @[el2_ifu_compress_ctl.scala 229:13] + _T_1246.rs3 <= _T_1245 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1247 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1248 = eq(_T_1247, UInt<5>("h00")) @[el2_ifu_compress_ctl.scala 296:14] + node _T_1249 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1250 = eq(_T_1249, UInt<5>("h02")) @[el2_ifu_compress_ctl.scala 296:27] + node _T_1251 = or(_T_1248, _T_1250) @[el2_ifu_compress_ctl.scala 296:21] + node _T_1252 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_1253 = bits(_T_1252, 0, 0) @[Bitwise.scala 72:15] + node _T_1254 = mux(_T_1253, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1255 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_1256 = cat(_T_1254, _T_1255) @[Cat.scala 29:58] + node _T_1257 = orr(_T_1256) @[el2_ifu_compress_ctl.scala 290:29] + node _T_1258 = mux(_T_1257, UInt<7>("h013"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 290:20] + node _T_1259 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 246:34] + node _T_1260 = bits(_T_1259, 0, 0) @[Bitwise.scala 72:15] + node _T_1261 = mux(_T_1260, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_1262 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 246:42] + node _T_1263 = bits(io.din, 5, 5) @[el2_ifu_compress_ctl.scala 246:50] + node _T_1264 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 246:56] + node _T_1265 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 246:62] + node _T_1266 = cat(_T_1264, _T_1265) @[Cat.scala 29:58] + node _T_1267 = cat(_T_1266, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1268 = cat(_T_1261, _T_1262) @[Cat.scala 29:58] + node _T_1269 = cat(_T_1268, _T_1263) @[Cat.scala 29:58] + node _T_1270 = cat(_T_1269, _T_1267) @[Cat.scala 29:58] + node _T_1271 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1272 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1273 = cat(_T_1272, _T_1258) @[Cat.scala 29:58] + node _T_1274 = cat(_T_1270, _T_1271) @[Cat.scala 29:58] + node _T_1275 = cat(_T_1274, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1276 = cat(_T_1275, _T_1273) @[Cat.scala 29:58] + node _T_1277 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1278 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1279 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1280 = cat(UInt<2>("h01"), _T_1279) @[Cat.scala 29:58] + node _T_1281 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1282 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1282.bits <= _T_1276 @[el2_ifu_compress_ctl.scala 226:14] + _T_1282.rd <= _T_1277 @[el2_ifu_compress_ctl.scala 227:12] + _T_1282.rs1 <= _T_1278 @[el2_ifu_compress_ctl.scala 228:13] + _T_1282.rs2 <= _T_1280 @[el2_ifu_compress_ctl.scala 229:13] + _T_1282.rs3 <= _T_1281 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1283 = mux(_T_1251, _T_1282, _T_1246) @[el2_ifu_compress_ctl.scala 296:10] + node _T_1284 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 250:20] + node _T_1285 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 250:27] + node _T_1286 = cat(_T_1284, _T_1285) @[Cat.scala 29:58] + node _T_1287 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1288 = cat(UInt<2>("h01"), _T_1287) @[Cat.scala 29:58] + node _T_1289 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1290 = cat(UInt<2>("h01"), _T_1289) @[Cat.scala 29:58] + node _T_1291 = cat(_T_1290, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_1292 = cat(_T_1286, _T_1288) @[Cat.scala 29:58] + node _T_1293 = cat(_T_1292, UInt<3>("h05")) @[Cat.scala 29:58] + node _T_1294 = cat(_T_1293, _T_1291) @[Cat.scala 29:58] + node _T_1295 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 250:20] + node _T_1296 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 250:27] + node _T_1297 = cat(_T_1295, _T_1296) @[Cat.scala 29:58] + node _T_1298 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1299 = cat(UInt<2>("h01"), _T_1298) @[Cat.scala 29:58] + node _T_1300 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1301 = cat(UInt<2>("h01"), _T_1300) @[Cat.scala 29:58] + node _T_1302 = cat(_T_1301, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_1303 = cat(_T_1297, _T_1299) @[Cat.scala 29:58] + node _T_1304 = cat(_T_1303, UInt<3>("h05")) @[Cat.scala 29:58] + node _T_1305 = cat(_T_1304, _T_1302) @[Cat.scala 29:58] + node _T_1306 = or(_T_1305, UInt<31>("h040000000")) @[el2_ifu_compress_ctl.scala 303:23] + node _T_1307 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 247:30] + node _T_1308 = bits(_T_1307, 0, 0) @[Bitwise.scala 72:15] + node _T_1309 = mux(_T_1308, UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_1310 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 247:38] + node _T_1311 = cat(_T_1309, _T_1310) @[Cat.scala 29:58] + node _T_1312 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1313 = cat(UInt<2>("h01"), _T_1312) @[Cat.scala 29:58] + node _T_1314 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1315 = cat(UInt<2>("h01"), _T_1314) @[Cat.scala 29:58] + node _T_1316 = cat(_T_1315, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_1317 = cat(_T_1311, _T_1313) @[Cat.scala 29:58] + node _T_1318 = cat(_T_1317, UInt<3>("h07")) @[Cat.scala 29:58] + node _T_1319 = cat(_T_1318, _T_1316) @[Cat.scala 29:58] + wire _T_1320 : UInt<3>[8] @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[0] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[1] <= UInt<3>("h04") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[2] <= UInt<3>("h06") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[3] <= UInt<3>("h07") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[4] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[5] <= UInt<1>("h00") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[6] <= UInt<2>("h02") @[el2_ifu_compress_ctl.scala 306:28] + _T_1320[7] <= UInt<2>("h03") @[el2_ifu_compress_ctl.scala 306:28] + node _T_1321 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 306:74] + node _T_1322 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 306:81] + node _T_1323 = cat(_T_1321, _T_1322) @[Cat.scala 29:58] + node _T_1324 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 307:24] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 307:30] + node _T_1326 = mux(_T_1325, UInt<31>("h040000000"), UInt<1>("h00")) @[el2_ifu_compress_ctl.scala 307:22] + node _T_1327 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 308:24] + node _T_1328 = mux(_T_1327, UInt<7>("h03b"), UInt<7>("h033")) @[el2_ifu_compress_ctl.scala 308:22] + node _T_1329 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1330 = cat(UInt<2>("h01"), _T_1329) @[Cat.scala 29:58] + node _T_1331 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1332 = cat(UInt<2>("h01"), _T_1331) @[Cat.scala 29:58] + node _T_1333 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1334 = cat(UInt<2>("h01"), _T_1333) @[Cat.scala 29:58] + node _T_1335 = cat(_T_1334, _T_1328) @[Cat.scala 29:58] + node _T_1336 = cat(_T_1330, _T_1332) @[Cat.scala 29:58] + node _T_1337 = cat(_T_1336, _T_1320[_T_1323]) @[Cat.scala 29:58] + node _T_1338 = cat(_T_1337, _T_1335) @[Cat.scala 29:58] + node _T_1339 = or(_T_1338, _T_1326) @[el2_ifu_compress_ctl.scala 309:43] + wire _T_1340 : UInt<32>[4] @[el2_ifu_compress_ctl.scala 311:19] + _T_1340[0] <= _T_1294 @[el2_ifu_compress_ctl.scala 311:19] + _T_1340[1] <= _T_1306 @[el2_ifu_compress_ctl.scala 311:19] + _T_1340[2] <= _T_1319 @[el2_ifu_compress_ctl.scala 311:19] + _T_1340[3] <= _T_1339 @[el2_ifu_compress_ctl.scala 311:19] + node _T_1341 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 311:46] + node _T_1342 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1343 = cat(UInt<2>("h01"), _T_1342) @[Cat.scala 29:58] + node _T_1344 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1345 = cat(UInt<2>("h01"), _T_1344) @[Cat.scala 29:58] + node _T_1346 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1347 = cat(UInt<2>("h01"), _T_1346) @[Cat.scala 29:58] + node _T_1348 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1349 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1349.bits <= _T_1340[_T_1341] @[el2_ifu_compress_ctl.scala 226:14] + _T_1349.rd <= _T_1343 @[el2_ifu_compress_ctl.scala 227:12] + _T_1349.rs1 <= _T_1345 @[el2_ifu_compress_ctl.scala 228:13] + _T_1349.rs2 <= _T_1347 @[el2_ifu_compress_ctl.scala 229:13] + _T_1349.rs3 <= _T_1348 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1350 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1351 = bits(_T_1350, 0, 0) @[Bitwise.scala 72:15] + node _T_1352 = mux(_T_1351, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1353 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1354 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1355 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1356 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1357 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1358 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1359 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1360 = cat(_T_1359, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1361 = cat(_T_1357, _T_1358) @[Cat.scala 29:58] + node _T_1362 = cat(_T_1361, _T_1360) @[Cat.scala 29:58] + node _T_1363 = cat(_T_1355, _T_1356) @[Cat.scala 29:58] + node _T_1364 = cat(_T_1352, _T_1353) @[Cat.scala 29:58] + node _T_1365 = cat(_T_1364, _T_1354) @[Cat.scala 29:58] + node _T_1366 = cat(_T_1365, _T_1363) @[Cat.scala 29:58] + node _T_1367 = cat(_T_1366, _T_1362) @[Cat.scala 29:58] + node _T_1368 = bits(_T_1367, 20, 20) @[el2_ifu_compress_ctl.scala 298:26] + node _T_1369 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1370 = bits(_T_1369, 0, 0) @[Bitwise.scala 72:15] + node _T_1371 = mux(_T_1370, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1372 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1373 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1374 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1375 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1376 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1377 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1378 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1379 = cat(_T_1378, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1380 = cat(_T_1376, _T_1377) @[Cat.scala 29:58] + node _T_1381 = cat(_T_1380, _T_1379) @[Cat.scala 29:58] + node _T_1382 = cat(_T_1374, _T_1375) @[Cat.scala 29:58] + node _T_1383 = cat(_T_1371, _T_1372) @[Cat.scala 29:58] + node _T_1384 = cat(_T_1383, _T_1373) @[Cat.scala 29:58] + node _T_1385 = cat(_T_1384, _T_1382) @[Cat.scala 29:58] + node _T_1386 = cat(_T_1385, _T_1381) @[Cat.scala 29:58] + node _T_1387 = bits(_T_1386, 10, 1) @[el2_ifu_compress_ctl.scala 298:36] + node _T_1388 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1389 = bits(_T_1388, 0, 0) @[Bitwise.scala 72:15] + node _T_1390 = mux(_T_1389, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1391 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1392 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1393 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1394 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1395 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1396 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1397 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1398 = cat(_T_1397, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1399 = cat(_T_1395, _T_1396) @[Cat.scala 29:58] + node _T_1400 = cat(_T_1399, _T_1398) @[Cat.scala 29:58] + node _T_1401 = cat(_T_1393, _T_1394) @[Cat.scala 29:58] + node _T_1402 = cat(_T_1390, _T_1391) @[Cat.scala 29:58] + node _T_1403 = cat(_T_1402, _T_1392) @[Cat.scala 29:58] + node _T_1404 = cat(_T_1403, _T_1401) @[Cat.scala 29:58] + node _T_1405 = cat(_T_1404, _T_1400) @[Cat.scala 29:58] + node _T_1406 = bits(_T_1405, 11, 11) @[el2_ifu_compress_ctl.scala 298:48] + node _T_1407 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 248:28] + node _T_1408 = bits(_T_1407, 0, 0) @[Bitwise.scala 72:15] + node _T_1409 = mux(_T_1408, UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_1410 = bits(io.din, 8, 8) @[el2_ifu_compress_ctl.scala 248:36] + node _T_1411 = bits(io.din, 10, 9) @[el2_ifu_compress_ctl.scala 248:42] + node _T_1412 = bits(io.din, 6, 6) @[el2_ifu_compress_ctl.scala 248:51] + node _T_1413 = bits(io.din, 7, 7) @[el2_ifu_compress_ctl.scala 248:57] + node _T_1414 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 248:63] + node _T_1415 = bits(io.din, 11, 11) @[el2_ifu_compress_ctl.scala 248:69] + node _T_1416 = bits(io.din, 5, 3) @[el2_ifu_compress_ctl.scala 248:76] + node _T_1417 = cat(_T_1416, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1418 = cat(_T_1414, _T_1415) @[Cat.scala 29:58] + node _T_1419 = cat(_T_1418, _T_1417) @[Cat.scala 29:58] + node _T_1420 = cat(_T_1412, _T_1413) @[Cat.scala 29:58] + node _T_1421 = cat(_T_1409, _T_1410) @[Cat.scala 29:58] + node _T_1422 = cat(_T_1421, _T_1411) @[Cat.scala 29:58] + node _T_1423 = cat(_T_1422, _T_1420) @[Cat.scala 29:58] + node _T_1424 = cat(_T_1423, _T_1419) @[Cat.scala 29:58] + node _T_1425 = bits(_T_1424, 19, 12) @[el2_ifu_compress_ctl.scala 298:58] + node _T_1426 = cat(_T_1425, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_1427 = cat(_T_1426, UInt<7>("h06f")) @[Cat.scala 29:58] + node _T_1428 = cat(_T_1368, _T_1387) @[Cat.scala 29:58] + node _T_1429 = cat(_T_1428, _T_1406) @[Cat.scala 29:58] + node _T_1430 = cat(_T_1429, _T_1427) @[Cat.scala 29:58] + node _T_1431 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1432 = cat(UInt<2>("h01"), _T_1431) @[Cat.scala 29:58] + node _T_1433 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 235:29] + node _T_1434 = cat(UInt<2>("h01"), _T_1433) @[Cat.scala 29:58] + node _T_1435 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1436 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1436.bits <= _T_1430 @[el2_ifu_compress_ctl.scala 226:14] + _T_1436.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 227:12] + _T_1436.rs1 <= _T_1432 @[el2_ifu_compress_ctl.scala 228:13] + _T_1436.rs2 <= _T_1434 @[el2_ifu_compress_ctl.scala 229:13] + _T_1436.rs3 <= _T_1435 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1437 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1438 = bits(_T_1437, 0, 0) @[Bitwise.scala 72:15] + node _T_1439 = mux(_T_1438, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1440 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1441 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1442 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1443 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1444 = cat(_T_1442, _T_1443) @[Cat.scala 29:58] + node _T_1445 = cat(_T_1444, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1446 = cat(_T_1439, _T_1440) @[Cat.scala 29:58] + node _T_1447 = cat(_T_1446, _T_1441) @[Cat.scala 29:58] + node _T_1448 = cat(_T_1447, _T_1445) @[Cat.scala 29:58] + node _T_1449 = bits(_T_1448, 12, 12) @[el2_ifu_compress_ctl.scala 299:29] + node _T_1450 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1451 = bits(_T_1450, 0, 0) @[Bitwise.scala 72:15] + node _T_1452 = mux(_T_1451, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1453 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1454 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1455 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1456 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1457 = cat(_T_1455, _T_1456) @[Cat.scala 29:58] + node _T_1458 = cat(_T_1457, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1459 = cat(_T_1452, _T_1453) @[Cat.scala 29:58] + node _T_1460 = cat(_T_1459, _T_1454) @[Cat.scala 29:58] + node _T_1461 = cat(_T_1460, _T_1458) @[Cat.scala 29:58] + node _T_1462 = bits(_T_1461, 10, 5) @[el2_ifu_compress_ctl.scala 299:39] + node _T_1463 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1464 = cat(UInt<2>("h01"), _T_1463) @[Cat.scala 29:58] + node _T_1465 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1466 = bits(_T_1465, 0, 0) @[Bitwise.scala 72:15] + node _T_1467 = mux(_T_1466, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1468 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1469 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1470 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1471 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1472 = cat(_T_1470, _T_1471) @[Cat.scala 29:58] + node _T_1473 = cat(_T_1472, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1474 = cat(_T_1467, _T_1468) @[Cat.scala 29:58] + node _T_1475 = cat(_T_1474, _T_1469) @[Cat.scala 29:58] + node _T_1476 = cat(_T_1475, _T_1473) @[Cat.scala 29:58] + node _T_1477 = bits(_T_1476, 4, 1) @[el2_ifu_compress_ctl.scala 299:71] + node _T_1478 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1479 = bits(_T_1478, 0, 0) @[Bitwise.scala 72:15] + node _T_1480 = mux(_T_1479, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1481 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1482 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1483 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1484 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1485 = cat(_T_1483, _T_1484) @[Cat.scala 29:58] + node _T_1486 = cat(_T_1485, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1487 = cat(_T_1480, _T_1481) @[Cat.scala 29:58] + node _T_1488 = cat(_T_1487, _T_1482) @[Cat.scala 29:58] + node _T_1489 = cat(_T_1488, _T_1486) @[Cat.scala 29:58] + node _T_1490 = bits(_T_1489, 11, 11) @[el2_ifu_compress_ctl.scala 299:82] + node _T_1491 = cat(_T_1490, UInt<7>("h063")) @[Cat.scala 29:58] + node _T_1492 = cat(UInt<3>("h00"), _T_1477) @[Cat.scala 29:58] + node _T_1493 = cat(_T_1492, _T_1491) @[Cat.scala 29:58] + node _T_1494 = cat(UInt<5>("h00"), _T_1464) @[Cat.scala 29:58] + node _T_1495 = cat(_T_1449, _T_1462) @[Cat.scala 29:58] + node _T_1496 = cat(_T_1495, _T_1494) @[Cat.scala 29:58] + node _T_1497 = cat(_T_1496, _T_1493) @[Cat.scala 29:58] + node _T_1498 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1499 = cat(UInt<2>("h01"), _T_1498) @[Cat.scala 29:58] + node _T_1500 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1501 = cat(UInt<2>("h01"), _T_1500) @[Cat.scala 29:58] + node _T_1502 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1503 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1503.bits <= _T_1497 @[el2_ifu_compress_ctl.scala 226:14] + _T_1503.rd <= _T_1499 @[el2_ifu_compress_ctl.scala 227:12] + _T_1503.rs1 <= _T_1501 @[el2_ifu_compress_ctl.scala 228:13] + _T_1503.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 229:13] + _T_1503.rs3 <= _T_1502 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1504 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1505 = bits(_T_1504, 0, 0) @[Bitwise.scala 72:15] + node _T_1506 = mux(_T_1505, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1507 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1508 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1509 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1510 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1511 = cat(_T_1509, _T_1510) @[Cat.scala 29:58] + node _T_1512 = cat(_T_1511, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1513 = cat(_T_1506, _T_1507) @[Cat.scala 29:58] + node _T_1514 = cat(_T_1513, _T_1508) @[Cat.scala 29:58] + node _T_1515 = cat(_T_1514, _T_1512) @[Cat.scala 29:58] + node _T_1516 = bits(_T_1515, 12, 12) @[el2_ifu_compress_ctl.scala 300:29] + node _T_1517 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1518 = bits(_T_1517, 0, 0) @[Bitwise.scala 72:15] + node _T_1519 = mux(_T_1518, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1520 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1521 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1522 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1523 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1524 = cat(_T_1522, _T_1523) @[Cat.scala 29:58] + node _T_1525 = cat(_T_1524, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1526 = cat(_T_1519, _T_1520) @[Cat.scala 29:58] + node _T_1527 = cat(_T_1526, _T_1521) @[Cat.scala 29:58] + node _T_1528 = cat(_T_1527, _T_1525) @[Cat.scala 29:58] + node _T_1529 = bits(_T_1528, 10, 5) @[el2_ifu_compress_ctl.scala 300:39] + node _T_1530 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1531 = cat(UInt<2>("h01"), _T_1530) @[Cat.scala 29:58] + node _T_1532 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1533 = bits(_T_1532, 0, 0) @[Bitwise.scala 72:15] + node _T_1534 = mux(_T_1533, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1535 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1536 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1537 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1538 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1539 = cat(_T_1537, _T_1538) @[Cat.scala 29:58] + node _T_1540 = cat(_T_1539, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1541 = cat(_T_1534, _T_1535) @[Cat.scala 29:58] + node _T_1542 = cat(_T_1541, _T_1536) @[Cat.scala 29:58] + node _T_1543 = cat(_T_1542, _T_1540) @[Cat.scala 29:58] + node _T_1544 = bits(_T_1543, 4, 1) @[el2_ifu_compress_ctl.scala 300:71] + node _T_1545 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 249:27] + node _T_1546 = bits(_T_1545, 0, 0) @[Bitwise.scala 72:15] + node _T_1547 = mux(_T_1546, UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_1548 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 249:35] + node _T_1549 = bits(io.din, 2, 2) @[el2_ifu_compress_ctl.scala 249:43] + node _T_1550 = bits(io.din, 11, 10) @[el2_ifu_compress_ctl.scala 249:49] + node _T_1551 = bits(io.din, 4, 3) @[el2_ifu_compress_ctl.scala 249:59] + node _T_1552 = cat(_T_1550, _T_1551) @[Cat.scala 29:58] + node _T_1553 = cat(_T_1552, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_1554 = cat(_T_1547, _T_1548) @[Cat.scala 29:58] + node _T_1555 = cat(_T_1554, _T_1549) @[Cat.scala 29:58] + node _T_1556 = cat(_T_1555, _T_1553) @[Cat.scala 29:58] + node _T_1557 = bits(_T_1556, 11, 11) @[el2_ifu_compress_ctl.scala 300:82] + node _T_1558 = cat(_T_1557, UInt<7>("h063")) @[Cat.scala 29:58] + node _T_1559 = cat(UInt<3>("h01"), _T_1544) @[Cat.scala 29:58] + node _T_1560 = cat(_T_1559, _T_1558) @[Cat.scala 29:58] + node _T_1561 = cat(UInt<5>("h00"), _T_1531) @[Cat.scala 29:58] + node _T_1562 = cat(_T_1516, _T_1529) @[Cat.scala 29:58] + node _T_1563 = cat(_T_1562, _T_1561) @[Cat.scala 29:58] + node _T_1564 = cat(_T_1563, _T_1560) @[Cat.scala 29:58] + node _T_1565 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 234:29] + node _T_1566 = cat(UInt<2>("h01"), _T_1565) @[Cat.scala 29:58] + node _T_1567 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1568 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1568.bits <= _T_1564 @[el2_ifu_compress_ctl.scala 226:14] + _T_1568.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 227:12] + _T_1568.rs1 <= _T_1566 @[el2_ifu_compress_ctl.scala 228:13] + _T_1568.rs2 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 229:13] + _T_1568.rs3 <= _T_1567 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1569 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1570 = orr(_T_1569) @[el2_ifu_compress_ctl.scala 317:27] + node _T_1571 = mux(_T_1570, UInt<7>("h03"), UInt<7>("h01f")) @[el2_ifu_compress_ctl.scala 317:23] + node _T_1572 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 250:20] + node _T_1573 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 250:27] + node _T_1574 = cat(_T_1572, _T_1573) @[Cat.scala 29:58] + node _T_1575 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1576 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1577 = cat(_T_1576, UInt<7>("h013")) @[Cat.scala 29:58] + node _T_1578 = cat(_T_1574, _T_1575) @[Cat.scala 29:58] + node _T_1579 = cat(_T_1578, UInt<3>("h01")) @[Cat.scala 29:58] + node _T_1580 = cat(_T_1579, _T_1577) @[Cat.scala 29:58] + node _T_1581 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1582 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1583 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1584 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1585 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1585.bits <= _T_1580 @[el2_ifu_compress_ctl.scala 226:14] + _T_1585.rd <= _T_1581 @[el2_ifu_compress_ctl.scala 227:12] + _T_1585.rs1 <= _T_1582 @[el2_ifu_compress_ctl.scala 228:13] + _T_1585.rs2 <= _T_1583 @[el2_ifu_compress_ctl.scala 229:13] + _T_1585.rs3 <= _T_1584 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1586 = bits(io.din, 4, 2) @[el2_ifu_compress_ctl.scala 242:22] + node _T_1587 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 242:30] + node _T_1588 = bits(io.din, 6, 5) @[el2_ifu_compress_ctl.scala 242:37] + node _T_1589 = cat(_T_1588, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1590 = cat(_T_1586, _T_1587) @[Cat.scala 29:58] + node _T_1591 = cat(_T_1590, _T_1589) @[Cat.scala 29:58] + node _T_1592 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1593 = cat(_T_1592, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_1594 = cat(_T_1591, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_1595 = cat(_T_1594, UInt<3>("h03")) @[Cat.scala 29:58] + node _T_1596 = cat(_T_1595, _T_1593) @[Cat.scala 29:58] + node _T_1597 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1598 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1599 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1600 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1600.bits <= _T_1596 @[el2_ifu_compress_ctl.scala 226:14] + _T_1600.rd <= _T_1597 @[el2_ifu_compress_ctl.scala 227:12] + _T_1600.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_1600.rs2 <= _T_1598 @[el2_ifu_compress_ctl.scala 229:13] + _T_1600.rs3 <= _T_1599 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1601 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 241:22] + node _T_1602 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 241:30] + node _T_1603 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 241:37] + node _T_1604 = cat(_T_1603, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1605 = cat(_T_1601, _T_1602) @[Cat.scala 29:58] + node _T_1606 = cat(_T_1605, _T_1604) @[Cat.scala 29:58] + node _T_1607 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1608 = cat(_T_1607, _T_1571) @[Cat.scala 29:58] + node _T_1609 = cat(_T_1606, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_1610 = cat(_T_1609, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_1611 = cat(_T_1610, _T_1608) @[Cat.scala 29:58] + node _T_1612 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1613 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1614 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1615 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1615.bits <= _T_1611 @[el2_ifu_compress_ctl.scala 226:14] + _T_1615.rd <= _T_1612 @[el2_ifu_compress_ctl.scala 227:12] + _T_1615.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_1615.rs2 <= _T_1613 @[el2_ifu_compress_ctl.scala 229:13] + _T_1615.rs3 <= _T_1614 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1616 = bits(io.din, 3, 2) @[el2_ifu_compress_ctl.scala 241:22] + node _T_1617 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 241:30] + node _T_1618 = bits(io.din, 6, 4) @[el2_ifu_compress_ctl.scala 241:37] + node _T_1619 = cat(_T_1618, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1620 = cat(_T_1616, _T_1617) @[Cat.scala 29:58] + node _T_1621 = cat(_T_1620, _T_1619) @[Cat.scala 29:58] + node _T_1622 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1623 = cat(_T_1622, UInt<7>("h07")) @[Cat.scala 29:58] + node _T_1624 = cat(_T_1621, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_1625 = cat(_T_1624, UInt<3>("h02")) @[Cat.scala 29:58] + node _T_1626 = cat(_T_1625, _T_1623) @[Cat.scala 29:58] + node _T_1627 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1628 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1629 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1630 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1630.bits <= _T_1626 @[el2_ifu_compress_ctl.scala 226:14] + _T_1630.rd <= _T_1627 @[el2_ifu_compress_ctl.scala 227:12] + _T_1630.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_1630.rs2 <= _T_1628 @[el2_ifu_compress_ctl.scala 229:13] + _T_1630.rs3 <= _T_1629 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1631 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1632 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1633 = cat(_T_1632, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_1634 = cat(_T_1631, UInt<5>("h00")) @[Cat.scala 29:58] + node _T_1635 = cat(_T_1634, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1636 = cat(_T_1635, _T_1633) @[Cat.scala 29:58] + node _T_1637 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1638 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1639 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1640 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1640.bits <= _T_1636 @[el2_ifu_compress_ctl.scala 226:14] + _T_1640.rd <= _T_1637 @[el2_ifu_compress_ctl.scala 227:12] + _T_1640.rs1 <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 228:13] + _T_1640.rs2 <= _T_1638 @[el2_ifu_compress_ctl.scala 229:13] + _T_1640.rs3 <= _T_1639 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1641 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1642 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1643 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1644 = cat(_T_1643, UInt<7>("h033")) @[Cat.scala 29:58] + node _T_1645 = cat(_T_1641, _T_1642) @[Cat.scala 29:58] + node _T_1646 = cat(_T_1645, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1647 = cat(_T_1646, _T_1644) @[Cat.scala 29:58] + node _T_1648 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1649 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1650 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1651 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1652 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1652.bits <= _T_1647 @[el2_ifu_compress_ctl.scala 226:14] + _T_1652.rd <= _T_1648 @[el2_ifu_compress_ctl.scala 227:12] + _T_1652.rs1 <= _T_1649 @[el2_ifu_compress_ctl.scala 228:13] + _T_1652.rs2 <= _T_1650 @[el2_ifu_compress_ctl.scala 229:13] + _T_1652.rs3 <= _T_1651 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1653 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1654 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1655 = cat(UInt<5>("h00"), UInt<7>("h067")) @[Cat.scala 29:58] + node _T_1656 = cat(_T_1653, _T_1654) @[Cat.scala 29:58] + node _T_1657 = cat(_T_1656, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1658 = cat(_T_1657, _T_1655) @[Cat.scala 29:58] + node _T_1659 = shr(_T_1658, 7) @[el2_ifu_compress_ctl.scala 337:29] + node _T_1660 = cat(_T_1659, UInt<7>("h01f")) @[Cat.scala 29:58] + node _T_1661 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1662 = orr(_T_1661) @[el2_ifu_compress_ctl.scala 338:37] + node _T_1663 = mux(_T_1662, _T_1658, _T_1660) @[el2_ifu_compress_ctl.scala 338:33] + node _T_1664 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1665 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1666 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1667 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1667.bits <= _T_1663 @[el2_ifu_compress_ctl.scala 226:14] + _T_1667.rd <= UInt<5>("h00") @[el2_ifu_compress_ctl.scala 227:12] + _T_1667.rs1 <= _T_1664 @[el2_ifu_compress_ctl.scala 228:13] + _T_1667.rs2 <= _T_1665 @[el2_ifu_compress_ctl.scala 229:13] + _T_1667.rs3 <= _T_1666 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1668 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1669 = orr(_T_1668) @[el2_ifu_compress_ctl.scala 339:27] + node _T_1670 = mux(_T_1669, _T_1640, _T_1667) @[el2_ifu_compress_ctl.scala 339:22] + node _T_1671 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1672 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1673 = cat(UInt<5>("h01"), UInt<7>("h067")) @[Cat.scala 29:58] + node _T_1674 = cat(_T_1671, _T_1672) @[Cat.scala 29:58] + node _T_1675 = cat(_T_1674, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1676 = cat(_T_1675, _T_1673) @[Cat.scala 29:58] + node _T_1677 = shr(_T_1658, 7) @[el2_ifu_compress_ctl.scala 341:27] + node _T_1678 = cat(_T_1677, UInt<7>("h073")) @[Cat.scala 29:58] + node _T_1679 = or(_T_1678, UInt<21>("h0100000")) @[el2_ifu_compress_ctl.scala 341:46] + node _T_1680 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1681 = orr(_T_1680) @[el2_ifu_compress_ctl.scala 342:37] + node _T_1682 = mux(_T_1681, _T_1676, _T_1679) @[el2_ifu_compress_ctl.scala 342:33] + node _T_1683 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1684 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1685 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1686 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1686.bits <= _T_1682 @[el2_ifu_compress_ctl.scala 226:14] + _T_1686.rd <= UInt<5>("h01") @[el2_ifu_compress_ctl.scala 227:12] + _T_1686.rs1 <= _T_1683 @[el2_ifu_compress_ctl.scala 228:13] + _T_1686.rs2 <= _T_1684 @[el2_ifu_compress_ctl.scala 229:13] + _T_1686.rs3 <= _T_1685 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1687 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1688 = orr(_T_1687) @[el2_ifu_compress_ctl.scala 343:30] + node _T_1689 = mux(_T_1688, _T_1652, _T_1686) @[el2_ifu_compress_ctl.scala 343:25] + node _T_1690 = bits(io.din, 12, 12) @[el2_ifu_compress_ctl.scala 344:12] + node _T_1691 = mux(_T_1690, _T_1689, _T_1670) @[el2_ifu_compress_ctl.scala 344:10] + node _T_1692 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 244:22] + node _T_1693 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 244:30] + node _T_1694 = cat(_T_1692, _T_1693) @[Cat.scala 29:58] + node _T_1695 = cat(_T_1694, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1696 = shr(_T_1695, 5) @[el2_ifu_compress_ctl.scala 328:34] + node _T_1697 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1698 = bits(io.din, 9, 7) @[el2_ifu_compress_ctl.scala 244:22] + node _T_1699 = bits(io.din, 12, 10) @[el2_ifu_compress_ctl.scala 244:30] + node _T_1700 = cat(_T_1698, _T_1699) @[Cat.scala 29:58] + node _T_1701 = cat(_T_1700, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_1702 = bits(_T_1701, 4, 0) @[el2_ifu_compress_ctl.scala 328:66] + node _T_1703 = cat(UInt<3>("h03"), _T_1702) @[Cat.scala 29:58] + node _T_1704 = cat(_T_1703, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_1705 = cat(_T_1696, _T_1697) @[Cat.scala 29:58] + node _T_1706 = cat(_T_1705, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_1707 = cat(_T_1706, _T_1704) @[Cat.scala 29:58] + node _T_1708 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1709 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1710 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1711 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1711.bits <= _T_1707 @[el2_ifu_compress_ctl.scala 226:14] + _T_1711.rd <= _T_1708 @[el2_ifu_compress_ctl.scala 227:12] + _T_1711.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_1711.rs2 <= _T_1709 @[el2_ifu_compress_ctl.scala 229:13] + _T_1711.rs3 <= _T_1710 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1712 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_1713 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_1714 = cat(_T_1712, _T_1713) @[Cat.scala 29:58] + node _T_1715 = cat(_T_1714, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1716 = shr(_T_1715, 5) @[el2_ifu_compress_ctl.scala 327:33] + node _T_1717 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1718 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_1719 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_1720 = cat(_T_1718, _T_1719) @[Cat.scala 29:58] + node _T_1721 = cat(_T_1720, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1722 = bits(_T_1721, 4, 0) @[el2_ifu_compress_ctl.scala 327:65] + node _T_1723 = cat(UInt<3>("h02"), _T_1722) @[Cat.scala 29:58] + node _T_1724 = cat(_T_1723, UInt<7>("h023")) @[Cat.scala 29:58] + node _T_1725 = cat(_T_1716, _T_1717) @[Cat.scala 29:58] + node _T_1726 = cat(_T_1725, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_1727 = cat(_T_1726, _T_1724) @[Cat.scala 29:58] + node _T_1728 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1729 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1730 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1731 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1731.bits <= _T_1727 @[el2_ifu_compress_ctl.scala 226:14] + _T_1731.rd <= _T_1728 @[el2_ifu_compress_ctl.scala 227:12] + _T_1731.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_1731.rs2 <= _T_1729 @[el2_ifu_compress_ctl.scala 229:13] + _T_1731.rs3 <= _T_1730 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1732 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_1733 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_1734 = cat(_T_1732, _T_1733) @[Cat.scala 29:58] + node _T_1735 = cat(_T_1734, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1736 = shr(_T_1735, 5) @[el2_ifu_compress_ctl.scala 330:40] + node _T_1737 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1738 = bits(io.din, 8, 7) @[el2_ifu_compress_ctl.scala 243:22] + node _T_1739 = bits(io.din, 12, 9) @[el2_ifu_compress_ctl.scala 243:30] + node _T_1740 = cat(_T_1738, _T_1739) @[Cat.scala 29:58] + node _T_1741 = cat(_T_1740, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_1742 = bits(_T_1741, 4, 0) @[el2_ifu_compress_ctl.scala 330:72] + node _T_1743 = cat(UInt<3>("h02"), _T_1742) @[Cat.scala 29:58] + node _T_1744 = cat(_T_1743, UInt<7>("h027")) @[Cat.scala 29:58] + node _T_1745 = cat(_T_1736, _T_1737) @[Cat.scala 29:58] + node _T_1746 = cat(_T_1745, UInt<5>("h02")) @[Cat.scala 29:58] + node _T_1747 = cat(_T_1746, _T_1744) @[Cat.scala 29:58] + node _T_1748 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 237:13] + node _T_1749 = bits(io.din, 6, 2) @[el2_ifu_compress_ctl.scala 236:14] + node _T_1750 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1751 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1751.bits <= _T_1747 @[el2_ifu_compress_ctl.scala 226:14] + _T_1751.rd <= _T_1748 @[el2_ifu_compress_ctl.scala 227:12] + _T_1751.rs1 <= UInt<5>("h02") @[el2_ifu_compress_ctl.scala 228:13] + _T_1751.rs2 <= _T_1749 @[el2_ifu_compress_ctl.scala 229:13] + _T_1751.rs3 <= _T_1750 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1752 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1753 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1754 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1755 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1756 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1756.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1756.rd <= _T_1752 @[el2_ifu_compress_ctl.scala 227:12] + _T_1756.rs1 <= _T_1753 @[el2_ifu_compress_ctl.scala 228:13] + _T_1756.rs2 <= _T_1754 @[el2_ifu_compress_ctl.scala 229:13] + _T_1756.rs3 <= _T_1755 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1757 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1758 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1759 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1760 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1761 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1761.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1761.rd <= _T_1757 @[el2_ifu_compress_ctl.scala 227:12] + _T_1761.rs1 <= _T_1758 @[el2_ifu_compress_ctl.scala 228:13] + _T_1761.rs2 <= _T_1759 @[el2_ifu_compress_ctl.scala 229:13] + _T_1761.rs3 <= _T_1760 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1762 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1763 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1764 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1765 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1766 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1766.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1766.rd <= _T_1762 @[el2_ifu_compress_ctl.scala 227:12] + _T_1766.rs1 <= _T_1763 @[el2_ifu_compress_ctl.scala 228:13] + _T_1766.rs2 <= _T_1764 @[el2_ifu_compress_ctl.scala 229:13] + _T_1766.rs3 <= _T_1765 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1767 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1768 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1769 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1770 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1771 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1771.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1771.rd <= _T_1767 @[el2_ifu_compress_ctl.scala 227:12] + _T_1771.rs1 <= _T_1768 @[el2_ifu_compress_ctl.scala 228:13] + _T_1771.rs2 <= _T_1769 @[el2_ifu_compress_ctl.scala 229:13] + _T_1771.rs3 <= _T_1770 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1772 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1773 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1774 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1775 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1776 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1776.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1776.rd <= _T_1772 @[el2_ifu_compress_ctl.scala 227:12] + _T_1776.rs1 <= _T_1773 @[el2_ifu_compress_ctl.scala 228:13] + _T_1776.rs2 <= _T_1774 @[el2_ifu_compress_ctl.scala 229:13] + _T_1776.rs3 <= _T_1775 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1777 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1778 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1779 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1780 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1781 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1781.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1781.rd <= _T_1777 @[el2_ifu_compress_ctl.scala 227:12] + _T_1781.rs1 <= _T_1778 @[el2_ifu_compress_ctl.scala 228:13] + _T_1781.rs2 <= _T_1779 @[el2_ifu_compress_ctl.scala 229:13] + _T_1781.rs3 <= _T_1780 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1782 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1783 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1784 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1785 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1786 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1786.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1786.rd <= _T_1782 @[el2_ifu_compress_ctl.scala 227:12] + _T_1786.rs1 <= _T_1783 @[el2_ifu_compress_ctl.scala 228:13] + _T_1786.rs2 <= _T_1784 @[el2_ifu_compress_ctl.scala 229:13] + _T_1786.rs3 <= _T_1785 @[el2_ifu_compress_ctl.scala 230:13] + node _T_1787 = bits(io.din, 11, 7) @[el2_ifu_compress_ctl.scala 224:36] + node _T_1788 = bits(io.din, 19, 15) @[el2_ifu_compress_ctl.scala 224:57] + node _T_1789 = bits(io.din, 24, 20) @[el2_ifu_compress_ctl.scala 224:79] + node _T_1790 = bits(io.din, 31, 27) @[el2_ifu_compress_ctl.scala 224:101] + wire _T_1791 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>} @[el2_ifu_compress_ctl.scala 225:19] + _T_1791.bits <= io.din @[el2_ifu_compress_ctl.scala 226:14] + _T_1791.rd <= _T_1787 @[el2_ifu_compress_ctl.scala 227:12] + _T_1791.rs1 <= _T_1788 @[el2_ifu_compress_ctl.scala 228:13] + _T_1791.rs2 <= _T_1789 @[el2_ifu_compress_ctl.scala 229:13] + _T_1791.rs3 <= _T_1790 @[el2_ifu_compress_ctl.scala 230:13] + wire _T_1792 : {bits : UInt<32>, rd : UInt<5>, rs1 : UInt<5>, rs2 : UInt<5>, rs3 : UInt<5>}[32] @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[0].rs3 <= _T_921.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[0].rs2 <= _T_921.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[0].rs1 <= _T_921.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[0].rd <= _T_921.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[0].bits <= _T_921.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[1].rs3 <= _T_941.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[1].rs2 <= _T_941.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[1].rs1 <= _T_941.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[1].rd <= _T_941.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[1].bits <= _T_941.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[2].rs3 <= _T_963.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[2].rs2 <= _T_963.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[2].rs1 <= _T_963.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[2].rd <= _T_963.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[2].bits <= _T_963.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[3].rs3 <= _T_985.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[3].rs2 <= _T_985.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[3].rs1 <= _T_985.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[3].rd <= _T_985.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[3].bits <= _T_985.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[4].rs3 <= _T_1016.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[4].rs2 <= _T_1016.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[4].rs1 <= _T_1016.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[4].rd <= _T_1016.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[4].bits <= _T_1016.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[5].rs3 <= _T_1043.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[5].rs2 <= _T_1043.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[5].rs1 <= _T_1043.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[5].rd <= _T_1043.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[5].bits <= _T_1043.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[6].rs3 <= _T_1074.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[6].rs2 <= _T_1074.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[6].rs1 <= _T_1074.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[6].rd <= _T_1074.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[6].bits <= _T_1074.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[7].rs3 <= _T_1105.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[7].rs2 <= _T_1105.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[7].rs1 <= _T_1105.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[7].rd <= _T_1105.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[7].bits <= _T_1105.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[8].rs3 <= _T_1122.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[8].rs2 <= _T_1122.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[8].rs1 <= _T_1122.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[8].rd <= _T_1122.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[8].bits <= _T_1122.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[9].rs3 <= _T_1208.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[9].rs2 <= _T_1208.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[9].rs1 <= _T_1208.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[9].rd <= _T_1208.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[9].bits <= _T_1208.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[10].rs3 <= _T_1223.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[10].rs2 <= _T_1223.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[10].rs1 <= _T_1223.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[10].rd <= _T_1223.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[10].bits <= _T_1223.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[11].rs3 <= _T_1283.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[11].rs2 <= _T_1283.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[11].rs1 <= _T_1283.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[11].rd <= _T_1283.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[11].bits <= _T_1283.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[12].rs3 <= _T_1349.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[12].rs2 <= _T_1349.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[12].rs1 <= _T_1349.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[12].rd <= _T_1349.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[12].bits <= _T_1349.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[13].rs3 <= _T_1436.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[13].rs2 <= _T_1436.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[13].rs1 <= _T_1436.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[13].rd <= _T_1436.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[13].bits <= _T_1436.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[14].rs3 <= _T_1503.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[14].rs2 <= _T_1503.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[14].rs1 <= _T_1503.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[14].rd <= _T_1503.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[14].bits <= _T_1503.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[15].rs3 <= _T_1568.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[15].rs2 <= _T_1568.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[15].rs1 <= _T_1568.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[15].rd <= _T_1568.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[15].bits <= _T_1568.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[16].rs3 <= _T_1585.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[16].rs2 <= _T_1585.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[16].rs1 <= _T_1585.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[16].rd <= _T_1585.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[16].bits <= _T_1585.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[17].rs3 <= _T_1600.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[17].rs2 <= _T_1600.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[17].rs1 <= _T_1600.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[17].rd <= _T_1600.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[17].bits <= _T_1600.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[18].rs3 <= _T_1615.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[18].rs2 <= _T_1615.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[18].rs1 <= _T_1615.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[18].rd <= _T_1615.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[18].bits <= _T_1615.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[19].rs3 <= _T_1630.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[19].rs2 <= _T_1630.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[19].rs1 <= _T_1630.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[19].rd <= _T_1630.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[19].bits <= _T_1630.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[20].rs3 <= _T_1691.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[20].rs2 <= _T_1691.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[20].rs1 <= _T_1691.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[20].rd <= _T_1691.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[20].bits <= _T_1691.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[21].rs3 <= _T_1711.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[21].rs2 <= _T_1711.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[21].rs1 <= _T_1711.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[21].rd <= _T_1711.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[21].bits <= _T_1711.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[22].rs3 <= _T_1731.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[22].rs2 <= _T_1731.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[22].rs1 <= _T_1731.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[22].rd <= _T_1731.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[22].bits <= _T_1731.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[23].rs3 <= _T_1751.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[23].rs2 <= _T_1751.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[23].rs1 <= _T_1751.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[23].rd <= _T_1751.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[23].bits <= _T_1751.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[24].rs3 <= _T_1756.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[24].rs2 <= _T_1756.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[24].rs1 <= _T_1756.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[24].rd <= _T_1756.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[24].bits <= _T_1756.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[25].rs3 <= _T_1761.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[25].rs2 <= _T_1761.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[25].rs1 <= _T_1761.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[25].rd <= _T_1761.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[25].bits <= _T_1761.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[26].rs3 <= _T_1766.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[26].rs2 <= _T_1766.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[26].rs1 <= _T_1766.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[26].rd <= _T_1766.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[26].bits <= _T_1766.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[27].rs3 <= _T_1771.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[27].rs2 <= _T_1771.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[27].rs1 <= _T_1771.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[27].rd <= _T_1771.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[27].bits <= _T_1771.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[28].rs3 <= _T_1776.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[28].rs2 <= _T_1776.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[28].rs1 <= _T_1776.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[28].rd <= _T_1776.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[28].bits <= _T_1776.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[29].rs3 <= _T_1781.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[29].rs2 <= _T_1781.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[29].rs1 <= _T_1781.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[29].rd <= _T_1781.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[29].bits <= _T_1781.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[30].rs3 <= _T_1786.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[30].rs2 <= _T_1786.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[30].rs1 <= _T_1786.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[30].rd <= _T_1786.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[30].bits <= _T_1786.bits @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[31].rs3 <= _T_1791.rs3 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[31].rs2 <= _T_1791.rs2 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[31].rs1 <= _T_1791.rs1 @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[31].rd <= _T_1791.rd @[el2_ifu_compress_ctl.scala 354:20] + _T_1792[31].bits <= _T_1791.bits @[el2_ifu_compress_ctl.scala 354:20] + node _T_1793 = bits(io.din, 1, 0) @[el2_ifu_compress_ctl.scala 355:12] + node _T_1794 = bits(io.din, 15, 13) @[el2_ifu_compress_ctl.scala 355:20] + node _T_1795 = cat(_T_1793, _T_1794) @[Cat.scala 29:58] + io.dout.rs3 <= _T_1792[_T_1795].rs3 @[el2_ifu_compress_ctl.scala 404:13] + io.dout.rs2 <= _T_1792[_T_1795].rs2 @[el2_ifu_compress_ctl.scala 404:13] + io.dout.rs1 <= _T_1792[_T_1795].rs1 @[el2_ifu_compress_ctl.scala 404:13] + io.dout.rd <= _T_1792[_T_1795].rd @[el2_ifu_compress_ctl.scala 404:13] + io.dout.bits <= _T_1792[_T_1795].bits @[el2_ifu_compress_ctl.scala 404:13] diff --git a/el2_ifu_compress_ctl.v b/el2_ifu_compress_ctl.v index f361ec6c..a31352de 100644 --- a/el2_ifu_compress_ctl.v +++ b/el2_ifu_compress_ctl.v @@ -2,13 +2,18 @@ module el2_ifu_compress_ctl( input clock, input reset, input [31:0] io_din, - output [31:0] io_dout + output [31:0] io_dout_bits, + output [4:0] io_dout_rd, + output [4:0] io_dout_rs1, + output [4:0] io_dout_rs2, + output [4:0] io_dout_rs3 ); - wire _T_1 = io_din[1:0] != 2'h3; // @[el2_ifu_compress_ctl.scala 401:27] wire _T_3 = |io_din[12:5]; // @[el2_ifu_compress_ctl.scala 257:29] wire [6:0] _T_4 = _T_3 ? 7'h13 : 7'h1f; // @[el2_ifu_compress_ctl.scala 257:20] + wire [4:0] _T_14 = {2'h1,io_din[4:2]}; // @[Cat.scala 29:58] wire [29:0] _T_18 = {io_din[10:7],io_din[12:11],io_din[5],io_din[6],2'h0,5'h2,3'h0,2'h1,io_din[4:2],_T_4}; // @[Cat.scala 29:58] wire [7:0] _T_28 = {io_din[6:5],io_din[12:10],3'h0}; // @[Cat.scala 29:58] + wire [4:0] _T_30 = {2'h1,io_din[9:7]}; // @[Cat.scala 29:58] wire [27:0] _T_36 = {io_din[6:5],io_din[12:10],3'h0,2'h1,io_din[9:7],3'h3,2'h1,io_din[4:2],7'h7}; // @[Cat.scala 29:58] wire [6:0] _T_50 = {io_din[5],io_din[12:10],io_din[6],2'h0}; // @[Cat.scala 29:58] wire [26:0] _T_58 = {io_din[5],io_din[12:10],io_din[6],2'h0,2'h1,io_din[9:7],3'h2,2'h1,io_din[4:2],7'h3}; // @[Cat.scala 29:58] @@ -36,9 +41,12 @@ module el2_ifu_compress_ctl( wire [2:0] _T_364 = io_din[12] ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_379 = {_T_364,io_din[4:3],io_din[5],io_din[2],io_din[6],4'h0,io_din[11:7],3'h0,io_din[11:7],_T_361}; // @[Cat.scala 29:58] wire [31:0] _T_386_bits = _T_354 ? _T_379 : _T_343; // @[el2_ifu_compress_ctl.scala 296:10] + wire [4:0] _T_386_rd = _T_354 ? io_din[11:7] : io_din[11:7]; // @[el2_ifu_compress_ctl.scala 296:10] + wire [4:0] _T_386_rs2 = _T_354 ? _T_14 : _T_14; // @[el2_ifu_compress_ctl.scala 296:10] + wire [4:0] _T_386_rs3 = _T_354 ? io_din[31:27] : io_din[31:27]; // @[el2_ifu_compress_ctl.scala 296:10] wire [25:0] _T_397 = {io_din[12],io_din[6:2],2'h1,io_din[9:7],3'h5,2'h1,io_din[9:7],7'h13}; // @[Cat.scala 29:58] - wire [30:0] _GEN_172 = {{5'd0}, _T_397}; // @[el2_ifu_compress_ctl.scala 303:23] - wire [30:0] _T_409 = _GEN_172 | 31'h40000000; // @[el2_ifu_compress_ctl.scala 303:23] + wire [30:0] _GEN_184 = {{5'd0}, _T_397}; // @[el2_ifu_compress_ctl.scala 303:23] + wire [30:0] _T_409 = _GEN_184 | 31'h40000000; // @[el2_ifu_compress_ctl.scala 303:23] wire [31:0] _T_422 = {_T_211,io_din[6:2],2'h1,io_din[9:7],3'h7,2'h1,io_din[9:7],7'h13}; // @[Cat.scala 29:58] wire [2:0] _T_426 = {io_din[12],io_din[6:5]}; // @[Cat.scala 29:58] wire _T_428 = io_din[6:5] == 2'h0; // @[el2_ifu_compress_ctl.scala 307:30] @@ -52,8 +60,8 @@ module el2_ifu_compress_ctl( wire [2:0] _GEN_6 = 3'h6 == _T_426 ? 3'h2 : _GEN_5; // @[Cat.scala 29:58] wire [2:0] _GEN_7 = 3'h7 == _T_426 ? 3'h3 : _GEN_6; // @[Cat.scala 29:58] wire [24:0] _T_441 = {2'h1,io_din[4:2],2'h1,io_din[9:7],_GEN_7,2'h1,io_din[9:7],_T_431}; // @[Cat.scala 29:58] - wire [30:0] _GEN_173 = {{6'd0}, _T_441}; // @[el2_ifu_compress_ctl.scala 309:43] - wire [30:0] _T_442 = _GEN_173 | _T_429; // @[el2_ifu_compress_ctl.scala 309:43] + wire [30:0] _GEN_185 = {{6'd0}, _T_441}; // @[el2_ifu_compress_ctl.scala 309:43] + wire [30:0] _T_442 = _GEN_185 | _T_429; // @[el2_ifu_compress_ctl.scala 309:43] wire [31:0] _T_443_0 = {{6'd0}, _T_397}; // @[el2_ifu_compress_ctl.scala 311:19 el2_ifu_compress_ctl.scala 311:19] wire [31:0] _T_443_1 = {{1'd0}, _T_409}; // @[el2_ifu_compress_ctl.scala 311:19 el2_ifu_compress_ctl.scala 311:19] wire [31:0] _GEN_9 = 2'h1 == io_din[11:10] ? _T_443_1 : _T_443_0; // @[el2_ifu_compress_ctl.scala 226:14] @@ -80,6 +88,10 @@ module el2_ifu_compress_ctl( wire [31:0] _T_743_bits = {{7'd0}, _T_739}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] wire [31:0] _T_770_bits = {{7'd0}, _T_766}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] wire [31:0] _T_773_bits = _T_772 ? _T_743_bits : _T_770_bits; // @[el2_ifu_compress_ctl.scala 339:22] + wire [4:0] _T_773_rd = _T_772 ? io_din[11:7] : 5'h0; // @[el2_ifu_compress_ctl.scala 339:22] + wire [4:0] _T_773_rs1 = _T_772 ? 5'h0 : io_din[11:7]; // @[el2_ifu_compress_ctl.scala 339:22] + wire [4:0] _T_773_rs2 = _T_772 ? io_din[6:2] : io_din[6:2]; // @[el2_ifu_compress_ctl.scala 339:22] + wire [4:0] _T_773_rs3 = _T_772 ? io_din[31:27] : io_din[31:27]; // @[el2_ifu_compress_ctl.scala 339:22] wire [24:0] _T_779 = {io_din[6:2],io_din[11:7],3'h0,12'he7}; // @[Cat.scala 29:58] wire [24:0] _T_781 = {_T_761[24:7],7'h73}; // @[Cat.scala 29:58] wire [24:0] _T_782 = _T_781 | 25'h100000; // @[el2_ifu_compress_ctl.scala 341:46] @@ -87,58 +99,180 @@ module el2_ifu_compress_ctl( wire [31:0] _T_755_bits = {{7'd0}, _T_750}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] wire [31:0] _T_789_bits = {{7'd0}, _T_785}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] wire [31:0] _T_792_bits = _T_772 ? _T_755_bits : _T_789_bits; // @[el2_ifu_compress_ctl.scala 343:25] + wire [4:0] _T_792_rd = _T_772 ? io_din[11:7] : 5'h1; // @[el2_ifu_compress_ctl.scala 343:25] + wire [4:0] _T_792_rs1 = _T_772 ? io_din[11:7] : io_din[11:7]; // @[el2_ifu_compress_ctl.scala 343:25] wire [31:0] _T_794_bits = io_din[12] ? _T_792_bits : _T_773_bits; // @[el2_ifu_compress_ctl.scala 344:10] + wire [4:0] _T_794_rd = io_din[12] ? _T_792_rd : _T_773_rd; // @[el2_ifu_compress_ctl.scala 344:10] + wire [4:0] _T_794_rs1 = io_din[12] ? _T_792_rs1 : _T_773_rs1; // @[el2_ifu_compress_ctl.scala 344:10] + wire [4:0] _T_794_rs2 = io_din[12] ? _T_773_rs2 : _T_773_rs2; // @[el2_ifu_compress_ctl.scala 344:10] + wire [4:0] _T_794_rs3 = io_din[12] ? _T_773_rs3 : _T_773_rs3; // @[el2_ifu_compress_ctl.scala 344:10] wire [8:0] _T_798 = {io_din[9:7],io_din[12:10],3'h0}; // @[Cat.scala 29:58] wire [28:0] _T_810 = {_T_798[8:5],io_din[6:2],5'h2,3'h3,_T_798[4:0],7'h27}; // @[Cat.scala 29:58] wire [7:0] _T_818 = {io_din[8:7],io_din[12:9],2'h0}; // @[Cat.scala 29:58] wire [27:0] _T_830 = {_T_818[7:5],io_din[6:2],5'h2,3'h2,_T_818[4:0],7'h23}; // @[Cat.scala 29:58] wire [27:0] _T_850 = {_T_818[7:5],io_din[6:2],5'h2,3'h2,_T_818[4:0],7'h27}; // @[Cat.scala 29:58] wire [4:0] _T_898 = {io_din[1:0],io_din[15:13]}; // @[Cat.scala 29:58] - wire [31:0] _T_24_bits = {{2'd0}, _T_18}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _T_44_bits = {{4'd0}, _T_36}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_17 = 5'h1 == _T_898 ? _T_44_bits : _T_24_bits; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_66_bits = {{5'd0}, _T_58}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_22 = 5'h2 == _T_898 ? _T_66_bits : _GEN_17; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_88_bits = {{5'd0}, _T_80}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_27 = 5'h3 == _T_898 ? _T_88_bits : _GEN_22; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_119_bits = {{5'd0}, _T_111}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_32 = 5'h4 == _T_898 ? _T_119_bits : _GEN_27; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_146_bits = {{4'd0}, _T_138}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_37 = 5'h5 == _T_898 ? _T_146_bits : _GEN_32; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_177_bits = {{5'd0}, _T_169}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_42 = 5'h6 == _T_898 ? _T_177_bits : _GEN_37; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_208_bits = {{5'd0}, _T_200}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_47 = 5'h7 == _T_898 ? _T_208_bits : _GEN_42; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_52 = 5'h8 == _T_898 ? _T_219 : _GEN_47; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_57 = 5'h9 == _T_898 ? _T_306 : _GEN_52; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_62 = 5'ha == _T_898 ? _T_321 : _GEN_57; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_67 = 5'hb == _T_898 ? _T_386_bits : _GEN_62; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_72 = 5'hc == _T_898 ? _GEN_11 : _GEN_67; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_77 = 5'hd == _T_898 ? _T_533 : _GEN_72; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_82 = 5'he == _T_898 ? _T_600 : _GEN_77; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_87 = 5'hf == _T_898 ? _T_667 : _GEN_82; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_688_bits = {{6'd0}, _T_683}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_92 = 5'h10 == _T_898 ? _T_688_bits : _GEN_87; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_703_bits = {{3'd0}, _T_699}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_97 = 5'h11 == _T_898 ? _T_703_bits : _GEN_92; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_718_bits = {{4'd0}, _T_714}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_102 = 5'h12 == _T_898 ? _T_718_bits : _GEN_97; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_733_bits = {{4'd0}, _T_729}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_107 = 5'h13 == _T_898 ? _T_733_bits : _GEN_102; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_112 = 5'h14 == _T_898 ? _T_794_bits : _GEN_107; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_814_bits = {{3'd0}, _T_810}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_117 = 5'h15 == _T_898 ? _T_814_bits : _GEN_112; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_834_bits = {{4'd0}, _T_830}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_122 = 5'h16 == _T_898 ? _T_834_bits : _GEN_117; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _T_854_bits = {{4'd0}, _T_850}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] - wire [31:0] _GEN_127 = 5'h17 == _T_898 ? _T_854_bits : _GEN_122; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_132 = 5'h18 == _T_898 ? io_din : _GEN_127; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_137 = 5'h19 == _T_898 ? io_din : _GEN_132; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_142 = 5'h1a == _T_898 ? io_din : _GEN_137; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_147 = 5'h1b == _T_898 ? io_din : _GEN_142; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_152 = 5'h1c == _T_898 ? io_din : _GEN_147; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_157 = 5'h1d == _T_898 ? io_din : _GEN_152; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_162 = 5'h1e == _T_898 ? io_din : _GEN_157; // @[el2_ifu_compress_ctl.scala 404:19] - wire [31:0] _GEN_167 = 5'h1f == _T_898 ? io_din : _GEN_162; // @[el2_ifu_compress_ctl.scala 404:19] - assign io_dout = _T_1 ? 32'h0 : _GEN_167; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_921_bits = {{2'd0}, _T_18}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _T_941_bits = {{4'd0}, _T_36}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_29 = 5'h1 == _T_898 ? _T_941_bits : _T_921_bits; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_30 = 5'h1 == _T_898 ? _T_14 : _T_14; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_31 = 5'h1 == _T_898 ? _T_30 : 5'h2; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_33 = 5'h1 == _T_898 ? io_din[31:27] : io_din[31:27]; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_963_bits = {{5'd0}, _T_58}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_34 = 5'h2 == _T_898 ? _T_963_bits : _GEN_29; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_35 = 5'h2 == _T_898 ? _T_14 : _GEN_30; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_36 = 5'h2 == _T_898 ? _T_30 : _GEN_31; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_38 = 5'h2 == _T_898 ? io_din[31:27] : _GEN_33; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_985_bits = {{5'd0}, _T_80}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_39 = 5'h3 == _T_898 ? _T_985_bits : _GEN_34; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_40 = 5'h3 == _T_898 ? _T_14 : _GEN_35; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_41 = 5'h3 == _T_898 ? _T_30 : _GEN_36; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_43 = 5'h3 == _T_898 ? io_din[31:27] : _GEN_38; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1016_bits = {{5'd0}, _T_111}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_44 = 5'h4 == _T_898 ? _T_1016_bits : _GEN_39; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_45 = 5'h4 == _T_898 ? _T_14 : _GEN_40; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_46 = 5'h4 == _T_898 ? _T_30 : _GEN_41; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_48 = 5'h4 == _T_898 ? io_din[31:27] : _GEN_43; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1043_bits = {{4'd0}, _T_138}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_49 = 5'h5 == _T_898 ? _T_1043_bits : _GEN_44; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_50 = 5'h5 == _T_898 ? _T_14 : _GEN_45; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_51 = 5'h5 == _T_898 ? _T_30 : _GEN_46; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_53 = 5'h5 == _T_898 ? io_din[31:27] : _GEN_48; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1074_bits = {{5'd0}, _T_169}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_54 = 5'h6 == _T_898 ? _T_1074_bits : _GEN_49; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_55 = 5'h6 == _T_898 ? _T_14 : _GEN_50; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_56 = 5'h6 == _T_898 ? _T_30 : _GEN_51; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_58 = 5'h6 == _T_898 ? io_din[31:27] : _GEN_53; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1105_bits = {{5'd0}, _T_200}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_59 = 5'h7 == _T_898 ? _T_1105_bits : _GEN_54; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_60 = 5'h7 == _T_898 ? _T_14 : _GEN_55; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_61 = 5'h7 == _T_898 ? _T_30 : _GEN_56; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_63 = 5'h7 == _T_898 ? io_din[31:27] : _GEN_58; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_64 = 5'h8 == _T_898 ? _T_219 : _GEN_59; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_65 = 5'h8 == _T_898 ? io_din[11:7] : _GEN_60; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_66 = 5'h8 == _T_898 ? io_din[11:7] : _GEN_61; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_67 = 5'h8 == _T_898 ? _T_14 : _GEN_60; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_68 = 5'h8 == _T_898 ? io_din[31:27] : _GEN_63; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_69 = 5'h9 == _T_898 ? _T_306 : _GEN_64; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_70 = 5'h9 == _T_898 ? 5'h1 : _GEN_65; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_71 = 5'h9 == _T_898 ? io_din[11:7] : _GEN_66; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_72 = 5'h9 == _T_898 ? _T_14 : _GEN_67; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_73 = 5'h9 == _T_898 ? io_din[31:27] : _GEN_68; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_74 = 5'ha == _T_898 ? _T_321 : _GEN_69; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_75 = 5'ha == _T_898 ? io_din[11:7] : _GEN_70; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_76 = 5'ha == _T_898 ? 5'h0 : _GEN_71; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_77 = 5'ha == _T_898 ? _T_14 : _GEN_72; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_78 = 5'ha == _T_898 ? io_din[31:27] : _GEN_73; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_79 = 5'hb == _T_898 ? _T_386_bits : _GEN_74; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_80 = 5'hb == _T_898 ? _T_386_rd : _GEN_75; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_81 = 5'hb == _T_898 ? _T_386_rd : _GEN_76; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_82 = 5'hb == _T_898 ? _T_386_rs2 : _GEN_77; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_83 = 5'hb == _T_898 ? _T_386_rs3 : _GEN_78; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_84 = 5'hc == _T_898 ? _GEN_11 : _GEN_79; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_85 = 5'hc == _T_898 ? _T_30 : _GEN_80; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_86 = 5'hc == _T_898 ? _T_30 : _GEN_81; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_87 = 5'hc == _T_898 ? _T_14 : _GEN_82; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_88 = 5'hc == _T_898 ? io_din[31:27] : _GEN_83; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_89 = 5'hd == _T_898 ? _T_533 : _GEN_84; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_90 = 5'hd == _T_898 ? 5'h0 : _GEN_85; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_91 = 5'hd == _T_898 ? _T_30 : _GEN_86; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_92 = 5'hd == _T_898 ? _T_14 : _GEN_87; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_93 = 5'hd == _T_898 ? io_din[31:27] : _GEN_88; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_94 = 5'he == _T_898 ? _T_600 : _GEN_89; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_95 = 5'he == _T_898 ? _T_30 : _GEN_90; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_96 = 5'he == _T_898 ? _T_30 : _GEN_91; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_97 = 5'he == _T_898 ? 5'h0 : _GEN_92; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_98 = 5'he == _T_898 ? io_din[31:27] : _GEN_93; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_99 = 5'hf == _T_898 ? _T_667 : _GEN_94; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_100 = 5'hf == _T_898 ? 5'h0 : _GEN_95; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_101 = 5'hf == _T_898 ? _T_30 : _GEN_96; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_102 = 5'hf == _T_898 ? 5'h0 : _GEN_97; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_103 = 5'hf == _T_898 ? io_din[31:27] : _GEN_98; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1585_bits = {{6'd0}, _T_683}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_104 = 5'h10 == _T_898 ? _T_1585_bits : _GEN_99; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_105 = 5'h10 == _T_898 ? io_din[11:7] : _GEN_100; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_106 = 5'h10 == _T_898 ? io_din[11:7] : _GEN_101; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_107 = 5'h10 == _T_898 ? io_din[6:2] : _GEN_102; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_108 = 5'h10 == _T_898 ? io_din[31:27] : _GEN_103; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1600_bits = {{3'd0}, _T_699}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_109 = 5'h11 == _T_898 ? _T_1600_bits : _GEN_104; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_110 = 5'h11 == _T_898 ? io_din[11:7] : _GEN_105; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_111 = 5'h11 == _T_898 ? 5'h2 : _GEN_106; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_112 = 5'h11 == _T_898 ? io_din[6:2] : _GEN_107; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_113 = 5'h11 == _T_898 ? io_din[31:27] : _GEN_108; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1615_bits = {{4'd0}, _T_714}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_114 = 5'h12 == _T_898 ? _T_1615_bits : _GEN_109; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_115 = 5'h12 == _T_898 ? io_din[11:7] : _GEN_110; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_116 = 5'h12 == _T_898 ? 5'h2 : _GEN_111; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_117 = 5'h12 == _T_898 ? io_din[6:2] : _GEN_112; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_118 = 5'h12 == _T_898 ? io_din[31:27] : _GEN_113; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1630_bits = {{4'd0}, _T_729}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_119 = 5'h13 == _T_898 ? _T_1630_bits : _GEN_114; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_120 = 5'h13 == _T_898 ? io_din[11:7] : _GEN_115; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_121 = 5'h13 == _T_898 ? 5'h2 : _GEN_116; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_122 = 5'h13 == _T_898 ? io_din[6:2] : _GEN_117; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_123 = 5'h13 == _T_898 ? io_din[31:27] : _GEN_118; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_124 = 5'h14 == _T_898 ? _T_794_bits : _GEN_119; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_125 = 5'h14 == _T_898 ? _T_794_rd : _GEN_120; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_126 = 5'h14 == _T_898 ? _T_794_rs1 : _GEN_121; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_127 = 5'h14 == _T_898 ? _T_794_rs2 : _GEN_122; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_128 = 5'h14 == _T_898 ? _T_794_rs3 : _GEN_123; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1711_bits = {{3'd0}, _T_810}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_129 = 5'h15 == _T_898 ? _T_1711_bits : _GEN_124; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_130 = 5'h15 == _T_898 ? io_din[11:7] : _GEN_125; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_131 = 5'h15 == _T_898 ? 5'h2 : _GEN_126; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_132 = 5'h15 == _T_898 ? io_din[6:2] : _GEN_127; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_133 = 5'h15 == _T_898 ? io_din[31:27] : _GEN_128; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1731_bits = {{4'd0}, _T_830}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_134 = 5'h16 == _T_898 ? _T_1731_bits : _GEN_129; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_135 = 5'h16 == _T_898 ? io_din[11:7] : _GEN_130; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_136 = 5'h16 == _T_898 ? 5'h2 : _GEN_131; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_137 = 5'h16 == _T_898 ? io_din[6:2] : _GEN_132; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_138 = 5'h16 == _T_898 ? io_din[31:27] : _GEN_133; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _T_1751_bits = {{4'd0}, _T_850}; // @[el2_ifu_compress_ctl.scala 225:19 el2_ifu_compress_ctl.scala 226:14] + wire [31:0] _GEN_139 = 5'h17 == _T_898 ? _T_1751_bits : _GEN_134; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_140 = 5'h17 == _T_898 ? io_din[11:7] : _GEN_135; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_141 = 5'h17 == _T_898 ? 5'h2 : _GEN_136; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_142 = 5'h17 == _T_898 ? io_din[6:2] : _GEN_137; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_143 = 5'h17 == _T_898 ? io_din[31:27] : _GEN_138; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_144 = 5'h18 == _T_898 ? io_din : _GEN_139; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_145 = 5'h18 == _T_898 ? io_din[11:7] : _GEN_140; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_146 = 5'h18 == _T_898 ? io_din[19:15] : _GEN_141; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_147 = 5'h18 == _T_898 ? io_din[24:20] : _GEN_142; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_148 = 5'h18 == _T_898 ? io_din[31:27] : _GEN_143; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_149 = 5'h19 == _T_898 ? io_din : _GEN_144; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_150 = 5'h19 == _T_898 ? io_din[11:7] : _GEN_145; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_151 = 5'h19 == _T_898 ? io_din[19:15] : _GEN_146; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_152 = 5'h19 == _T_898 ? io_din[24:20] : _GEN_147; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_153 = 5'h19 == _T_898 ? io_din[31:27] : _GEN_148; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_154 = 5'h1a == _T_898 ? io_din : _GEN_149; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_155 = 5'h1a == _T_898 ? io_din[11:7] : _GEN_150; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_156 = 5'h1a == _T_898 ? io_din[19:15] : _GEN_151; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_157 = 5'h1a == _T_898 ? io_din[24:20] : _GEN_152; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_158 = 5'h1a == _T_898 ? io_din[31:27] : _GEN_153; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_159 = 5'h1b == _T_898 ? io_din : _GEN_154; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_160 = 5'h1b == _T_898 ? io_din[11:7] : _GEN_155; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_161 = 5'h1b == _T_898 ? io_din[19:15] : _GEN_156; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_162 = 5'h1b == _T_898 ? io_din[24:20] : _GEN_157; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_163 = 5'h1b == _T_898 ? io_din[31:27] : _GEN_158; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_164 = 5'h1c == _T_898 ? io_din : _GEN_159; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_165 = 5'h1c == _T_898 ? io_din[11:7] : _GEN_160; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_166 = 5'h1c == _T_898 ? io_din[19:15] : _GEN_161; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_167 = 5'h1c == _T_898 ? io_din[24:20] : _GEN_162; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_168 = 5'h1c == _T_898 ? io_din[31:27] : _GEN_163; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_169 = 5'h1d == _T_898 ? io_din : _GEN_164; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_170 = 5'h1d == _T_898 ? io_din[11:7] : _GEN_165; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_171 = 5'h1d == _T_898 ? io_din[19:15] : _GEN_166; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_172 = 5'h1d == _T_898 ? io_din[24:20] : _GEN_167; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_173 = 5'h1d == _T_898 ? io_din[31:27] : _GEN_168; // @[el2_ifu_compress_ctl.scala 404:13] + wire [31:0] _GEN_174 = 5'h1e == _T_898 ? io_din : _GEN_169; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_175 = 5'h1e == _T_898 ? io_din[11:7] : _GEN_170; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_176 = 5'h1e == _T_898 ? io_din[19:15] : _GEN_171; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_177 = 5'h1e == _T_898 ? io_din[24:20] : _GEN_172; // @[el2_ifu_compress_ctl.scala 404:13] + wire [4:0] _GEN_178 = 5'h1e == _T_898 ? io_din[31:27] : _GEN_173; // @[el2_ifu_compress_ctl.scala 404:13] + assign io_dout_bits = 5'h1f == _T_898 ? io_din : _GEN_174; // @[el2_ifu_compress_ctl.scala 404:13] + assign io_dout_rd = 5'h1f == _T_898 ? io_din[11:7] : _GEN_175; // @[el2_ifu_compress_ctl.scala 404:13] + assign io_dout_rs1 = 5'h1f == _T_898 ? io_din[19:15] : _GEN_176; // @[el2_ifu_compress_ctl.scala 404:13] + assign io_dout_rs2 = 5'h1f == _T_898 ? io_din[24:20] : _GEN_177; // @[el2_ifu_compress_ctl.scala 404:13] + assign io_dout_rs3 = 5'h1f == _T_898 ? io_din[31:27] : _GEN_178; // @[el2_ifu_compress_ctl.scala 404:13] endmodule diff --git a/src/main/scala/ifu/el2_ifu_compress_ctl.scala b/src/main/scala/ifu/el2_ifu_compress_ctl.scala index b8192009..3ab156d2 100644 --- a/src/main/scala/ifu/el2_ifu_compress_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_compress_ctl.scala @@ -389,7 +389,7 @@ class RVCDecoder(x: UInt, xLen: Int) { class el2_ifu_compress_ctl( val XLen: Int, val usingCompressed: Boolean) extends Module { val io = IO(new Bundle { val din = Input(UInt(32.W)) - val dout = Output(UInt(32.W)) + val dout = Output(new ExpandedInstruction) //val rvc = Output(Bool()) //val legal = Output(Bool()) //val waleed_out = Output(UInt(32.W)) @@ -401,7 +401,7 @@ class el2_ifu_compress_ctl( val XLen: Int, val usingCompressed: Boolean) extends val rvc = io.din(1,0) =/= 3.U val inst = new RVCDecoder(io.din, XLen) val decoded = inst.decode - io.dout := Mux(rvc, 0.U, decoded.bits) + io.dout := inst.decode //io.out.rd := 0.U //io.out.rs1 := 0.U //io.out.rs2 := 0.U diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class index acb251ca..3801a5a7 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl$$anon$1.class differ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class index 36b4f829..eecd56c4 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_compress_ctl.class differ