diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index adb4cc43..5d7e6eb0 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,180 +291,180 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 123:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 124:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 126:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 127:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 128:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 129:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 131:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 131:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 131:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 131:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 131:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 131:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 131:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 131:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 131:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 131:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 131:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 131:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 132:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 132:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 132:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 132:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 132:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 132:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 132:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 132:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 132:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 132:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 132:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 132:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 133:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 131:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 132:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 134:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 134:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 134:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 134:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 134:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 134:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 134:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 134:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 134:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 134:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 134:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 134:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 135:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 135:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 135:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 135:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 135:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 135:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 135:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 135:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 135:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 135:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 135:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 135:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 136:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 135:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 138:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 137:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 139:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 141:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 143:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 145:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 147:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 149:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 151:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 153:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 155:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 142:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 154:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 156:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 158:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 160:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 163:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 163:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 166:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 168:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 170:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 171:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 173:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 173:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 175:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] + wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 176:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 178:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -473,763 +473,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 181:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 184:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 185:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 188:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 191:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 191:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 191:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 191:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 191:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 191:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 191:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 191:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 194:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 194:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 194:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 194:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 194:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 194:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 194:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 194:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 191:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 192:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 192:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 192:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 192:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 192:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 192:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 192:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 192:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 194:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 195:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 195:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 195:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 195:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 195:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 195:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 195:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 195:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 192:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 194:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 194:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 194:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 194:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 194:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 194:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 194:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 194:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 195:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 197:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 197:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 197:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 197:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 197:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 197:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 197:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 197:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 194:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 194:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 194:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 194:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 194:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 194:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 194:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 194:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 197:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 197:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 197:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 197:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 197:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 197:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 197:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 197:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 194:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 194:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 194:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 194:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 194:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 194:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 194:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 194:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 197:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 197:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 197:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 197:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 197:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 197:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 197:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 197:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 194:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 194:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 194:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 194:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 194:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 194:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 194:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 194:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 197:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 197:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 197:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 197:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 197:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 197:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 197:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 197:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 195:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 195:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 195:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 195:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 195:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 195:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 195:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 195:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 198:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 198:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 198:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 198:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 198:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 198:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 198:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 198:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 195:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 195:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 195:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 195:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 195:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 195:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 195:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 195:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 198:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 198:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 198:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 198:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 198:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 198:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 198:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 198:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 195:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 195:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 195:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 195:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 195:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 195:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 195:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 195:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 198:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 198:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 198:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 198:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 198:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 198:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 198:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 198:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 195:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 195:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 195:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 195:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 195:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 195:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 195:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 195:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 198:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 198:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 198:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 198:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 198:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 198:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 198:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 198:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 197:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 199:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 199:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 199:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 199:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 199:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 199:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 199:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 199:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 199:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 199:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 199:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 199:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 200:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 202:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 202:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 202:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 202:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 202:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 202:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 202:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 202:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 202:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 202:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 202:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 202:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 199:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 199:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 199:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 199:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 199:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 199:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 199:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 199:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 199:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 199:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 199:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 199:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 202:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 202:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 202:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 202:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 202:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 202:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 202:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 202:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 202:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 202:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 202:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 202:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 199:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 199:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 199:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 199:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 199:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 199:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 199:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 199:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 199:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 199:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 199:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 199:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 202:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 202:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 202:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 202:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 202:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 202:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 202:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 202:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 202:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 202:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 202:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 202:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 199:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 199:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 199:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 199:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 199:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 199:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 199:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 199:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 199:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 199:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 199:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 199:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 202:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 202:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 202:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 202:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 202:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 202:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 202:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 202:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 202:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 202:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 202:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 202:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 199:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 200:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 200:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 200:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 200:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 200:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 200:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 200:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 200:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 200:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 200:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 200:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 200:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 202:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 202:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 202:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 202:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 203:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 203:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 203:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 203:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 203:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 203:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 203:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 203:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 203:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 203:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 203:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 203:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 200:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 200:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 200:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 200:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 200:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 200:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 200:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 200:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 200:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 200:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 200:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 200:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 203:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 203:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 203:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 203:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 203:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 203:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 203:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 203:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 203:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 203:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 203:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 203:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 200:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 200:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 200:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 200:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 200:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 200:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 200:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 200:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 200:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 200:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 200:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 200:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 203:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 203:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 203:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 203:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 203:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 203:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 203:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 203:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 203:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 203:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 203:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 203:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 200:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 200:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 200:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 200:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 200:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 200:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 200:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 200:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 200:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 200:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 200:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 200:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 203:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 203:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 203:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 203:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 203:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 203:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 203:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 203:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 203:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 203:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 203:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 203:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 200:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 200:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 200:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 200:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 203:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 205:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 205:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 205:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 205:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 205:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 205:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 206:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 206:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 206:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 206:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 206:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 206:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 208:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 208:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 208:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 208:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 208:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 208:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 209:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 209:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 209:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 209:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 209:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 209:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 210:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 210:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 210:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 210:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 211:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 211:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 211:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 211:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 210:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 210:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 210:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 210:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 211:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 211:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 211:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 211:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 210:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 210:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 210:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 210:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 211:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 211:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 211:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 211:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 210:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 210:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 210:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 210:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 211:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 211:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 211:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 211:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 213:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 213:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 213:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 213:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 213:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 214:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 214:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 214:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 214:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 213:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 213:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 213:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 213:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 214:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 214:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 214:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 214:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 213:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 213:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 213:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 213:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 214:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 214:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 214:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 214:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 213:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 213:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 213:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 213:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 214:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 214:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 214:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 214:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 216:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 217:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 220:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 217:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 217:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 220:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 220:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 217:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 217:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 220:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 220:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 217:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 217:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 220:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 220:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 217:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 217:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 217:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 217:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 220:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 220:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 220:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 220:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 221:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 218:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 221:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 221:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 218:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 221:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 221:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 218:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 221:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 221:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 218:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 218:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 218:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 218:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 221:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 221:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 221:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 221:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 219:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 222:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 219:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 222:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 219:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 222:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 219:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 219:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 219:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 219:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 220:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 222:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 222:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 222:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 222:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 220:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 220:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 223:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 220:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 220:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 223:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 220:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 220:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 223:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 220:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 220:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 220:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 220:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 223:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 223:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 223:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 223:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 217:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 222:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 220:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 222:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 222:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 225:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 222:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 222:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 225:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 222:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 222:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 225:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 222:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 222:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 222:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 222:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 225:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 225:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 225:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 225:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 223:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 226:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 223:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 226:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 223:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 226:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 223:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 223:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 223:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 223:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 226:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 226:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 226:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 226:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 224:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 227:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 224:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 227:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 224:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 227:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 224:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 224:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 224:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 224:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 227:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 227:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 227:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 227:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 225:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 228:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 225:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 228:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 225:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 228:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 225:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 225:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 225:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 225:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 228:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 228:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 228:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 228:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 222:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 227:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 225:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 230:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1238,23 +1238,23 @@ circuit el2_lsu_bus_buffer : wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] node _T_747 = cat(UInt<4>("h00"), ldst_byteen_r) @[Cat.scala 29:58] - node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 231:61] - node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 231:45] - node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 232:32] - node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 233:32] + node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:61] + node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 234:45] + node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 235:32] + node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 236:32] node _T_749 = cat(UInt<32>("h00"), io.store_data_r) @[Cat.scala 29:58] - node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:73] - node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 234:58] - node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 234:52] - node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 235:35] - node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 236:35] - node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 237:36] - node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 237:57] - node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 237:40] - node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:67] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:74] - node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 239:40] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 239:26] + node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:73] + node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 237:58] + node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 237:52] + node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 238:35] + node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 239:35] + node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:36] + node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:57] + node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 240:40] + node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:67] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:74] + node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 242:40] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:26] node _T_758 = mux(io.lsu_pkt_r.word, _T_755, UInt<1>("h00")) @[Mux.scala 27:72] node _T_759 = mux(io.lsu_pkt_r.half, _T_757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_760 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1262,26 +1262,26 @@ circuit el2_lsu_bus_buffer : node _T_762 = or(_T_761, _T_760) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_762 @[Mux.scala 27:72] - node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 241:55] - node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 241:34] - node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:79] - node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 241:77] - node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 242:36] - node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:56] - node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 242:54] + node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 244:55] + node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 244:34] + node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:79] + node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 244:77] + node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 245:36] + node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:56] + node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 245:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:36] - node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 244:34] - node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 244:49] - node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:44] - node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 245:42] - node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 245:61] - node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 245:107] - node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 245:132] - node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 245:115] - node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 245:95] - node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 245:74] + node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:36] + node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 247:34] + node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 247:49] + node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 248:44] + node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 248:42] + node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 248:61] + node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 248:107] + node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 248:132] + node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 248:115] + node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 248:95] + node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 248:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1290,211 +1290,212 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 250:62] - node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 250:48] - node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 250:98] - node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:82] - node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 250:80] - node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 251:5] - node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 251:16] - node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 251:35] - node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 251:55] - node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 251:53] - node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 251:67] - node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 250:32] - ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 250:18] + node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 253:62] + node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 253:48] + node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 253:98] + node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:82] + node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 253:80] + node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 254:5] + node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 254:16] + node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 254:35] + node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:55] + node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 254:53] + node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 254:67] + node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 253:32] + ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 253:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 256:39] - node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 256:69] - node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 256:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 258:15] + node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 259:39] + node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 259:69] + node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 259:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 259:25] - node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 260:42] - node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 260:70] - node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:95] - node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 260:77] - node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 261:41] - node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 261:65] - node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 261:8] - node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 260:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 262:25] + node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:42] + node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 263:70] + node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 263:95] + node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 263:77] + node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 264:41] + node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 264:65] + node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 264:8] + node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 263:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 265:25] - node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 265:45] - node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 265:76] - node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 265:8] - node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 265:108] - node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 264:46] - node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 265:25] - node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 265:45] - node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 265:76] - node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 265:8] - node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 265:108] - node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 264:46] - node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 265:25] - node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 265:45] - node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 265:76] - node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 265:8] - node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 265:108] - node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 264:46] - node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 265:25] - node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 265:45] - node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 265:76] - node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 265:8] - node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 265:108] - node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 264:46] + node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 268:25] + node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 268:45] + node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:76] + node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 268:8] + node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:108] + node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 267:46] + node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 268:25] + node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 268:45] + node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:76] + node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 268:8] + node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:108] + node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 267:46] + node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 268:25] + node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 268:45] + node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:76] + node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 268:8] + node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:108] + node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 267:46] + node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 268:25] + node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 268:45] + node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:76] + node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 268:8] + node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:108] + node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 267:46] node _T_826 = cat(_T_825, _T_818) @[Cat.scala 29:58] node _T_827 = cat(_T_826, _T_811) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_827, _T_804) @[Cat.scala 29:58] - node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 266:59] - node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 266:79] - node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 266:93] - node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 266:93] - node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 266:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 266:26] - node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 268:36] - node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 268:54] - node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 268:75] - node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 268:88] - node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 268:117] - node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 268:137] - node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 268:124] - node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 268:101] - node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:147] - node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 268:145] - node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:170] - node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 268:168] - ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 268:17] - node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:20] - ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 269:17] - node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 270:63] - node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 270:92] - node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:114] - node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 270:96] - node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 270:130] - node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 270:48] - node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 270:63] - node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 270:92] - node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:114] - node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 270:96] - node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 270:130] - node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 270:48] - node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 270:63] - node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 270:92] - node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:114] - node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 270:96] - node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 270:130] - node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 270:48] - node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 270:63] - node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 270:92] - node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:114] - node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 270:96] - node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 270:130] - node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 270:48] + node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 269:59] + node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 269:79] + node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 269:93] + node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 269:93] + node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 269:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 269:26] + node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 271:36] + node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 271:54] + node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 271:75] + node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 271:88] + node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 271:117] + node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 271:137] + node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 271:124] + node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 271:101] + node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:147] + node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 271:145] + node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:170] + node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 271:168] + ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 271:17] + node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:20] + ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 272:17] + node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 273:63] + node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 273:92] + node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 273:114] + node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 273:96] + node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 273:130] + node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 273:48] + node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 273:63] + node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 273:92] + node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 273:114] + node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 273:96] + node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 273:130] + node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 273:48] + node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 273:63] + node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 273:92] + node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 273:114] + node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 273:96] + node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 273:130] + node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 273:48] + node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 273:63] + node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 273:92] + node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 273:114] + node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 273:96] + node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 273:130] + node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 273:48] node _T_874 = cat(_T_873, _T_866) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_859) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_875, _T_852) @[Cat.scala 29:58] - node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 271:60] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 271:98] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 271:118] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 271:143] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 271:81] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 271:169] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 271:45] - node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 271:60] - node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 271:98] - node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 271:118] - node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 271:143] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 271:81] - node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 271:169] - node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 271:45] - node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 271:60] - node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 271:98] - node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 271:118] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 271:143] - node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 271:81] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 271:169] - node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 271:45] - node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 271:60] - node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 271:98] - node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 271:118] - node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 271:143] - node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 271:81] - node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 271:169] - node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 271:45] + node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 274:60] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 274:98] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 274:118] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 274:143] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 274:81] + node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 274:169] + node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 274:45] + node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 274:60] + node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 274:98] + node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 274:118] + node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 274:143] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 274:81] + node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 274:169] + node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 274:45] + node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 274:60] + node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 274:98] + node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 274:118] + node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 274:143] + node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 274:81] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 274:169] + node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 274:45] + node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 274:60] + node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 274:98] + node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 274:118] + node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 274:143] + node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 274:81] + node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 274:169] + node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 274:45] node _T_908 = cat(_T_907, _T_899) @[Cat.scala 29:58] node _T_909 = cat(_T_908, _T_891) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_909, _T_883) @[Cat.scala 29:58] - node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 273:28] - node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:63] - node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 273:61] - reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 273:24] - _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 273:24] - ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 273:14] - node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 274:120] - node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 274:120] - node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 274:89] + node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 276:28] + node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:63] + node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 276:61] + reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 276:24] + _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 276:24] + ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 276:14] + node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:120] + node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 277:120] + node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 277:89] reg _T_917 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_916 : @[Reg.scala 28:19] _T_917 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 274:12] - node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 275:131] - node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 275:131] - node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 275:100] + ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 277:12] + node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:131] + node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 278:131] + node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 278:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_920 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 276:127] - node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 276:127] - node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 276:96] + node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:127] + node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 279:127] + node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 279:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:128] - node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 277:128] - node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 277:97] + node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:128] + node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 280:128] + node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 280:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_926 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:135] - node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 278:135] - node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 278:104] + node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 281:135] + node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 281:135] + node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 281:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:135] - node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 279:135] - node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 279:104] + node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 282:135] + node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 282:135] + node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 282:104] reg _T_933 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_932 : @[Reg.scala 28:19] _T_933 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 279:19] - node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:134] - node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 280:134] - node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 280:103] + ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 282:19] + node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 283:134] + node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 283:134] + node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 283:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_936 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1503,7 +1504,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_937 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 281:14] + ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 284:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1516,12 +1517,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_938 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_938 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 283:13] + ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 286:13] reg _T_939 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_939 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 284:15] + ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 287:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1530,40 +1531,41 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_940 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_940 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 285:13] - reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 286:59] - _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 286:59] - ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 286:14] + ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 288:13] + reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 289:59] + _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 289:59] + ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 289:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 290:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 293:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") + io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 296:14] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:43] - node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:72] - node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 296:51] - node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 296:97] - node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 296:80] - node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:5] - node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 296:114] - node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:114] - node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:114] - node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 297:114] - node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 297:114] + node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:43] + node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:72] + node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 300:51] + node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 300:97] + node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 300:80] + node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:5] + node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 300:114] + node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 301:114] node _T_953 = mux(_T_949, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_954 = mux(_T_950, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_955 = mux(_T_951, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1573,16 +1575,16 @@ circuit el2_lsu_bus_buffer : node _T_959 = or(_T_958, _T_956) @[Mux.scala 27:72] wire _T_960 : UInt<1> @[Mux.scala 27:72] _T_960 <= _T_959 @[Mux.scala 27:72] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:31] - node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 297:29] - node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 298:111] - node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 298:111] - node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 298:111] - node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 298:111] + node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:31] + node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 301:29] + node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 302:111] + node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 302:111] + node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 302:111] + node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 302:111] node _T_971 = mux(_T_963, _T_964, UInt<1>("h00")) @[Mux.scala 27:72] node _T_972 = mux(_T_965, _T_966, UInt<1>("h00")) @[Mux.scala 27:72] node _T_973 = mux(_T_967, _T_968, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1592,32 +1594,32 @@ circuit el2_lsu_bus_buffer : node _T_977 = or(_T_976, _T_974) @[Mux.scala 27:72] wire _T_978 : UInt<1> @[Mux.scala 27:72] _T_978 <= _T_977 @[Mux.scala 27:72] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:5] - node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 297:140] - node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:119] - node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 298:117] - node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 299:75] - node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 299:95] - node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 299:79] - node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:121] - node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 299:121] - node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 299:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 299:29] - node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:41] - node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 300:39] - node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:60] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 300:58] - node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:93] - node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 300:72] - node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 300:117] - node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] - node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] - node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] - node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:5] + node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 301:140] + node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:119] + node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 302:117] + node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 303:75] + node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 303:95] + node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 303:79] + node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 303:121] + node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 303:121] + node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 303:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 303:29] + node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:41] + node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 304:39] + node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:60] + node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 304:58] + node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:93] + node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 304:72] + node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 304:117] + node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] node _T_1003 = mux(_T_995, _T_996, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1004 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1005 = mux(_T_999, _T_1000, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1627,35 +1629,35 @@ circuit el2_lsu_bus_buffer : node _T_1009 = or(_T_1008, _T_1006) @[Mux.scala 27:72] wire _T_1010 : UInt<30> @[Mux.scala 27:72] _T_1010 <= _T_1009 @[Mux.scala 27:72] - node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 300:123] - node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 300:101] - obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 300:20] + node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 304:123] + node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 304:101] + obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 304:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:53] - node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 302:31] - node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:64] - node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 302:84] - node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 302:61] + node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:53] + node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 306:31] + node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:64] + node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 306:84] + node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 306:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 305:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 307:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 309:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 309:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1668,14 +1670,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 317:32] - node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 317:74] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:52] - node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 317:50] - node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 321:32] + node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 321:74] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:52] + node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 321:50] + node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1025 = mux(_T_1021, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1026 = mux(_T_1022, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1027 = mux(_T_1023, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1685,19 +1687,19 @@ circuit el2_lsu_bus_buffer : node _T_1031 = or(_T_1030, _T_1028) @[Mux.scala 27:72] wire _T_1032 : UInt<3> @[Mux.scala 27:72] _T_1032 <= _T_1031 @[Mux.scala 27:72] - node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 318:36] - node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 318:47] + node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 322:36] + node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 322:47] node _T_1035 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1036 = cat(_T_1035, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1037 = cat(_T_1036, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1046 = mux(_T_1038, _T_1039, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1040, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1048 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1707,16 +1709,16 @@ circuit el2_lsu_bus_buffer : node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] wire _T_1053 : UInt<1> @[Mux.scala 27:72] _T_1053 <= _T_1052 @[Mux.scala 27:72] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:23] - node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 319:21] - node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:23] + node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 323:21] + node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1726,20 +1728,20 @@ circuit el2_lsu_bus_buffer : node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] wire _T_1071 : UInt<1> @[Mux.scala 27:72] _T_1071 <= _T_1070 @[Mux.scala 27:72] - node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 319:141] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:105] - node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 319:103] + node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 323:141] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:105] + node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 323:103] node _T_1075 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1076 = cat(_T_1075, buf_dual[1]) @[Cat.scala 29:58] node _T_1077 = cat(_T_1076, buf_dual[0]) @[Cat.scala 29:58] - node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1086 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1087 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1088 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1752,14 +1754,14 @@ circuit el2_lsu_bus_buffer : node _T_1094 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1095 = cat(_T_1094, buf_samedw[1]) @[Cat.scala 29:58] node _T_1096 = cat(_T_1095, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1105 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1106 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1107 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1769,15 +1771,15 @@ circuit el2_lsu_bus_buffer : node _T_1111 = or(_T_1110, _T_1108) @[Mux.scala 27:72] wire _T_1112 : UInt<1> @[Mux.scala 27:72] _T_1112 <= _T_1111 @[Mux.scala 27:72] - node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 320:77] - node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 324:77] + node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1122 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1123 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1124 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1787,21 +1789,21 @@ circuit el2_lsu_bus_buffer : node _T_1128 = or(_T_1127, _T_1125) @[Mux.scala 27:72] wire _T_1129 : UInt<1> @[Mux.scala 27:72] _T_1129 <= _T_1128 @[Mux.scala 27:72] - node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:150] - node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 320:148] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:8] - node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 320:181] + node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:150] + node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 324:148] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:8] + node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 324:181] node _T_1134 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1135 = cat(_T_1134, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1136 = cat(_T_1135, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1145 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1146 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1147 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1811,38 +1813,38 @@ circuit el2_lsu_bus_buffer : node _T_1151 = or(_T_1150, _T_1148) @[Mux.scala 27:72] wire _T_1152 : UInt<1> @[Mux.scala 27:72] _T_1152 <= _T_1151 @[Mux.scala 27:72] - node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 320:197] - node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 320:269] - node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 319:164] - node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 317:98] - node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:48] - node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 321:46] - node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 321:60] - node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 321:29] - node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:77] - node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 321:75] - node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:93] - node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 321:91] - node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:118] - node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 321:116] - node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 321:142] - obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 317:14] + node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 324:197] + node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 324:269] + node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 323:164] + node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 321:98] + node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:48] + node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 325:46] + node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 325:60] + node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 325:29] + node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:77] + node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 325:75] + node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:93] + node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 325:91] + node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:118] + node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 325:116] + node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 325:142] + obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 321:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 323:47] - node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 323:33] - node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:65] - node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 323:63] - node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 323:77] - node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 323:98] - node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 327:47] + node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 327:33] + node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:65] + node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 327:63] + node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 327:77] + node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 327:98] + node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1852,15 +1854,15 @@ circuit el2_lsu_bus_buffer : node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] wire _T_1188 : UInt<1> @[Mux.scala 27:72] _T_1188 <= _T_1187 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 324:26] - node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 328:26] + node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1197 = mux(_T_1189, _T_1190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1191, _T_1192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1199 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1870,11 +1872,11 @@ circuit el2_lsu_bus_buffer : node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] wire _T_1204 : UInt<1> @[Mux.scala 27:72] _T_1204 <= _T_1203 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 325:31] - node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 329:31] + node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1209 = mux(_T_1205, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1206, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1207, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1884,17 +1886,17 @@ circuit el2_lsu_bus_buffer : node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] wire _T_1216 : UInt<32> @[Mux.scala 27:72] _T_1216 <= _T_1215 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 326:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 327:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 330:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 331:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] node _T_1217 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1222 = mux(_T_1218, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1223 = mux(_T_1219, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1224 = mux(_T_1220, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1904,43 +1906,44 @@ circuit el2_lsu_bus_buffer : node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] wire _T_1229 : UInt<2> @[Mux.scala 27:72] _T_1229 <= _T_1228 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 329:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 333:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 332:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 336:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 334:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 338:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 339:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 337:39] - node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 337:26] - node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 337:68] - node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 337:51] + node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 342:39] + node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:26] + node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 342:68] + node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 342:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 340:40] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:27] - node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 340:70] - node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 340:52] - node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 341:67] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:72] - node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 341:92] - node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 341:111] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:98] - node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 341:96] - node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 341:79] - node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 341:129] - node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 341:147] - node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 341:153] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:134] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 341:132] - node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 341:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 341:28] + node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 345:40] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:27] + node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 345:70] + node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 345:52] + node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 346:67] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:72] + node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 346:92] + node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 346:111] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:98] + node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 346:96] + node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 346:79] + node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 346:129] + node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 346:147] + node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 346:153] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:134] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 346:132] + node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 346:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 346:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -1953,57 +1956,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:44] - node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 349:42] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:29] - node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 349:61] - node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 349:116] - node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 349:96] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:81] - node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 349:79] - node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 350:22] - node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 350:20] - node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 350:37] - node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 350:35] - node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 349:138] + node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:44] + node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 354:42] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:29] + node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 354:61] + node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 354:116] + node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 354:96] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:81] + node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 354:79] + node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:22] + node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 355:20] + node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:37] + node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 355:35] + node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 354:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:46] - node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 352:44] - node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 352:30] + node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:46] + node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 357:44] + node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 357:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 355:34] - node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 355:52] - node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 355:40] - node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 355:60] - node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:80] - node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 355:78] - node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:99] - node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 355:97] - node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:113] - node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 355:111] - node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:130] - node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 355:128] - node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:20] - node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 356:18] - node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 356:90] - node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 356:70] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:55] - node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 356:53] - node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 356:34] - node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 355:165] - obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 355:18] - node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 357:60] + node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 360:34] + node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 360:52] + node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 360:40] + node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 360:60] + node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:80] + node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 360:78] + node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:99] + node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 360:97] + node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:113] + node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 360:111] + node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:130] + node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 360:128] + node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:20] + node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 361:18] + node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 361:90] + node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 361:70] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:55] + node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 361:53] + node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 361:34] + node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 360:165] + obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 360:18] + node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 362:60] node _T_1284 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1285 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 357:46] - node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 362:46] + node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1291 = mux(_T_1287, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1292 = mux(_T_1288, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1293 = mux(_T_1289, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2013,12 +2016,12 @@ circuit el2_lsu_bus_buffer : node _T_1297 = or(_T_1296, _T_1294) @[Mux.scala 27:72] wire _T_1298 : UInt<32> @[Mux.scala 27:72] _T_1298 <= _T_1297 @[Mux.scala 27:72] - node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 358:36] - node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 358:46] - node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 363:36] + node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 363:46] + node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1305 = mux(_T_1301, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1306 = mux(_T_1302, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1307 = mux(_T_1303, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2029,10 +2032,10 @@ circuit el2_lsu_bus_buffer : wire _T_1312 : UInt<4> @[Mux.scala 27:72] _T_1312 <= _T_1311 @[Mux.scala 27:72] node _T_1313 = cat(_T_1312, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1318 = mux(_T_1314, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1319 = mux(_T_1315, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1320 = mux(_T_1316, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2043,16 +2046,16 @@ circuit el2_lsu_bus_buffer : wire _T_1325 : UInt<4> @[Mux.scala 27:72] _T_1325 <= _T_1324 @[Mux.scala 27:72] node _T_1326 = cat(UInt<4>("h00"), _T_1325) @[Cat.scala 29:58] - node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 358:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 357:28] - node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 359:60] + node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 363:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 362:28] + node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:60] node _T_1329 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1330 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 359:46] - node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 364:46] + node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1336 = mux(_T_1332, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1337 = mux(_T_1333, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1338 = mux(_T_1334, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2062,12 +2065,12 @@ circuit el2_lsu_bus_buffer : node _T_1342 = or(_T_1341, _T_1339) @[Mux.scala 27:72] wire _T_1343 : UInt<32> @[Mux.scala 27:72] _T_1343 <= _T_1342 @[Mux.scala 27:72] - node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 360:36] - node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 360:46] - node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] + node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1350 = mux(_T_1346, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1351 = mux(_T_1347, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1352 = mux(_T_1348, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2078,10 +2081,10 @@ circuit el2_lsu_bus_buffer : wire _T_1357 : UInt<4> @[Mux.scala 27:72] _T_1357 <= _T_1356 @[Mux.scala 27:72] node _T_1358 = cat(_T_1357, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1363 = mux(_T_1359, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1364 = mux(_T_1360, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1365 = mux(_T_1361, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2092,16 +2095,16 @@ circuit el2_lsu_bus_buffer : wire _T_1370 : UInt<4> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] node _T_1371 = cat(UInt<4>("h00"), _T_1370) @[Cat.scala 29:58] - node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 360:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 359:28] - node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 362:58] + node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 365:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 364:28] + node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 367:58] node _T_1374 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1375 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 362:44] - node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1381 = mux(_T_1377, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1382 = mux(_T_1378, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1383 = mux(_T_1379, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2111,12 +2114,12 @@ circuit el2_lsu_bus_buffer : node _T_1387 = or(_T_1386, _T_1384) @[Mux.scala 27:72] wire _T_1388 : UInt<32> @[Mux.scala 27:72] _T_1388 <= _T_1387 @[Mux.scala 27:72] - node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 363:36] - node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 363:46] - node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 368:36] + node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 368:46] + node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1395 = mux(_T_1391, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1396 = mux(_T_1392, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1397 = mux(_T_1393, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2127,10 +2130,10 @@ circuit el2_lsu_bus_buffer : wire _T_1402 : UInt<32> @[Mux.scala 27:72] _T_1402 <= _T_1401 @[Mux.scala 27:72] node _T_1403 = cat(_T_1402, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1408 = mux(_T_1404, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1409 = mux(_T_1405, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1410 = mux(_T_1406, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2141,16 +2144,16 @@ circuit el2_lsu_bus_buffer : wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] node _T_1416 = cat(UInt<32>("h00"), _T_1415) @[Cat.scala 29:58] - node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 363:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 362:26] - node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:58] + node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 368:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 367:26] + node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 369:58] node _T_1419 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1420 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 369:44] + node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1426 = mux(_T_1422, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1427 = mux(_T_1423, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1428 = mux(_T_1424, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2160,12 +2163,12 @@ circuit el2_lsu_bus_buffer : node _T_1432 = or(_T_1431, _T_1429) @[Mux.scala 27:72] wire _T_1433 : UInt<32> @[Mux.scala 27:72] _T_1433 <= _T_1432 @[Mux.scala 27:72] - node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] - node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 370:36] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 370:46] + node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1440 = mux(_T_1436, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1441 = mux(_T_1437, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1442 = mux(_T_1438, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2176,10 +2179,10 @@ circuit el2_lsu_bus_buffer : wire _T_1447 : UInt<32> @[Mux.scala 27:72] _T_1447 <= _T_1446 @[Mux.scala 27:72] node _T_1448 = cat(_T_1447, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1453 = mux(_T_1449, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1454 = mux(_T_1450, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1455 = mux(_T_1451, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2190,40 +2193,40 @@ circuit el2_lsu_bus_buffer : wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] node _T_1461 = cat(UInt<32>("h00"), _T_1460) @[Cat.scala 29:58] - node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 365:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 364:26] - node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 370:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 369:26] + node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 371:63] node _T_1495 = cat(_T_1494, _T_1490) @[Cat.scala 29:58] node _T_1496 = cat(_T_1495, _T_1486) @[Cat.scala 29:58] node _T_1497 = cat(_T_1496, _T_1482) @[Cat.scala 29:58] @@ -2231,46 +2234,46 @@ circuit el2_lsu_bus_buffer : node _T_1499 = cat(_T_1498, _T_1474) @[Cat.scala 29:58] node _T_1500 = cat(_T_1499, _T_1470) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1500, _T_1466) @[Cat.scala 29:58] - node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1504 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1509 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1514 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1519 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1524 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1529 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1534 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1539 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1504 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1509 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1514 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1519 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1524 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1529 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1534 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1539 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 372:44] node _T_1541 = cat(_T_1540, _T_1535) @[Cat.scala 29:58] node _T_1542 = cat(_T_1541, _T_1530) @[Cat.scala 29:58] node _T_1543 = cat(_T_1542, _T_1525) @[Cat.scala 29:58] @@ -2278,18 +2281,18 @@ circuit el2_lsu_bus_buffer : node _T_1545 = cat(_T_1544, _T_1515) @[Cat.scala 29:58] node _T_1546 = cat(_T_1545, _T_1510) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1546, _T_1505) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 368:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 370:30] - node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 370:43] - node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 370:59] - node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 373:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 375:30] + node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 375:43] + node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 375:59] + node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1554 = mux(_T_1550, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1555 = mux(_T_1551, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1556 = mux(_T_1552, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2299,12 +2302,12 @@ circuit el2_lsu_bus_buffer : node _T_1560 = or(_T_1559, _T_1557) @[Mux.scala 27:72] wire _T_1561 : UInt<3> @[Mux.scala 27:72] _T_1561 <= _T_1560 @[Mux.scala 27:72] - node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 370:107] - node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 370:75] - node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 375:107] + node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 375:75] + node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1568 = mux(_T_1564, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1569 = mux(_T_1565, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1570 = mux(_T_1566, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2314,19 +2317,19 @@ circuit el2_lsu_bus_buffer : node _T_1574 = or(_T_1573, _T_1571) @[Mux.scala 27:72] wire _T_1575 : UInt<3> @[Mux.scala 27:72] _T_1575 <= _T_1574 @[Mux.scala 27:72] - node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 370:150] - node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 370:118] + node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 375:150] + node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 375:118] node _T_1578 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1579 = cat(_T_1578, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1580 = cat(_T_1579, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1589 = mux(_T_1581, _T_1582, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1590 = mux(_T_1583, _T_1584, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1591 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2336,16 +2339,16 @@ circuit el2_lsu_bus_buffer : node _T_1595 = or(_T_1594, _T_1592) @[Mux.scala 27:72] wire _T_1596 : UInt<1> @[Mux.scala 27:72] _T_1596 <= _T_1595 @[Mux.scala 27:72] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:3] - node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 370:161] - node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:3] + node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 375:161] + node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1607 = mux(_T_1599, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1608 = mux(_T_1601, _T_1602, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1609 = mux(_T_1603, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2355,16 +2358,16 @@ circuit el2_lsu_bus_buffer : node _T_1613 = or(_T_1612, _T_1610) @[Mux.scala 27:72] wire _T_1614 : UInt<1> @[Mux.scala 27:72] _T_1614 <= _T_1613 @[Mux.scala 27:72] - node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:85] - node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 371:83] - node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:85] + node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 376:83] + node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1625 = mux(_T_1617, _T_1618, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1626 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1627 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2374,14 +2377,14 @@ circuit el2_lsu_bus_buffer : node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] wire _T_1632 : UInt<1> @[Mux.scala 27:72] _T_1632 <= _T_1631 @[Mux.scala 27:72] - node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1641 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1635, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2391,11 +2394,11 @@ circuit el2_lsu_bus_buffer : node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] wire _T_1648 : UInt<1> @[Mux.scala 27:72] _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 372:36] - node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 377:36] + node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1654 = mux(_T_1650, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1655 = mux(_T_1651, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1656 = mux(_T_1652, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2405,11 +2408,11 @@ circuit el2_lsu_bus_buffer : node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] wire _T_1661 : UInt<32> @[Mux.scala 27:72] _T_1661 <= _T_1660 @[Mux.scala 27:72] - node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 373:33] - node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 378:33] + node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1667 = mux(_T_1663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1668 = mux(_T_1664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1669 = mux(_T_1665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2419,21 +2422,21 @@ circuit el2_lsu_bus_buffer : node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] wire _T_1674 : UInt<32> @[Mux.scala 27:72] _T_1674 <= _T_1673 @[Mux.scala 27:72] - node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 373:69] - node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 373:39] - node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 372:67] - node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:79] - node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 373:77] - node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:105] - node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 373:103] - node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 378:69] + node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 378:39] + node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 377:67] + node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:79] + node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 378:77] + node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:105] + node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 378:103] + node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1690 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1691 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1692 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2443,18 +2446,18 @@ circuit el2_lsu_bus_buffer : node _T_1696 = or(_T_1695, _T_1693) @[Mux.scala 27:72] wire _T_1697 : UInt<1> @[Mux.scala 27:72] _T_1697 <= _T_1696 @[Mux.scala 27:72] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:6] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:6] node _T_1699 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1700 = cat(_T_1699, buf_dual[1]) @[Cat.scala 29:58] node _T_1701 = cat(_T_1700, buf_dual[0]) @[Cat.scala 29:58] - node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1710 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1711 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1712 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2464,18 +2467,18 @@ circuit el2_lsu_bus_buffer : node _T_1716 = or(_T_1715, _T_1713) @[Mux.scala 27:72] wire _T_1717 : UInt<1> @[Mux.scala 27:72] _T_1717 <= _T_1716 @[Mux.scala 27:72] - node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 374:36] + node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 379:36] node _T_1719 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1720 = cat(_T_1719, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1721 = cat(_T_1720, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1730 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1731 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1732 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2485,19 +2488,19 @@ circuit el2_lsu_bus_buffer : node _T_1736 = or(_T_1735, _T_1733) @[Mux.scala 27:72] wire _T_1737 : UInt<1> @[Mux.scala 27:72] _T_1737 <= _T_1736 @[Mux.scala 27:72] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:107] - node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 374:105] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:107] + node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 379:105] node _T_1740 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1741 = cat(_T_1740, buf_samedw[1]) @[Cat.scala 29:58] node _T_1742 = cat(_T_1741, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1751 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1752 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1753 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2507,43 +2510,43 @@ circuit el2_lsu_bus_buffer : node _T_1757 = or(_T_1756, _T_1754) @[Mux.scala 27:72] wire _T_1758 : UInt<1> @[Mux.scala 27:72] _T_1758 <= _T_1757 @[Mux.scala 27:72] - node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 374:177] - node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 373:126] - node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 371:120] - node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 375:19] - node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 375:35] - node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 374:251] - obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 370:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 377:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 377:55] - node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 378:58] - node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:93] - node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 378:91] - reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 378:54] - _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 378:54] - obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 378:14] + node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 379:177] + node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 378:126] + node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 376:120] + node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 380:19] + node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 380:35] + node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 379:251] + obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 375:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 382:55] + node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 383:58] + node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 383:93] + node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 383:91] + reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 383:54] + _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 383:54] + obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 383:14] reg _T_1769 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1769 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 379:15] - reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 380:54] - _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 380:54] - obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 380:17] - reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 381:55] - _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 381:55] - obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 381:18] - reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:56] - _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 382:56] - obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 382:19] - reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 383:55] - _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 383:55] - obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 383:18] + obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 384:15] + reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 385:54] + _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 385:54] + obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 385:17] + reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 386:55] + _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 386:55] + obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 386:18] + reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 387:56] + _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 387:56] + obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 387:19] + reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 388:55] + _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 388:55] + obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 388:18] reg _T_1774 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1774 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 384:13] + obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 389:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2556,12 +2559,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 387:14] + obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 392:14] reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1776 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 388:19] + obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 393:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2574,7 +2577,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1777 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1777 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 390:13] + obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 395:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2587,227 +2590,227 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 393:54] - _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 393:54] - obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 393:17] + reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 398:54] + _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 398:54] + obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 398:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 400:70] node _T_1823 = mux(_T_1822, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1824 = mux(_T_1811, UInt<2>("h02"), _T_1823) @[Mux.scala 98:16] node _T_1825 = mux(_T_1800, UInt<1>("h01"), _T_1824) @[Mux.scala 98:16] node _T_1826 = mux(_T_1789, UInt<1>("h00"), _T_1825) @[Mux.scala 98:16] - WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 397:12] - node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 398:70] - node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 398:70] - node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 398:70] - node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 398:70] + WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 402:12] + node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 403:70] node _T_1883 = mux(_T_1882, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 402:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 405:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 405:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 405:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 405:88] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 407:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 410:88] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 410:88] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 410:88] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 410:88] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 406:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 406:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 406:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 406:123] + node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 411:123] node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 407:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 409:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 409:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 409:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 409:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 412:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 414:70] + node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 414:70] + node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 414:70] + node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 414:70] node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 410:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 410:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 411:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 411:17] + node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 415:31] + found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 415:17] + node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 416:31] + found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 416:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> @@ -2816,1665 +2819,1665 @@ circuit el2_lsu_bus_buffer : RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] - node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] - node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 413:42] - node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] - node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 413:48] - node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] - node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 413:54] - node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] - node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] - node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 413:67] - node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] - node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 413:73] - node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] - node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 413:79] - node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] - node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] - node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 413:92] - node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] - node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 413:98] - node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] - node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 413:104] + node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] + node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] + node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 418:42] + node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] + node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 418:48] + node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] + node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 418:54] + node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] + node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] + node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 418:67] + node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] + node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 418:73] + node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] + node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 418:79] + node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] + node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] + node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 418:92] + node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] + node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 418:98] + node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] + node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 418:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 418:11] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 423:11] node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] - node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] - node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 413:42] - node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] - node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 413:48] - node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] - node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 413:54] - node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] - node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] - node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 413:67] - node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] - node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 413:73] - node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 413:79] - node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] - node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] - node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 413:92] - node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] - node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 413:98] - node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] - node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 413:104] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 418:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 418:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 418:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 418:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 418:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 418:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 418:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 418:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 418:104] node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] - CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 420:11] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 425:11] node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] - node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] - node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 413:42] - node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 413:48] - node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] - node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 413:54] - node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] - node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 413:67] - node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 413:73] - node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 413:79] - node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] - node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 413:92] - node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 413:98] - node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] - node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 413:104] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 418:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 418:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 418:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 418:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 418:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 418:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 418:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 418:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 418:104] node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] - RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 421:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 422:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 430:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 436:97] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 426:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 427:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 435:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 441:97] node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] - node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 441:97] node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] - node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 441:97] node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] - node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 441:97] node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 437:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 439:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 442:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 444:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 444:78] node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 444:78] node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] - node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 444:78] node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] - buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 439:13] - buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 439:13] - buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 439:13] - buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 439:13] - node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 440:74] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 444:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 444:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 444:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 444:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 445:74] node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] - node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 445:74] node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] - node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 445:74] node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] - node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 445:74] node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 440:21] - buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 440:21] - buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 440:21] - buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 440:21] - node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 441:89] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 445:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 445:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 445:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 445:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 446:89] node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] - node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 446:89] node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] - node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 446:89] node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] - node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 446:89] node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 441:21] - buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 441:21] - buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 441:21] - buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 441:21] - node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 443:114] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 446:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 446:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 446:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 446:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 448:114] node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] - node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 448:114] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 448:114] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 443:114] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 444:34] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 445:43] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 445:92] - node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 444:61] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 446:54] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 446:73] - node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 443:114] - node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 444:34] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 445:43] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 445:92] - node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 444:61] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 446:54] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 446:73] - node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 443:114] - node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 444:34] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 445:43] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 445:92] - node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 444:61] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 446:54] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 446:73] - node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 448:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 449:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 450:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 450:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 449:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 451:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 451:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 450:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 448:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 449:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 450:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 450:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 449:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 451:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 451:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 450:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 448:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 449:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 450:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 450:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 449:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 451:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 451:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 450:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 448:114] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 443:20] - buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 443:20] - buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 443:20] - buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 443:20] - node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 447:90] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 448:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 448:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 448:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 448:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 452:90] node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] - node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 452:90] node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 452:90] node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] - node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 452:90] node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 447:19] - buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 447:19] - buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 447:19] - buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 447:19] - node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 448:84] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 452:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 452:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 452:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 452:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 453:84] node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] - node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 453:84] node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] - node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 453:84] node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] - node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 453:84] node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 448:16] - buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 448:16] - buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 448:16] - buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 448:16] - node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 453:65] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 453:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 453:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 453:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 453:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 458:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 458:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 458:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 458:65] node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 453:23] - node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 454:48] - buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 454:19] - buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 454:19] - buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 454:19] - buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 454:19] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 455:46] - node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 455:46] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 455:46] - node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 455:46] - buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 455:17] - buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 455:17] - buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 455:17] - buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 455:17] - node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] - node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] - node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] - node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 458:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 459:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 459:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 459:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 459:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 459:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 459:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 459:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 459:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 460:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 460:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 460:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 460:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 460:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 460:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 460:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 460:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] - buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 456:17] - node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 461:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] - buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 457:19] - node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] - node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] - node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 462:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 458:20] - node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 459:49] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 463:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 464:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 464:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 464:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 464:49] node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 459:19] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 460:49] - node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 460:49] - node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 460:49] - node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 460:49] - buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 460:20] - buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 460:20] - buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 460:20] - buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 460:20] - node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 464:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 465:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 465:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 465:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 465:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 465:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 461:23] - node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 466:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] - buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 462:19] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:62] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 467:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 468:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 463:44] - node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 468:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 468:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 463:44] - node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 468:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 468:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 463:44] - node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:62] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 468:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 468:62] node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 463:44] - buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 463:15] - buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 463:15] - buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 463:15] - buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 463:15] - node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] - node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] - node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 468:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 468:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 468:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 468:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 468:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] - buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 464:18] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 469:18] node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3437 : @[Conditional.scala 40:58] - node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 470:95] - node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 470:161] - node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 470:132] - node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 470:63] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 470:201] - node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 472:24] - node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 473:47] - node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 473:24] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 475:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 475:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 475:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 475:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 475:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 477:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3460 : @[Conditional.scala 39:67] - node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3464 : @[Conditional.scala 39:67] - node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 480:25] - node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 481:91] - node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 481:77] - node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 482:29] - node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:56] - node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 485:44] - node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 486:28] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 487:24] - node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 489:24] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 485:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 486:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 487:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 491:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3498 : @[Conditional.scala 39:67] - node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 492:71] - node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 492:55] - node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 493:28] - node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:57] - node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 493:61] - node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 497:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 498:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 498:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 498:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 498:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 499:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 499:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 499:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4484,273 +4487,273 @@ circuit el2_lsu_bus_buffer : node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] wire _T_3534 : UInt<1> @[Mux.scala 27:72] _T_3534 <= _T_3533 @[Mux.scala 27:72] - node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 494:101] - node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 494:138] - node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 493:14] - node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 495:52] - node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 497:23] - node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 497:27] - node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 498:54] - node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 498:42] - node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 496:25] - node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 499:29] - node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 501:24] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 502:91] - node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 503:31] - node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 503:46] - node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 502:143] - node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 504:53] - node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 503:88] - node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 505:72] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 505:24] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 499:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 499:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 498:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 501:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 503:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 503:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 502:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 501:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 504:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 508:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 508:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 507:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 509:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 508:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 510:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 508:86] - node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 510:38] - node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 509:95] - node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 509:29] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 513:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 513:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 515:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 514:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 514:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3603 : @[Conditional.scala 39:67] - node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 515:80] - node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 515:65] - node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 520:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 520:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3611 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3612 : @[Reg.scala 28:19] _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 527:20] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 532:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 528:20] - node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:74] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 533:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 529:17] - node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:78] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 534:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 530:19] - node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:80] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 535:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 531:20] - node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 532:78] - node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 536:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3628 : @[Reg.scala 28:19] _T_3629 <= _T_3627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 532:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 537:19] node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3630 : @[Conditional.scala 40:58] - node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 470:95] - node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 470:161] - node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 470:132] - node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 470:63] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 470:201] - node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 472:24] - node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 473:47] - node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 473:24] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 475:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 475:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 475:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 475:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 475:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 477:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3653 : @[Conditional.scala 39:67] - node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3657 : @[Conditional.scala 39:67] - node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 480:25] - node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 481:91] - node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 481:77] - node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 482:29] - node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:56] - node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 485:44] - node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 486:28] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 487:24] - node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 489:24] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 485:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 486:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 487:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 491:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 492:71] - node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 492:55] - node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 493:28] - node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:57] - node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 493:61] - node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 497:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 498:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 498:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 498:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 498:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 499:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 499:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 499:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4760,273 +4763,273 @@ circuit el2_lsu_bus_buffer : node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] wire _T_3727 : UInt<1> @[Mux.scala 27:72] _T_3727 <= _T_3726 @[Mux.scala 27:72] - node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 494:101] - node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 494:138] - node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 493:14] - node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 495:52] - node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 497:23] - node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 497:27] - node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 498:54] - node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 498:42] - node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 496:25] - node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 499:29] - node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 501:24] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 502:91] - node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 503:31] - node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 503:46] - node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 502:143] - node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 504:53] - node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 503:88] - node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 505:72] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 505:24] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 499:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 499:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 498:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 501:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 503:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 503:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 502:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 501:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 504:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 508:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 508:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 507:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 509:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 508:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 510:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 508:86] - node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 510:38] - node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 509:95] - node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 509:29] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 513:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 513:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 515:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 514:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 514:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3796 : @[Conditional.scala 39:67] - node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 515:80] - node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 515:65] - node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 520:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 520:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3804 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3805 : @[Reg.scala 28:19] _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 527:20] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 532:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 528:20] - node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:74] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 533:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 529:17] - node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:78] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 534:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 530:19] - node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:80] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 535:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 531:20] - node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 532:78] - node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 536:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3821 : @[Reg.scala 28:19] _T_3822 <= _T_3820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 532:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 537:19] node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3823 : @[Conditional.scala 40:58] - node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 470:95] - node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 470:161] - node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 470:132] - node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 470:63] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 470:201] - node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 472:24] - node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 473:47] - node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 473:24] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 475:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 475:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 475:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 475:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 475:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 477:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3846 : @[Conditional.scala 39:67] - node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3850 : @[Conditional.scala 39:67] - node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 480:25] - node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 481:91] - node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 481:77] - node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 482:29] - node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:56] - node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 485:44] - node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 486:28] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 487:24] - node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 489:24] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 485:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 486:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 487:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 491:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 492:71] - node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 492:55] - node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 493:28] - node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:57] - node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 493:61] - node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 497:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 498:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 498:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 498:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 498:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 499:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 499:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 499:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5036,273 +5039,273 @@ circuit el2_lsu_bus_buffer : node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] wire _T_3920 : UInt<1> @[Mux.scala 27:72] _T_3920 <= _T_3919 @[Mux.scala 27:72] - node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 494:101] - node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 494:138] - node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 493:14] - node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 495:52] - node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 497:23] - node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 497:27] - node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 498:54] - node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 498:42] - node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 496:25] - node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 499:29] - node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 501:24] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 502:91] - node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 503:31] - node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 503:46] - node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 502:143] - node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 504:53] - node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 503:88] - node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 505:72] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 505:24] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 499:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 499:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 498:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 501:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 503:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 503:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 502:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 501:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 504:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 508:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 508:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 507:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 509:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 508:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 510:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 508:86] - node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 510:38] - node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 509:95] - node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 509:29] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 513:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 513:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 515:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 514:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 514:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3989 : @[Conditional.scala 39:67] - node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 515:80] - node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 515:65] - node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 520:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 520:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3997 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3998 : @[Reg.scala 28:19] _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 532:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:74] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 533:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 529:17] - node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:78] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 534:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 530:19] - node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:80] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 535:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 531:20] - node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 532:78] - node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4014 : @[Reg.scala 28:19] _T_4015 <= _T_4013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 532:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 537:19] node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4016 : @[Conditional.scala 40:58] - node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 469:25] - node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 470:95] - node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 470:112] - node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 470:161] - node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 470:132] - node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 470:63] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 470:201] - node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 472:24] - node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 473:47] - node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 473:24] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 474:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 475:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 475:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 475:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 475:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 475:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 475:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 477:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 478:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4039 : @[Conditional.scala 39:67] - node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 476:25] - node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 477:25] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 481:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4043 : @[Conditional.scala 39:67] - node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 480:104] - node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 480:25] - node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 481:91] - node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 481:77] - node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 482:29] - node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:56] - node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 485:44] - node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 485:25] - node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 486:28] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 487:24] - node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 488:25] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 489:73] - node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 489:24] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 485:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 485:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 486:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 486:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 487:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 490:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 490:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 491:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 492:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 493:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 494:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] - node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 492:71] - node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 492:55] - node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 493:28] - node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:57] - node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 493:45] - node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 493:61] - node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:27] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 494:68] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 494:97] - node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 494:85] - node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 497:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 497:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 497:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 498:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 498:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 498:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 498:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 499:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 499:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 499:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5312,172 +5315,172 @@ circuit el2_lsu_bus_buffer : node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] wire _T_4113 : UInt<1> @[Mux.scala 27:72] _T_4113 <= _T_4112 @[Mux.scala 27:72] - node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 494:101] - node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 494:138] - node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 494:53] - node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 493:14] - node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 492:25] - node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 495:52] - node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 497:23] - node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 497:27] - node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 496:77] - node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 498:54] - node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 498:44] - node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 498:42] - node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 498:74] - node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 497:71] - node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 496:25] - node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 499:29] - node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 500:25] - node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 501:24] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 502:91] - node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 503:42] - node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 503:31] - node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 503:46] - node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 502:143] - node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 504:53] - node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 503:88] - node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 505:48] - node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 505:72] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 505:24] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 499:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 499:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 499:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 498:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 497:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 500:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 502:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 501:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 503:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 503:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 503:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 503:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 502:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 501:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 504:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 506:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 507:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 508:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 508:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 508:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 507:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 509:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 508:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 507:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 510:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 510:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 508:86] - node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 508:90] - node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 508:25] - node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 510:38] - node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 509:95] - node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 509:29] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 513:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 513:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 515:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 514:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 514:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4182 : @[Conditional.scala 39:67] - node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 514:25] - node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 515:80] - node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 515:65] - node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 515:25] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 520:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 520:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4190 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 532:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:74] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 533:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 529:17] - node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:78] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 534:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 530:19] - node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:80] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 535:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 531:20] - node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 532:78] - node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 532:19] - node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 537:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4215 : @[Reg.scala 28:19] _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5485,51 +5488,51 @@ circuit el2_lsu_bus_buffer : node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] - buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 535:15] - node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 540:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4224 : @[Reg.scala 28:19] _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 536:18] - buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 536:18] - buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 536:18] - buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 536:18] - node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 541:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 541:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 541:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 541:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= _T_4237 @[Reg.scala 28:23] @@ -5537,27 +5540,27 @@ circuit el2_lsu_bus_buffer : node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] - buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 537:20] - node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 542:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= _T_4252 @[Reg.scala 28:23] @@ -5565,27 +5568,27 @@ circuit el2_lsu_bus_buffer : node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] - buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 538:16] - node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 543:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4268 : @[Reg.scala 28:19] _T_4269 <= _T_4267 @[Reg.scala 28:23] @@ -5593,32 +5596,32 @@ circuit el2_lsu_bus_buffer : node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] - buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 539:15] - node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 544:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4275 : @[Reg.scala 28:19] _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4279 : @[Reg.scala 28:19] _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 540:12] - buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 540:12] - buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 540:12] - buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 540:12] - node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 545:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 545:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 545:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 545:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5627,7 +5630,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5636,7 +5639,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5645,7 +5648,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5654,34 +5657,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 541:14] - buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 541:14] - buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 541:14] - buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 541:14] - node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 546:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 546:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 546:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 546:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 542:16] - buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 542:16] - buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 542:16] - buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 542:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 547:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5714,175 +5717,175 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 543:14] - buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 543:14] - buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 543:14] - buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 543:14] - node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 544:82] - node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 544:82] - node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 544:82] - node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 544:82] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 548:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 548:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 548:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 548:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 549:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 549:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 549:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 549:82] node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] - buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 544:15] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 549:15] node _T_4324 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4325 = cat(_T_4324, buf_data_en[1]) @[Cat.scala 29:58] node _T_4326 = cat(_T_4325, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 545:14] + io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 550:14] node _T_4327 = cat(buf_data_in[3], buf_data_in[2]) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, buf_data_in[1]) @[Cat.scala 29:58] node _T_4329 = cat(_T_4328, buf_data_in[0]) @[Cat.scala 29:58] - io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 546:11] - node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 547:96] - node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 547:96] - node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 547:96] - node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 548:142] - node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 548:142] - node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 548:142] - buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 548:24] - node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 549:126] - node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 549:126] - node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 549:126] - buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 549:22] - node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 550:154] - node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 550:154] - node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 550:154] - buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 550:23] - node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 551:93] - node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 551:93] - node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 551:93] - any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 551:23] - node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 552:53] - io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 552:30] - node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 553:52] - node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 553:119] - node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 553:36] - io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 553:30] - node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 554:65] - node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 554:65] - node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 554:65] - node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:34] - node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:72] - node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 554:70] - node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:86] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 554:84] - io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 554:31] - node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 556:51] - node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 556:72] - node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:94] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:111] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 556:109] - io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 556:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 557:30] + io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 551:11] + node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 552:96] + node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 552:96] + node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 552:96] + node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 553:142] + node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 553:142] + node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 553:142] + buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 553:24] + node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 554:126] + node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 554:126] + node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 554:126] + buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 554:22] + node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 555:154] + node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 555:154] + node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 555:154] + buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 555:23] + node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 556:93] + node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 556:93] + node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 556:93] + any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 556:23] + node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 557:53] + io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 557:30] + node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 558:52] + node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 558:119] + node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 558:36] + io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 558:30] + node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 559:65] + node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 559:65] + node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 559:65] + node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:34] + node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:72] + node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 559:70] + node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:86] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 559:84] + io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 559:31] + node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 561:51] + node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 561:72] + node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:94] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:111] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 561:109] + io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 561:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 562:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:61] - node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 559:59] - io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 559:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 560:34] - node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] - node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] - node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] - node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:61] + node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 564:59] + io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 564:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 565:34] + node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] node _T_4445 = mux(_T_4429, _T_4432, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4446 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4447 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5892,26 +5895,26 @@ circuit el2_lsu_bus_buffer : node _T_4451 = or(_T_4450, _T_4448) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4451 @[Mux.scala 27:72] - node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 567:108] node _T_4472 = mux(_T_4452, _T_4456, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4473 = mux(_T_4457, _T_4461, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4474 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5921,39 +5924,39 @@ circuit el2_lsu_bus_buffer : node _T_4478 = or(_T_4477, _T_4475) @[Mux.scala 27:72] wire _T_4479 : UInt<1> @[Mux.scala 27:72] _T_4479 <= _T_4478 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 562:35] - node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 563:106] - node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 563:106] - node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 563:106] - node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 563:106] + io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 567:35] + node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 568:106] + node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 568:106] + node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 568:106] + node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 568:106] node _T_4512 = mux(_T_4487, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4513 = mux(_T_4495, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4514 = mux(_T_4503, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5963,39 +5966,39 @@ circuit el2_lsu_bus_buffer : node _T_4518 = or(_T_4517, _T_4515) @[Mux.scala 27:72] wire _T_4519 : UInt<2> @[Mux.scala 27:72] _T_4519 <= _T_4518 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 563:33] - node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 564:105] + io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 568:33] + node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 569:105] + node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 569:105] + node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 569:105] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 569:105] node _T_4552 = mux(_T_4527, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4553 = mux(_T_4535, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4554 = mux(_T_4543, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6005,30 +6008,30 @@ circuit el2_lsu_bus_buffer : node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4558 @[Mux.scala 27:72] - node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 565:105] - node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 565:105] - node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 565:105] - node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 565:105] + node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 570:105] node _T_4583 = mux(_T_4564, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4584 = mux(_T_4570, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4585 = mux(_T_4576, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6038,10 +6041,10 @@ circuit el2_lsu_bus_buffer : node _T_4589 = or(_T_4588, _T_4586) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_hi <= _T_4589 @[Mux.scala 27:72] - node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4594 = mux(_T_4590, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4595 = mux(_T_4591, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4596 = mux(_T_4592, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6051,11 +6054,11 @@ circuit el2_lsu_bus_buffer : node _T_4600 = or(_T_4599, _T_4597) @[Mux.scala 27:72] wire _T_4601 : UInt<32> @[Mux.scala 27:72] _T_4601 <= _T_4600 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 566:83] - node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 571:83] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4606 = mux(_T_4602, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4607 = mux(_T_4603, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4608 = mux(_T_4604, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6065,14 +6068,14 @@ circuit el2_lsu_bus_buffer : node _T_4612 = or(_T_4611, _T_4609) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] lsu_nonblock_sz <= _T_4612 @[Mux.scala 27:72] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_4621 = mux(_T_4613, _T_4614, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4622 = mux(_T_4615, _T_4616, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4623 = mux(_T_4617, _T_4618, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6085,14 +6088,14 @@ circuit el2_lsu_bus_buffer : node _T_4628 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_4629 = cat(_T_4628, buf_dual[1]) @[Cat.scala 29:58] node _T_4630 = cat(_T_4629, buf_dual[0]) @[Cat.scala 29:58] - node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_4639 = mux(_T_4631, _T_4632, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4640 = mux(_T_4633, _T_4634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4641 = mux(_T_4635, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6103,38 +6106,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4645 @[Mux.scala 27:72] node _T_4646 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 570:121] - node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 570:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 571:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 572:14] - node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:69] - node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 573:67] - io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 573:35] - node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:81] - node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 574:131] + node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 575:121] + node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 575:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 576:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 577:14] + node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:69] + node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 578:67] + io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 578:35] + node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:81] + node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 579:63] + node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 579:131] node _T_4653 = cat(UInt<24>("h00"), _T_4652) @[Cat.scala 29:58] - node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:45] - node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 575:26] - node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 575:95] + node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:45] + node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 580:26] + node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 580:95] node _T_4657 = cat(UInt<16>("h00"), _T_4656) @[Cat.scala 29:58] - node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:6] - node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:45] - node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 576:27] - node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:6] + node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:45] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 581:27] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 581:93] node _T_4662 = bits(_T_4661, 0, 0) @[Bitwise.scala 72:15] node _T_4663 = mux(_T_4662, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 576:123] + node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 581:123] node _T_4665 = cat(_T_4663, _T_4664) @[Cat.scala 29:58] - node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:6] - node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 577:45] - node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 577:27] - node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:6] + node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 582:45] + node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 582:27] + node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 582:93] node _T_4670 = bits(_T_4669, 0, 0) @[Bitwise.scala 72:15] node _T_4671 = mux(_T_4670, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 577:124] + node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 582:124] node _T_4673 = cat(_T_4671, _T_4672) @[Cat.scala 29:58] - node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 578:21] + node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 583:21] node _T_4675 = mux(_T_4651, _T_4653, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4676 = mux(_T_4655, _T_4657, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4677 = mux(_T_4660, _T_4665, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6146,75 +6149,75 @@ circuit el2_lsu_bus_buffer : node _T_4683 = or(_T_4682, _T_4679) @[Mux.scala 27:72] wire _T_4684 : UInt<64> @[Mux.scala 27:72] _T_4684 <= _T_4683 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 574:29] - node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 579:141] - node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 579:141] - node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 579:141] - bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 579:23] - node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 581:78] - node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 581:78] - node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 581:78] - node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 581:78] + io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 579:29] + node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 584:141] + node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 584:141] + node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 584:141] + bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 586:78] + node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 586:78] + node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 586:78] + node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 586:78] node _T_4752 = mux(_T_4704, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4753 = mux(_T_4716, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4754 = mux(_T_4728, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6224,117 +6227,117 @@ circuit el2_lsu_bus_buffer : node _T_4758 = or(_T_4757, _T_4755) @[Mux.scala 27:72] wire _T_4759 : UInt<1> @[Mux.scala 27:72] _T_4759 <= _T_4758 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 580:26] - node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 583:54] - node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:75] - node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:150] - node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 583:39] - node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 583:23] - bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 583:17] - node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 584:39] - bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 584:17] - node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 585:39] - bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 585:18] - node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 586:35] - node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 586:70] - node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 586:52] - node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 586:111] - node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 586:89] - bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 586:16] - node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 587:37] - bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 587:16] - node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 588:38] - bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 588:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 589:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 590:21] - node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:60] - node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 591:40] - bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 591:23] - node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:58] - node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 592:38] - bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 592:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 593:17] - node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:36] - node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:51] - node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 596:49] - node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 596:66] - io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 596:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 597:19] - node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 598:69] + bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 585:26] + node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 588:54] + node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 588:75] + node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 588:150] + node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 588:39] + node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 588:23] + bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 588:17] + node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 589:39] + bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 589:17] + node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 590:39] + bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 590:18] + node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 591:35] + node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 591:70] + node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 591:52] + node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 591:111] + node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 591:89] + bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 591:16] + node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 592:37] + bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 592:16] + node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 593:38] + bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 593:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 594:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 595:21] + node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:60] + node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 596:40] + bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 596:23] + node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:58] + node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 597:38] + bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 597:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 598:17] + node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 601:36] + node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:51] + node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 601:49] + node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:68] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 601:66] + io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 601:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] + node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] node _T_4784 = cat(_T_4783, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 598:27] - io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 598:21] + node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 603:27] + io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 603:21] node _T_4786 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 599:27] - io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 599:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] - node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 601:28] - io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 601:22] - node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 602:35] - io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 602:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 603:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 604:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 606:21] - node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 608:35] - node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:50] - node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 608:48] - node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:68] - node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 608:66] - io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 608:21] + node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] + io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 604:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] + node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] + io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 606:22] + node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] + io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 607:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] + node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 613:35] + node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:50] + node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 613:48] + node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:68] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 613:66] + io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 613:21] node _T_4795 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4796 = mux(_T_4795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 609:35] - io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 609:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 610:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:20] - node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:38] - node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 613:36] - node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:52] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 613:50] - node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:67] - node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 613:65] - io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 613:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 614:19] - node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:69] + node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 614:35] + io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 614:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 615:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 616:20] + node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:38] + node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 618:36] + node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:52] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 618:50] + node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:67] + node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 618:65] + io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 618:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 619:19] + node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 620:69] node _T_4805 = cat(_T_4804, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 615:27] - io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 615:21] + node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 620:27] + io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 620:21] node _T_4807 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:27] - io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 616:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] - node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 618:28] - io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 618:22] - node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 619:35] - io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 619:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 620:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 621:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 623:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 625:21] - node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 626:129] - node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 626:129] - node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 626:129] - node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 626:129] + node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 621:27] + io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 621:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] + node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 623:28] + io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 623:22] + node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 624:35] + io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 624:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 626:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 629:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 630:21] + node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 631:129] node _T_4831 = mux(_T_4811, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4832 = mux(_T_4816, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4833 = mux(_T_4821, _T_4825, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6344,36 +6347,36 @@ circuit el2_lsu_bus_buffer : node _T_4837 = or(_T_4836, _T_4834) @[Mux.scala 27:72] wire _T_4838 : UInt<1> @[Mux.scala 27:72] _T_4838 <= _T_4837 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 626:36] - node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 627:87] - node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 627:109] - node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 627:98] - node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 627:124] - node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 627:113] - node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 627:87] - node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 627:109] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 627:98] - node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 627:124] - node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 627:113] + io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 631:36] + node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 632:87] + node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 632:109] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 632:98] + node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 632:124] + node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 632:113] + node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 632:87] + node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 632:109] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:98] + node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 632:124] + node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 632:113] node _T_4849 = mux(_T_4843, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4850 = mux(_T_4848, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4851 = or(_T_4849, _T_4850) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4851 @[Mux.scala 27:72] - node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:72] - node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 629:70] - io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 629:35] - node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:72] + node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 634:70] + io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 634:35] + node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4856 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4857 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4858 = or(_T_4856, _T_4857) @[Mux.scala 27:72] wire _T_4859 : UInt<32> @[Mux.scala 27:72] _T_4859 <= _T_4858 @[Mux.scala 27:72] - node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4864 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4865 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4866 = mux(_T_4862, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6383,44 +6386,44 @@ circuit el2_lsu_bus_buffer : node _T_4870 = or(_T_4869, _T_4867) @[Mux.scala 27:72] wire _T_4871 : UInt<32> @[Mux.scala 27:72] _T_4871 <= _T_4870 @[Mux.scala 27:72] - node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 630:41] - io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 630:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:23] - node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 636:46] - node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 636:89] - node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 636:68] - node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 636:132] - node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 636:110] - io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 636:23] - node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 637:48] - node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 637:65] - io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 637:29] - node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 638:59] - io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 638:24] - node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:48] - node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 640:46] - node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:92] - node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 640:90] - node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 640:69] - node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:136] - node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 640:134] - node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 640:112] - io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 640:23] - reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:49] - _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 642:49] - WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 642:12] - reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:49] - _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 643:49] - WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 643:12] - node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:75] - node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 644:73] - node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:89] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 644:87] - reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 644:56] - _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 644:56] - io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 644:19] - reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 645:66] - _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 645:66] - lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 645:29] + node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 635:41] + io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 635:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 638:23] + node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 641:46] + node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 641:89] + node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 641:68] + node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 641:132] + node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 641:110] + io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 641:23] + node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 642:48] + node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 642:65] + io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 642:29] + node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 643:59] + io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 643:24] + node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:48] + node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 645:46] + node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:92] + node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 645:90] + node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 645:69] + node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:136] + node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 645:134] + node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 645:112] + io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 645:23] + reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 647:49] + _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 647:49] + WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 647:12] + reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 648:49] + _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 648:49] + WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 648:12] + node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:75] + node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 649:73] + node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:89] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 649:87] + reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 649:56] + _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 649:56] + io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 649:19] + reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 650:66] + _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 650:66] + lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 650:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 8859cb2f..dd30eefd 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -144,7 +144,10 @@ module el2_lsu_bus_buffer( output [127:0] io_test, output [31:0] io_data_hi, output [31:0] io_data_lo, - output [3:0] io_data_en + output [3:0] io_data_en, + output [1:0] io_Cmdptr0, + output [1:0] io_Cmdptr1, + output [1:0] io_WrPtr1_r ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -303,565 +306,565 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 128:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 129:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 131:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 132:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 384:13] - wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 481:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 389:13] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 486:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 481:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 378:54] - wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 377:55] - wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 486:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 383:54] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 382:55] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 481:48] - wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 481:77] - wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 486:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 481:48] - wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 481:77] - wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 486:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 481:48] - wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 481:77] - wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 486:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] - wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 199:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 202:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 205:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 208:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 205:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 205:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 208:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 276:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 208:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 208:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 210:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 210:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 213:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 213:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] - wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] - wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 194:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 206:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 206:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 206:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 211:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 211:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 209:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 209:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 209:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 209:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 214:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 214:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 192:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 192:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 192:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 192:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 195:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 217:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 217:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 217:123] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 220:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 220:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 220:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 218:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 218:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 218:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 221:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 221:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 221:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 219:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 219:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 219:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 222:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 222:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 222:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 220:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 220:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 220:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 223:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 223:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 223:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 222:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 222:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 225:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 223:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 223:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 226:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 224:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 224:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 227:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 225:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 225:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 228:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] @@ -869,224 +872,224 @@ module el2_lsu_bus_buffer( wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] wire [7:0] _T_747 = {4'h0,ldst_byteen_r}; // @[Cat.scala 29:58] - wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 231:45] - wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 231:45] - wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 232:32] - wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 233:32] + wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 234:45] + wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 234:45] + wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 235:32] + wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 236:32] wire [63:0] _T_749 = {32'h0,io_store_data_r}; // @[Cat.scala 29:58] - wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 234:58] - wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 234:58] - wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 234:52] - wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 234:52] - wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 235:35] - wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 236:35] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 237:40] - wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 238:74] - wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 239:26] + wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 237:58] + wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 237:58] + wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 237:52] + wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 237:52] + wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 238:35] + wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 239:35] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 240:40] + wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 241:74] + wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 242:26] wire _T_758 = io_lsu_pkt_r_word & _T_755; // @[Mux.scala 27:72] wire _T_759 = io_lsu_pkt_r_half & _T_757; // @[Mux.scala 27:72] wire _T_761 = _T_758 | _T_759; // @[Mux.scala 27:72] wire is_aligned_r = _T_761 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 241:55] - wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 241:34] - wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 241:79] - wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 241:77] - wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 242:36] - wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 242:56] - wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 242:54] - wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 244:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 286:59] - wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 250:62] - wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 250:48] - wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 268:54] - wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 268:75] - wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 268:88] - wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 268:124] - wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 268:101] - wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 268:147] - wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 268:145] - wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 268:170] - wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 268:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 269:20] - wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 250:98] - wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 250:82] - wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 250:80] - wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 251:5] - wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 245:44] - wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 245:42] - wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 245:61] - wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 245:115] - wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 245:95] - wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 245:74] - wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 251:16] + wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 244:55] + wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 244:34] + wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 244:79] + wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 244:77] + wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 245:36] + wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 245:56] + wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 245:54] + wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 247:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 289:59] + wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 253:62] + wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 253:48] + wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 271:54] + wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 271:75] + wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 271:88] + wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 271:124] + wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 271:101] + wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 271:147] + wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 271:145] + wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 271:170] + wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 271:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 272:20] + wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 253:98] + wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 253:82] + wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 253:80] + wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 254:5] + wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 248:44] + wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 248:42] + wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 248:61] + wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 248:115] + wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 248:95] + wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 248:74] + wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 254:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 251:35] - wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 251:55] - wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 251:53] - wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 251:67] - wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 250:32] - wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 244:34] - wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 244:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 643:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 642:49] + wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 254:35] + wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 254:55] + wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 254:53] + wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 254:67] + wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 253:32] + wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 247:34] + wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 247:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 648:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 647:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 260:77] + wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 263:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 264:46] - wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 264:46] - wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 264:46] - wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 264:46] + wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 267:46] wire [23:0] _T_827 = {_T_825,_T_818,_T_811}; // @[Cat.scala 29:58] - wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 266:59] - wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 266:93] - wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 270:65] - wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 270:63] - wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 270:48] - wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 270:48] - wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 270:48] - wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 270:48] + wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 269:59] + wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 269:93] + wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 273:65] + wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 273:63] + wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 273:48] wire [3:0] ibuf_byteen_out = {_T_873,_T_866,_T_859,_T_852}; // @[Cat.scala 29:58] - wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 271:45] - wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 271:45] - wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 271:45] - wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 271:45] + wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 274:45] wire [31:0] ibuf_data_out = {_T_907,_T_899,_T_891,_T_883}; // @[Cat.scala 29:58] - wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:28] - wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 273:63] - wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 274:89] + wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 276:28] + wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 276:63] + wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 277:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 548:89] - wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 548:89] - wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 548:142] - wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 548:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 548:142] - wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 548:142] - wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 548:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 548:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 548:142] - wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:43] - wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 549:73] - wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 549:73] - wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 549:126] - wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 549:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 549:126] - wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 549:126] - wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 549:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 549:126] - wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 549:126] - wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:72] - wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 296:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 393:54] - wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 296:97] - wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 296:80] - wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 296:114] + wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 553:89] + wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 553:89] + wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 553:142] + wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 553:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 553:142] + wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 553:142] + wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 553:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 553:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 553:142] + wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 300:43] + wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 554:73] + wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 554:73] + wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 554:126] + wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 554:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 554:126] + wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 554:126] + wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 554:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 554:126] + wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 554:126] + wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 300:72] + wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 300:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 398:54] + wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 300:97] + wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 300:80] + wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 300:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 297:31] - wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 297:29] + wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 301:31] + wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 301:29] reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] - wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 298:5] - wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 297:140] - wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 300:58] - wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 300:72] - wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 300:123] - wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 300:101] - wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 298:119] - wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 298:117] - wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 299:75] - wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 299:95] - wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 299:79] - wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 299:121] - wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 550:74] - wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 550:74] - wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 550:154] - wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 550:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 550:154] - wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 550:154] - wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 550:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 550:154] - wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 550:154] - wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 302:53] - wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 302:31] - wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 302:64] - wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 302:84] - wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 302:61] - wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 317:32] - wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 579:141] - wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 579:141] - wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 579:141] - wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 317:74] - wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 317:52] - wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 317:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 405:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 405:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 405:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 302:5] + wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 301:140] + wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 304:58] + wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 304:72] + wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 304:123] + wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 304:101] + wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 302:119] + wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 302:117] + wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 303:75] + wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 303:95] + wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 303:79] + wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 303:121] + wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 555:74] + wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 555:74] + wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 555:154] + wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 555:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 555:154] + wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 555:154] + wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 555:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 555:154] + wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 555:154] + wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 306:53] + wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 306:31] + wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 306:64] + wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 306:84] + wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 306:61] + wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 321:32] + wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 584:141] + wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 584:141] + wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 584:141] + wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 321:74] + wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 321:52] + wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 321:50] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 410:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 410:31] - wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 318:47] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 415:31] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 322:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 319:23] - wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 319:21] - wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 319:141] - wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 319:105] - wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 319:103] + wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 323:23] + wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 323:21] + wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 323:141] + wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 323:105] + wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 323:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1097,414 +1100,418 @@ module el2_lsu_bus_buffer( reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] wire [3:0] _T_1096 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 320:77] - wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 320:150] - wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 320:148] - wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 320:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 406:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 406:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 406:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 406:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 406:123] + wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 324:77] + wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 324:150] + wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 324:148] + wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 324:8] + wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 411:62] + wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 411:123] + wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 411:123] + wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 411:123] + wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 411:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 411:31] - wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 320:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 416:31] + wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 324:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 320:197] - wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 320:269] - wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 319:164] - wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 317:98] + wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 324:197] + wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 324:269] + wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 323:164] + wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 321:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 380:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 381:55] - wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 583:54] - wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:75] - wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:39] - wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 583:23] - wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 321:48] - wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 321:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 385:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 386:55] + wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 588:54] + wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 588:75] + wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 588:39] + wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 588:23] + wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 325:48] + wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 325:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 321:60] - wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 321:29] - wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 321:77] - wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 321:75] + wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 325:60] + wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 325:29] + wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 325:77] + wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 325:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4752 = _T_4685 & _T_4715; // @[Mux.scala 27:72] - wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4753 = _T_4689 & _T_4727; // @[Mux.scala 27:72] wire _T_4756 = _T_4752 | _T_4753; // @[Mux.scala 27:72] - wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4754 = _T_4693 & _T_4739; // @[Mux.scala 27:72] wire _T_4757 = _T_4756 | _T_4754; // @[Mux.scala 27:72] - wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4755 = _T_4697 & _T_4751; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4757 | _T_4755; // @[Mux.scala 27:72] - wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 321:118] - wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 321:116] - wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 321:142] - wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 323:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 584:39] - wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 586:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 585:39] - wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 586:70] - wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 586:52] - wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 586:111] - wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 586:89] - wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 323:33] - wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 323:65] - wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 323:63] - wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 323:77] - wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 323:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 324:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 326:25] + wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 325:118] + wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 325:116] + wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 325:142] + wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 327:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 589:39] + wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 591:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 590:39] + wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 591:70] + wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 591:52] + wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 591:111] + wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 591:89] + wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 327:33] + wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 327:65] + wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 327:63] + wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 327:77] + wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 327:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 328:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 330:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 329:23] - wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 337:39] - wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 337:26] - wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 341:72] - wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 341:98] - wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 341:96] - wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 341:79] - wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 341:153] - wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 341:134] - wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 341:132] - wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 341:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 341:28] - wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 355:40] - wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 355:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 333:23] + wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 342:39] + wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 342:26] + wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 346:72] + wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 346:98] + wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 346:96] + wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 346:79] + wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 346:153] + wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 346:134] + wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 346:132] + wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 346:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 346:28] + wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 360:40] + wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 360:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 355:80] - wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 355:78] - wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 355:99] - wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 355:97] - wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 355:113] - wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 355:111] - wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 355:130] - wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 355:128] - wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 356:20] - wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 356:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 382:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 587:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 383:55] - wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 356:90] - wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 356:70] - wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 356:55] - wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 356:53] - wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 356:34] - wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 355:165] - wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 349:44] - wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 349:42] - wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 349:29] - wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 349:61] - wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 349:79] - wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 350:20] - wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 350:37] - wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 350:35] - wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 352:44] + wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 360:80] + wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 360:78] + wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 360:99] + wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 360:97] + wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 360:113] + wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 360:111] + wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 360:130] + wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 360:128] + wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 361:20] + wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 361:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 387:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 592:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 388:55] + wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 361:90] + wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 361:70] + wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 361:55] + wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 361:53] + wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 361:34] + wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 360:165] + wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 354:44] + wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 354:42] + wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 354:29] + wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 354:61] + wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 354:79] + wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 355:20] + wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 355:37] + wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 355:35] + wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 357:44] wire [7:0] _T_1284 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1285 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 357:46] + wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 362:46] wire [7:0] _T_1313 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1326 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 358:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 357:28] + wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 363:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 362:28] wire [7:0] _T_1329 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1330 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 359:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 359:28] + wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 364:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] + wire [63:0] _T_1374 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1375 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1376 = io_lsu_addr_r[2] ? _T_1374 : _T_1375; // @[el2_lsu_bus_buffer.scala 367:44] wire [63:0] _T_1403 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1416 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 363:8] + wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 368:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1376 : _T_1417; // @[el2_lsu_bus_buffer.scala 367:26] wire [63:0] _T_1419 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1420 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 364:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 364:26] + wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 369:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 369:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 375:19] - wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 375:35] - wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 380:19] + wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 380:35] + wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 371:63] wire [7:0] obuf_byteen_in = {_T_1494,_T_1490,_T_1486,_T_1482,_T_1478,_T_1474,_T_1470,_T_1466}; // @[Cat.scala 29:58] - wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data1_in[7:0]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data1_in[15:8]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data1_in[23:16]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data1_in[31:24]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data1_in[39:32]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data1_in[47:40]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data1_in[55:48]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data1_in[63:56]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 372:44] wire [55:0] _T_1546 = {_T_1540,_T_1535,_T_1530,_T_1525,_T_1520,_T_1515,_T_1510}; // @[Cat.scala 29:58] - wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 378:58] - wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 378:93] + wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 383:58] + wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 383:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 400:70] wire [1:0] _T_1823 = _T_1822 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1824 = _T_1811 ? 2'h2 : _T_1823; // @[Mux.scala 98:16] wire [1:0] _T_1825 = _T_1800 ? 2'h1 : _T_1824; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1789 ? 2'h0 : _T_1825; // @[Mux.scala 98:16] - wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 398:70] - wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 398:70] - wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 398:70] - wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 398:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 403:70] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 409:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 414:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 409:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 414:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 409:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 414:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 409:70] + wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 414:70] wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:42] - wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:48] - wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:54] - wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 413:67] - wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:73] - wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:79] - wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 413:92] - wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:98] - wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:104] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 418:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 418:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 418:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 418:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 418:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 418:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:104] wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] - wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:77] - wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 470:95] - wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:144] - wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 470:183] - wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:46] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 475:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 475:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 475:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 475:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 475:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:46] wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 588:38] - wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 496:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 593:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 501:46] reg _T_4216; // @[Reg.scala 27:20] reg _T_4214; // @[Reg.scala 27:20] reg _T_4212; // @[Reg.scala 27:20] reg _T_4210; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 498:58] + wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 510:21] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 515:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 509:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 421:10] - wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 426:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] @@ -1512,93 +1519,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 435:23] - wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 435:41] - wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 436:17] - wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 436:35] - wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 440:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 440:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 441:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 441:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] - wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 475:183] wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 496:46] - wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 498:44] - wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 498:58] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 501:46] + wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 503:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 510:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 509:45] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 515:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] @@ -1606,89 +1613,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] - wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 475:183] wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 496:46] - wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 498:44] - wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 498:58] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 501:46] + wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 503:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 510:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 509:45] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 515:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] @@ -1696,89 +1703,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] - wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 475:183] wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 496:46] - wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 498:44] - wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 498:58] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 501:46] + wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 503:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 510:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 509:45] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 515:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] @@ -1786,243 +1793,243 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] - wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] - wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] - wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] - wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] - wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] - wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] - wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] - wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] - wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] - wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 453:65] - wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 453:65] - wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 453:65] - wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 453:65] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 458:65] wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] - wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] - wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] - wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] - wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] - wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] - wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] - wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] - wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] - wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] - wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] - wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 463:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] - wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 464:49] wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] - wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] - wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] - wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] - wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] - wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] - wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] - wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] - wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] - wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 480:89] - wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 592:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 592:38] - wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 502:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 591:40] - wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 485:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 485:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 597:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 597:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 507:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 596:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 492:73] - wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 551:93] - wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 551:93] - wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 551:93] - wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 497:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 556:93] + wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 556:93] + wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 556:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2030,18 +2037,18 @@ module el2_lsu_bus_buffer( wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] - wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2064,41 +2071,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_0 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 502:143] - wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 507:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2106,18 +2113,18 @@ module el2_lsu_bus_buffer( wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] - wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2140,41 +2147,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_1 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 502:143] - wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 507:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2182,18 +2189,18 @@ module el2_lsu_bus_buffer( wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] - wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2216,41 +2223,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_2 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 502:143] - wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 507:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2258,18 +2265,18 @@ module el2_lsu_bus_buffer( wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] - wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2297,49 +2304,49 @@ module el2_lsu_bus_buffer( reg _T_4251; // @[Reg.scala 27:20] reg _T_4254; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - reg _T_4320; // @[el2_lsu_bus_buffer.scala 544:82] - reg _T_4315; // @[el2_lsu_bus_buffer.scala 544:82] - reg _T_4310; // @[el2_lsu_bus_buffer.scala 544:82] - reg _T_4305; // @[el2_lsu_bus_buffer.scala 544:82] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 549:82] wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] - wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 544:128] - wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 544:128] - wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 544:128] - wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 544:128] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 549:128] wire [2:0] _T_4325 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] wire [95:0] _T_4328 = {buf_data_in_3,buf_data_in_2,buf_data_in_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 547:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 547:96] - wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 547:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 547:96] - wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 547:96] - wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 553:52] - wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 553:92] - wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 553:119] - wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 554:65] - wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 554:65] - wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 554:65] - wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 554:34] - wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 554:70] - wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 556:51] - wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 556:72] - wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 556:94] - wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 556:92] - wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 556:111] - wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 559:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 645:66] + wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 552:96] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 552:96] + wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 552:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 552:96] + wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 552:96] + wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 558:52] + wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 558:92] + wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 558:119] + wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 559:65] + wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 559:65] + wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 559:65] + wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 559:34] + wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 559:70] + wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 561:51] + wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 561:72] + wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 561:94] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 561:92] + wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 561:111] + wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 564:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 650:66] wire _T_4445 = _T_2708 & _T_1130; // @[Mux.scala 27:72] wire _T_4446 = _T_2730 & _T_3745; // @[Mux.scala 27:72] wire _T_4447 = _T_2752 & _T_3938; // @[Mux.scala 27:72] @@ -2347,32 +2354,32 @@ module el2_lsu_bus_buffer( wire _T_4449 = _T_4445 | _T_4446; // @[Mux.scala 27:72] wire _T_4450 = _T_4449 | _T_4447; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4450 | _T_4448; // @[Mux.scala 27:72] - wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 562:108] - wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 562:108] - wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 562:108] - wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 562:108] + wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 567:108] wire _T_4472 = _T_2708 & _T_4456; // @[Mux.scala 27:72] wire _T_4473 = _T_2730 & _T_4461; // @[Mux.scala 27:72] wire _T_4474 = _T_2752 & _T_4466; // @[Mux.scala 27:72] wire _T_4475 = _T_2774 & _T_4471; // @[Mux.scala 27:72] wire _T_4476 = _T_4472 | _T_4473; // @[Mux.scala 27:72] wire _T_4477 = _T_4476 | _T_4474; // @[Mux.scala 27:72] - wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 563:106] - wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 563:106] - wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 563:106] - wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 563:106] + wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 568:106] wire [1:0] _T_4514 = _T_4503 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4515 = _T_4511 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_393 = {{1'd0}, _T_4495}; // @[Mux.scala 27:72] @@ -2384,14 +2391,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] wire [31:0] _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4557 | _T_4555; // @[Mux.scala 27:72] - wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 565:105] - wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 565:105] - wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 565:105] - wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 565:105] + wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 570:105] wire [31:0] _T_4583 = _T_4564 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4584 = _T_4570 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4585 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2399,10 +2406,10 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4587 = _T_4583 | _T_4584; // @[Mux.scala 27:72] wire [31:0] _T_4588 = _T_4587 | _T_4585; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_hi = _T_4588 | _T_4586; // @[Mux.scala 27:72] - wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 117:123] wire [31:0] _T_4594 = _T_4590 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4595 = _T_4591 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4596 = _T_4592 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -2410,7 +2417,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4598 = _T_4594 | _T_4595; // @[Mux.scala 27:72] wire [31:0] _T_4599 = _T_4598 | _T_4596; // @[Mux.scala 27:72] wire [31:0] _T_4600 = _T_4599 | _T_4597; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 566:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 571:83] wire [1:0] _T_4606 = _T_4590 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4607 = _T_4591 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4608 = _T_4592 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2426,24 +2433,24 @@ module el2_lsu_bus_buffer( wire _T_4626 = _T_4625 | _T_4623; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4626 | _T_4624; // @[Mux.scala 27:72] wire [63:0] _T_4646 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 570:121] - wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 570:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 570:92] - wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 573:69] - wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 574:81] - wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 574:63] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 575:121] + wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 575:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 575:92] + wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 578:69] + wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 579:81] + wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 579:63] wire [31:0] _T_4653 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 575:45] - wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 575:26] + wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 580:45] + wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 580:26] wire [31:0] _T_4657 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 576:6] - wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 576:27] + wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 581:6] + wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 581:27] wire [23:0] _T_4663 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4665 = {_T_4663,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 577:27] + wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 582:27] wire [15:0] _T_4671 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4673 = {_T_4671,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 578:21] + wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 583:21] wire [31:0] _T_4675 = _T_4651 ? _T_4653 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4676 = _T_4655 ? _T_4657 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4677 = _T_4660 ? _T_4665 : 32'h0; // @[Mux.scala 27:72] @@ -2454,49 +2461,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4682 = _T_4681 | _T_4678; // @[Mux.scala 27:72] wire [63:0] _GEN_395 = {{32'd0}, _T_4682}; // @[Mux.scala 27:72] wire [63:0] _T_4683 = _GEN_395 | _T_4679; // @[Mux.scala 27:72] - wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 596:36] - wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 596:51] - wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 596:49] + wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 601:36] + wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 601:51] + wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 601:49] wire [31:0] _T_4784 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4786 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 608:50] - wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 608:48] + wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 613:50] + wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 613:48] wire [7:0] _T_4796 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 613:36] - wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 613:50] - wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 626:129] - wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 626:129] - wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 626:129] - wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 626:129] + wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 618:36] + wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 618:50] + wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 631:129] wire _T_4831 = _T_2708 & _T_4815; // @[Mux.scala 27:72] wire _T_4832 = _T_2730 & _T_4820; // @[Mux.scala 27:72] wire _T_4833 = _T_2752 & _T_4825; // @[Mux.scala 27:72] wire _T_4834 = _T_2774 & _T_4830; // @[Mux.scala 27:72] wire _T_4835 = _T_4831 | _T_4832; // @[Mux.scala 27:72] wire _T_4836 = _T_4835 | _T_4833; // @[Mux.scala 27:72] - wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 627:98] - wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 627:113] - wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 629:72] - wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 632:98] + wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 632:113] + wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 634:72] + wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 117:123] wire [31:0] _T_4856 = _T_4854 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4857 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4858 = _T_4856 | _T_4857; // @[Mux.scala 27:72] - wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 636:68] - wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 637:48] - wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 640:48] - wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 640:46] - wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 640:92] - wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 640:90] - wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 640:69] - wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 640:136] - wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 640:134] - wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 644:75] - wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 644:73] - reg _T_4895; // @[el2_lsu_bus_buffer.scala 644:56] + wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 641:68] + wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 642:48] + wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 645:48] + wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 645:46] + wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 645:92] + wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 645:90] + wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 645:69] + wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 645:136] + wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 645:134] + wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 649:75] + wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 649:73] + reg _T_4895; // @[el2_lsu_bus_buffer.scala 649:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2569,62 +2576,65 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 644:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 552:30] - assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 553:30] - assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 554:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 633:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 191:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 192:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 217:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 629:35] - assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 626:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 630:35] - assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 556:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 557:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 559:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 560:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 573:35] - assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 562:35] - assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 563:33] - assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 574:29] - assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 636:23] - assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 637:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 638:24] - assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 640:23] - assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 597:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 598:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 602:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 603:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 599:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 606:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 601:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 600:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 605:20] - assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 608:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 610:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 609:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 611:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 613:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 614:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 615:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 619:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 620:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 616:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 621:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 618:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 617:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 622:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 625:21] - assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 546:11] - assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 571:14] - assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 572:14] - assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 545:14] + assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 649:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 557:30] + assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 558:30] + assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 559:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 638:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 194:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 195:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 220:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 225:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 634:35] + assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 631:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 635:35] + assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 561:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 562:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 564:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 565:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 578:35] + assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 567:35] + assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 568:33] + assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 579:29] + assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 641:23] + assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 642:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 643:24] + assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 645:23] + assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 603:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] + assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 613:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 615:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 614:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 616:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 629:21] + assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 618:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 619:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 620:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 625:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 621:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 626:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 628:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 623:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 627:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 630:21] + assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 551:11] + assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 576:14] + assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 577:14] + assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 550:14] + assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 296:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 338:14] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 258:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 36f6ba17..a9bec798 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -109,6 +109,9 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val data_hi = Output(UInt()) val data_lo = Output(UInt()) val data_en = Output(UInt()) + val Cmdptr0 = Output(UInt()) + val Cmdptr1 = Output(UInt()) + val WrPtr1_r = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -252,7 +255,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val ibuf_tag = WireInit(UInt(DEPTH_LOG2.W), 0.U) val WrPtr1_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) val WrPtr0_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) - + io.WrPtr1_r := WrPtr1_r val ibuf_tag_in = Mux(ibuf_merge_en & ibuf_merge_in, ibuf_tag, Mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r)) val ibuf_dualtag_in = WrPtr0_r val ibuf_sz_in = Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @@ -290,6 +293,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val buf_nomerge = Wire(Vec(DEPTH, Bool())) buf_nomerge := buf_nomerge.map(i=> false.B) val Cmdptr0 = WireInit(UInt(LSU_NUM_NBLOAD_WIDTH.W), 0.U) + io.Cmdptr0 := Cmdptr0 val buf_sideeffect = WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) val obuf_force_wr_en = WireInit(Bool(), false.B) val obuf_wr_en = WireInit(Bool(), false.B) @@ -331,6 +335,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val obuf_merge_in = obuf_merge_en val obuf_tag0_in = Mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) val Cmdptr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + io.Cmdptr1 := Cmdptr1 val obuf_tag1_in = Mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) val obuf_cmd_done = WireInit(Bool(), false.B) val bus_wcmd_sent = WireInit(Bool(), false.B) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index dd2beb6d..70e5ff5f 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 32dc62ff..7ea0560a 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class index 4ef10378..8b66b66b 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer$$anon$1.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index de9fb1c5..929b17f3 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ